CN1509404A - 利用散射测量的线路轮廓不对称测量法 - Google Patents

利用散射测量的线路轮廓不对称测量法 Download PDF

Info

Publication number
CN1509404A
CN1509404A CNA028058909A CN02805890A CN1509404A CN 1509404 A CN1509404 A CN 1509404A CN A028058909 A CNA028058909 A CN A028058909A CN 02805890 A CN02805890 A CN 02805890A CN 1509404 A CN1509404 A CN 1509404A
Authority
CN
China
Prior art keywords
light
asymmetric
comparison
direct projection
microelectronic component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028058909A
Other languages
English (en)
Other versions
CN1261736C (zh
Inventor
����˹�и���J�����ɵ�
克里斯托弗·J·雷蒙德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanometrics Inc
Original Assignee
Accent Optical Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Accent Optical Technologies Inc filed Critical Accent Optical Technologies Inc
Publication of CN1509404A publication Critical patent/CN1509404A/zh
Application granted granted Critical
Publication of CN1261736C publication Critical patent/CN1261736C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

一种用于测量微电子器件中的线路轮廓不对称的方法和设备,包括:将光直射向微电子器件的微电子部件阵列;检测从包括从一个或多个反射角和一个或多个波长所组成的组中选择的一个或多个部件的阵列散射回来的光;以及通过检查来自反射的余角的数据或进行模型比较,比较散射回来的光的一个或多个特性。

Description

利用散射测量的线路轮廓不对称测量法
本发明要求2001年3月2日递交的美国临时专利申请序列号No.60/273,039、题目为“Process Qualification by the Measurement ofLine Profile Asymmetry Using Scatterometry”的优先权,而且这里将其说明书一并作为参考。
技术领域
本发明涉及微电子器件的光学检查,更具体地,涉及利用散射测量(scatterometry)对线路轮廓不对称进行测量。
背景技术
应当注意的是,下面的讨论中按照作者和出版年份提及了大量的出版物,其中由于较近的出版日期,一些出版物不能被认作与本发明相比较的现有技术。为了给出更为完整的背景,这里给出了对这些出版物的讨论,并且这些讨论不能解释为对将这些出版物作为专利性确定目的的现有技术的认可。
微电子器件的制造是复杂的过程,针对其中所包含的不同处理步骤,使用了多种设备。首先,光刻处理将要制作的图像转移到被称为光刻胶的光敏材料中。而光刻胶中的图像则用作接下来被称为刻蚀的图案形成处理的掩模。刻蚀是通过其将抗蚀层图像转移到如多晶硅等合适的材料中的处理。然后,用一些绝缘材料灌注刻蚀后的材料,如果需要,进行整平,再次开始整个处理。
通过整个处理,所制作的器件每一步都应当是实质上对称的,即,如果正确制造,晶体管栅极将具有相同的左侧壁和右侧壁及其他特征,例如,相同的左圆倒角和右圆倒角,但并不限制于此。如果在处理期间发生错误,这种想要的对称性将受到破坏,结果,也可能破坏了器件的完整性或功能性。如果不对称性非常严重,器件根本就不可能工作。
本发明是通过散射测量来进行对称性/不对称性测量的一种方法。散射测量是非常适合于测量微电子器件上的对称性或不对称性的光学检查技术。通过分析由微电子部件(feature)阵列散射的光,可以对线路轮廓进行测量。特别地,以余角,即与垂直于表面的位置成+45度和-45度进行测量的散射仪理论上适合于对称性/不对称性测量,因为线路轮廓的反射特性可以在这两个角度发生变化,尽管余角并不是检测不对称性所必需的。为了增强这种效应的敏感性,应当沿特定方向放置部件阵列,在本说明书和权利要求中称为一般圆锥配置,即照射光束的波矢不与阵列的对称平面保持平行。
现有技术通常采用“经典”散射。这些是与表面粗糙度、缺陷、凹陷等的测量相关的测量法。但是,本发明基于衍射物理学,本发明的测量法通常用于周期性部件(如线路/空间光栅)。
散射测量的现有研究利用了测量抗蚀层和刻蚀后的材料中的线路轮廓的技术。C.J.Raymond等“Resist and etched line profilecharacterization using scatterometry”,Integrated CircuitMetrology,Inspection and Process Control XI,Proc.SPIE 3050(1997)。本发明提供了一种用于测量不对称线路轮廓(如,不相同的侧壁角度)的技术。
美国专利No.5,963,329公开了一种用于获得散射测量结果的分析方法。通常通过预先计算一系列的已建模的特征并将其存储在资料库中,来进行衍射模型和已测量的特征数据之间的比较。然后,将已测量的特征与已建模的特征进行比较,确定感兴趣的参数。此专利实质上公开了一种实时模型回归,实时执行特征迭代,并重复改变模型,直到获得满意的匹配。但是,没有利用这种技术确定不对称性的公开。注意到,图2和图4中的轮廓是对称的,而第7列第40行写到“…并确定对称的左边缘轮廓和右边缘轮廓之间的间距…”。
美国专利No.6,292,265涉及通过监控受到凹陷、腐蚀和残余的材料的厚度,测量这些效应。注意到第3列第15行写到:“本发明的主要特征是提供这样一种方法…防止残余、凹陷和腐蚀效应。”同样,注意到第3列第25段,写到:“本发明的主要思想基于…一种能够在形成了图案的结构中进行厚度测量的光学监控系统。”此公开涉及CMP,CMP是厚度/起伏测量,而不是整个线形轮廓的测量,并不可能显示任何不对称性。
美国专利No.5,912,741涉及表面微结构/粗糙度的测量,并基于经典散射。本发明基于对特定衍射级的测量——此专利基于对漫反射或镜面反射的测量,而不是衍射。同样没有提及不对称性测量——这是因为此测量并不应用于线路轮廓测量。
美国专利No.4,978,862和4,933,567同样涉及材料的微结构和微缺陷,并不针对物理线路轮廓测量。讨论了可以测量的结晶缺陷和杂质。并未对衍射强度的测量和如何可以用于不对称线路轮廓的测量进行讨论。
美国专利No.6,292,259同样涉及了经典的散射测量法,例如,由材料表面上的凹陷和微粒所引起的散射等。该专利的新颖性在于其能够区分凹陷和微粒,但并不涉及衍射或衍射强度测量。
美国专利No.5,889,593公开了一种能够进行角度相关测量的光学设计。该专利公开了一种光学成像阵列(图中的参考符号60)。并未讨论将余角用于线路轮廓不对称测量的重要性。事实上,图6中重复的线路结构是对称的。
美国专利No.5,905,573涉及波导/谐振器中形成的渐消场的扰动。正在检测的材料上的局部拓扑引起了对探测辐射的干扰,从而在探测辐射的强度上产生“尖头脉冲信号(blip)”。此测量法并不是基于衍射物理学的。也不是角度相关的强度测量——如果谐振器中的辐射功率增加或下降,则必然出现一些影响场的拓扑。此外,并未对不对称性测量进行讨论。
美国专利No.5,982,489只涉及深度测量而不涉及线路轮廓(所以,不可能确定线路轮廓不对称)。其同样基于干涉技术,而不是衍射/散射测量。
美国专利No.5,864,394同样涉及缺陷测量。第1列第35行写到:“…用于检查表面上的不规则(污染物颗粒和图案缺陷)。”未曾提及衍射测量,因而并未对线路轮廓测量进行讨论,更未对可能出现的不对称性进行讨论。
美国专利No.5,637,873涉及一种应用于表面和涂层的发射率/反射率的光学设计。这并不是应用于形成了图案的部件或测量这些形成了图案的部件的轮廓的专利。
美国专利No.5,313,542、5,475,617和5,640,246主要涉及一种能够测量部分或全部半球散射光的光学设计。这种设计的应用是测量由刮痕、瑕疵、气泡、表面下缺陷和表面粗糙度所引起的光散射,是经典光反射应用。并未提及为了线路轮廓测量的目的,测量衍射,更未对不对称性进行讨论。
1999年,关于光子研究的NMRC科学报告同样只是涉及了经典的散射应用(即,测量表面缺陷)。然而,并未包括角度散射测量,未测量衍射,因而不能应用于线路轮廓测量。
P.Ding等人发表的“Light Scattering Study of Roughness andDishing on Post-CMP Wafers”(日期未知)同样涉及了经典散射。测量本身是角度散射测量,并不包括对衍射的测量(样本为周期性线路和空间)。但是,测量数据涉及粗糙度和凹陷应用,并不包括与理论衍射模型的比较。因而,并未测量线路轮廓,因此,并未提及不对称性测量。
“2pi Steradian Detection of Pits”(日期未知)涉及表面下缺陷,因而,这篇文献涉及经典衍射的应用。所采用的硬件是角度分辨散射仪,但是,如果不将这种硬件与衍射模型和分析方法相结合,则不能进行线路轮廓不对称的测量。
发明内容
本发明是一种用于测量微电子器件中的线路轮廓不对称的方法和设备,包括:将光直射向微电子器件的微电子部件阵列;检测从包括从一个或多个反射角和一个或多个波长所组成的组中选择的一个或多个部件的阵列散射回来的光;以及通过执行从检查来自反射的余角的数据和执行模型比较所组成的组中选择的操作,比较散射回来的光的一个或多个特性。在优选实施例中,直射光可以是实质上单波长的或多波长的。可以比较光强,相位的比较是可选的。可以比较光亮度和光相位的比值。优选地,按照一般圆锥配置,将光直射在微电子部件阵列上,由角度散射仪进行直射和检测,比较包括将反射回来的光分解为与入射面相关的S和P分量,而且所检测的是镜面级衍射光(specular order diffracted light)。优选地,比较的结果用于检测微电子器件单层中的不对称或微电子器件多层中的不对称。如果比较的结果表示阵列中的不对称,则可以适当地控制制造过程。
结合附图,在以下详细的描述中,对本发明的目的、优点和独创的特征及其应用范围部分地进行了描述,在某种程度上,本领域的技术人员,在仔细阅读以下描述或通过实施本发明,将清楚或知晓本发明的目的、优点和独创的特征及其应用范围。本发明的目的和优点可以通过在所附权利要求中具体指出的手段及其组合来实现和获得。
附图说明
并入并形成本说明书的一部分的附图描述了本发明的一个或多个实施例,以及与说明书一起,用于详细说明本发明的原理。附图只是针对描述本发明的一个或多个优选实施例的目的,并不能解释为对本发明的限制。在附图中:
图1是本发明中所采用的角度散射仪的方框图;
图2描述了本发明所采用的角度散射测量法的几何学结构;
图3(a)和(b)分别描述了所谓传统的和圆锥散射测量的测量方向;
图4(a)~(c)分别描述了一个对称的和两个不对称的抗蚀层轮廓;
图5是与图4(a)~(c)的轮廓相对应的角度特征数据的曲线图;
图6是来自(镜像)金属抗蚀晶片的角度散射测量特征的曲线图;
图7是由金属上的抗蚀层样本集中的晶片5得到的侧壁角度的曲线图;
图8是来自(镜像)刻蚀后的多晶硅晶片的角度散射测量特征的曲线图;
图9(a)和(b)分别是刻蚀后的多晶硅晶片的散射测量和横截面SEM之间的左侧壁角度比较和右侧壁角度比较;
图10是来自(镜像)193nm抗蚀晶片的角度散射测量特征的曲线图;
图11(a)和(b)分别是193nm抗蚀晶片的散射测量和横截面SEM之间的左侧壁角度比较和右侧壁角度比较;
图12是193nm抗蚀晶片的AFM和散射测量CD测量结果的比较;
图13示出了可以用于测量层叠失调的光栅叠光栅(grating-on-grating)轮廓的图像;
图14是采用传统的(非圆锥)扫描得到的图14中的轮廓的角度散射测量特征的曲线图;
图15是采用传统的扫描得到的左偏移量和右偏移量的角度散射扫描特征(不惟一)的曲线图;
图16是采用圆锥扫描得到的图14中的轮廓的角度散射测量特征的曲线图;以及
图17是采用圆锥扫描得到的左偏移量和右偏移量的角度散射扫描特征(惟一)的曲线图。
具体实施方式
本发明是一种测量微电子部件阵列的对称性/不对称性的方法。通过分析由微电子部件阵列散射的光,可以进行线路轮廓的测量。特别地,以余角,即与垂直于表面的位置成+45度和-45度进行测量的散射仪理论上适合于对称性/不对称性测量,因为线路轮廓的反射特性可以在这两个角度发生变化,尽管余角并不是检测不对称性所必需的。为了增强这种效应的敏感性,最好沿称为一般圆锥配置的特定方向放置部件阵列。
可以按照任何余角(+/-45度是一个示例)执行本发明的散射测量法,而且可以包括几个角度或一系列角度。此外,在每个角度的测量可以包括单波长(如,激光),或者可以包括由几个波长构成的光或宽波长光(如,白光源)。可以单独地测量光强,或者可以一前一后地测量强度和相位,类似于椭圆偏振计测量法。
与所使用的光源或测量的方式无关,假设所述阵列依照一般圆锥结构定向,对来自余角的数据的比较将立即显示出是否出现不对称。不额外需要任何分析,如果光测量是相同,则轮廓是对称的。相反,如果光测量不同,则轮廓是不对称的。通常,当使用更多的余角时,测量敏感度也就越好。这使得角度散射仪(扫描角度)比频谱散射仪(扫描波长)更适用于这些对轮廓不对称性的测量。
本发明的余角散射测量方法的应用包括,但并不局限于:
-对准晶片台与光学系统,如光刻工具上的那些光学系统(步进电机或扫描器)或在光刻过程中等;
-对准晶片与光学系统,如光刻工具上的那些光学系统(步进电机或扫描器)或在光刻过程中等;
-确定在光刻工具或过程中出现的透镜色像差(aberration);
-对光刻工具或过程的成像性能的一般性诊断;
-测量烘烤过程/台的温度均匀性;
-测量抗蚀剂旋涂机或旋涂处理的厚度均匀性;
-测量显影处理/台的均匀性;
-表示刻蚀工具或过程的特征;
-表示整平工具或过程的特征;以及
-表示金属化工具或过程的特征。
-控制任何上述过程。
在最为一般的意义上,半导体处理的一个目标是生产本质上对称的器件(即,晶体管栅极)。事实上,很少故意地生产非对称或不对称的器件。所以,光刻图案形成处理期望得到对称性,尤其是关于线路底部的底座的对称性,以及相同的侧壁。同样,刻蚀处理也努力产生对称的部件,在这种情况下,主要是关于线路侧壁。所以,为了控制这些处理步骤,测量技术必须能够检测不对称性,最好能够测量出现的任何不对称性(诸如不相同的左侧壁和右侧壁等)。
散射测量是一种基于对周期性部件阵列所散射的光进行分析的光学计量学。在严格的物理意义上,由周期性样本“散射”的光实际上是由于衍射,但一般意义上,将其称为散射。当利用一些光源照射一系列周期性部件(称为衍射光栅)时,散射/衍射光的反射特性依赖于部件自身的结构和组成。因而,通过分析散射“特征”,就可以确定衍射光栅的形状和尺寸。
衍射实际上可以产生多个由部件散射的不同“级次”或光束。但是,对于现代半导体产品的几何形状,部件的周期很小,因而通常只有一个衍射级存在。这个级次被称为“镜面”或0级,而且是散射测量技术中最经常使用的光束。利用镜面级次分析光散射的一种更为普通的方法是改变照射光源(通常是激光器)的入射角。如图1所示,当改变入射角Θi,随后将检测器移动到角度Θn以便测量镜面级次的衍射功率时,测量到散射“特征”。此散射特征——称为角度特征——包含了关于诸如光栅厚度和光栅线路宽度等衍射结构的信息。在正确测量时,此角度特征还可以包括与光栅线路中出现的任何不对称性相关的信息。通过余角进行测量(相对于法线的正余角和负余角),如果线路是不对称的,则可以获得不对称的特征。相反,如果线路轮廓事实上是对称的,测量到的特征也将是对称的。余角并不是必需的,但是,如果为了比较的目的,能够使用合适的理论衍射模型,并可以解决“逆”问题(参见下面),则必须使用余角。
散射测量方法经常被描述为两部分,通常称为“正”问题和“逆”问题。在最简单的意义上,正问题是测量散射特征,而逆问题是分析特征,以便提供有意义的数据。尽管多年来已经研制了多种类型的散射仪,例如,C.J.Raymond等“Metrology of subwavelengthphotoresist gratings using optical scatterometry”,Journal ofVacuum Science and Technology B 13(4),1484~1495(1995年);S.Coulombe等“Ellipsometric scatteometry for sub 0.1μmmeasurements”,Integrated Circuit Metrology,Inspection andProcess Control XII,Proc.SPIE 3332(1999年);Z.R.Hatab等“Sixteen-megabit dynamic random access memory trench depthcharacterization using two-dimensional diffraction analysis”,Journal of Vacuum Science and Technology B 13(2),174~182(1995年);以及X.Ni等“Specular spectroscopic scatterometry inDUV lithography”,Proc SPIE 3677,159~168页(1999年),但最为得到了广泛的研究的是角度型或“2-Θ”型(因为图1所示的两个Θ),其中,如上所述,改变入射角,以便获得散射特征。测量线路轮廓不对称性,这种类型的散射仪是优选的,但并不是必需的。应当注意的是,图1中的扫描光学系统使角度散射仪能够测量从法线(0度)到大约+/-47度的正角度和负角度。
已经探寻了几种不同的途径来解决逆问题。C.J.Raymond等“Metrology of subwavelength photoresist gratings using opticalscatterometry”,Journal of Vacuum Science and Technology B 13(4),1484~1495(1995年);R.H.Krukar,博士论文,Universityof New Mexico(1993年);J.Bischoff等,Proc SPIE 3322,526~537页(1998年);以及I.J.Kallioniemi等,Proc SPIE 3743,33~40页(1999年)。因为衍射光栅的光学响应可以由Maxwell方程严格地进行模拟,最一般的方法是基于模型的分析。这些技术依赖于将所测量的散射特征与由理论模型所产生的特征进行比较。对微分和积分模型都已经进行了研究。因为这些衍射模型的计算强度很大,在不引入由于递归的性能所引起的误差的情况下,标准的递归技术目前通常不能使用,但如果误差很小或被接受,则可以使用递归方法。但是,通常,利用模型,推理产生一系列与如光栅的厚度和光栅线路的宽度等多种光栅参数的分立迭代相对应的特征。这种在一定的数值范围内迭代所有参数时所产生的特征集合被称为特征库。当测量散射特征时,与特征库进行比较,以便找到最为接近的匹配样本。如最小化均方误差(MSE)或均方根误差(RMSE)等标准欧几里得距离测量用于确定最接近的匹配样本。与所测量的特征最为匹配的已建模特征的参数被用作此测量特征的参数。优选用于实现本发明的散射仪包括基于误差最小化的分析软件。
在先前的研究中,散射仪用于测量光刻胶样本的临界尺寸(CD)和轮廓特征,C.J.Raymond等“Metrology of subwavelengthphotoresist gratings using optical scatterometry”,Journal ofVacuum Science and Technology B 13(4),1484~1495(1995年);C.Baum等,“Resist line width and profile measurement usingscat terometry”,SEMATECH AEC-APC Conference,Vail,Colorado(1999年9月);以及测量诸如多晶硅和金属等被刻蚀材料的临界尺寸和轮廓特征,S.Bushman等,“Scatterometry Measurements forProcess Monitoring of Polysilicon Gate Etch”,Process,Equipment,and Materials Control in Integrated CircuitManufacturing III,Proc.SPIE 3213(1997年);C.Baum等,“Scatterometry for post-etch polysilicon gate metrology”,Integrated Circuit Metrology,Inspection and Process ControlXIII,Proc.SPIE 3677,148~158页(1999年);以及C.Raymond等,“Scatterometry for the measurement of metal features”,Integrated Circuit Metrology,Inspection and Process ControlXIV,Proc.SPIE 3998,135~146页(2000年)。因为此技术快速、非破坏性并具有已经证实的优秀的精确度,是用在主流半导体制造中的其他测量方法的有力替代方法。特别地,散射仪非常适合于测量不对称性,正如将要证明的那样,因为如果在光栅线路上出现任何不对称,角度散射“特征”可以很快示出(不需要解决逆问题)。
当考虑到在镜面(0级)散射特征的测量衍射系数中是否期望对称性时,方便地是,将输入和输出场分解为与光栅问题的输入边界(在这种情况下,为xy平面)相关的S和P分量。图2描述了这些与角度扫描方向(示出了从正和负角度范围进行的扫描)相关的分量的几何学结构。应当注意的是,图中所示出的入射平面是页面本身,而且相对于此入射平面的光栅方向未标出参考符号。从图中,可以看到当光束从角度范围的一半移动到另一半时,S偏振分量中存在相位差。此相位差是不对称线路轮廓可以产生不对称的角度特征的一个原因。
相对于入射平面的光栅方向是在测量样本不对称性中另一个重要的考虑因素。图3描述了两个方向,称为圆锥配置和传统配置。根据第一原理,可以给出,与光栅矢量(所谓的“法线”或“传统的”配置)平行的扫描是惟一永远不能与总电磁场的S和P模式相耦合的情况(参见,如M.Moharam等,“Formulation for stable and efficientimplementation of the rigorous coupled-wave analysis of binarygrat ings”,J.Opt.Soc.Amer.A,第12卷,1068~1076页(1995年5月),公式(48))。对于一般的圆锥散射问题,如果输入光处于完全P偏振态,此问题的耦合特性给出在输出(总)场中可以观察到S和P分量。类似地,如果输入光处于完全S偏振态,则可以在输出(总)场中观察到S和P分量。
散射问题是线性的,所以可以采用叠加原理。如果将混合偏振态用作输入波,则可以将输入场分解为S和P分量,单独解决此问题,然后将得到的复振幅输出场进行叠加。由于此问题的完全耦合特性,总输出场的S分量由来自输入场的S和P部分的贡献组成。对于总输出场的P分量,类似的情况也是如此。以复振幅进行叠加,因而,来自输入场的S和P部分的S偏振态场分量表现出干涉效应。这意味着,总输入场的S和P分量之间的相对相位差可以转变为总输出场的S和P分量中的幅度差。考虑此因素,对于任何存在耦合的情况,在输出衍射系数中将出现不对称性。同样应当注意的是,在严格的圆锥扫描(照射光束的波矢与结构的对称面保持平行)中,对称结构不产生耦合。因此,对于这种情况,所测量到的衍射系数是对称的。只有在不对称的结构或一般圆锥扫描的情况(照射光束的波矢不与结构的对称面保持平行)下,利用输入光束中的S和P分量,在所测得的S和P衍射系数中才能够出现不对称。
为了引入不对称的光栅线路引起不对称的测量散射特征的概念,考虑图4(a)~(c)中示出的简单光刻胶线路轮廓。图4(a)描述了具有等于90度的侧壁角度的完全对称轮廓。在图4(b)中,右壁角度变为80度,而图4(c)中描述了相反的情况(左壁为80度,右壁为90度)。图5示出了与这些轮廓中的每一个相关的——通过余角测得的——角度散射特征。正如图中可以看到的那样,对于两个偏振,对称的轮廓产生对称的散射特征。但是,不对称的轮廓在两个偏振中均表现出严重的不对称。事实上,作为轮廓不对称的结果,特征表现为倾斜的或“倾斜的(tipped)”。此外,对80/90和90/80度情况的特征数据的比较示出了有趣的结果——相反的侧壁角度产生了特征上的相反。物理上,这种相反与将晶片旋转180度从而调换扫描的正负范围相同,所以此结果是自洽(self consistent)的。这些图同样描述了对于确定不对称性的出现,角度散射测量的优势,因为可以仅仅通过对特征的视觉观察,就可以确定轮廓是非对称的。但是,如果只有“半侧”(正或负)角度,或者如果系统是在固定角度操作的频谱散射仪,则可以通过解决逆问题(即,进行模型比较,或者通过递归,或者通过利用样本库比较),确定不对称性。
下面的示例示出了本发明的散射测量技术测量线路不对称性具有良好的敏感度,从而可以用于保证如光刻和刻蚀处理等需要对称性结果的处理的质量。与诸如AFM和横截面SEM等其他测量技术的比较示出了良好的一致性。
工业应用:
通过以下非限制性示例,对本发明进行进一步的描述。
为了评估利用散射测量对不对称的轮廓进行测量的生存能力,研究了三种不同样本类型(示例1~3)。第一样本集包括金属衬底上的光刻胶线路的三块晶片。第二样本是已刻蚀的多晶硅的单晶片。第三样本集也是印制在193nm光刻胶中的光栅线路的单晶片。对于每个样本集,通过以圆锥扫描方向进行测量并遍及正负角度,来获得原始的散射特征。对于每个样本集,产生了合适的散射测量库,并包括独立变化的左侧壁和右侧壁以及如CD和厚度等其他参数。
示例4描述了利用本发明测量半导体晶片上的两个连续层的对准。
示例1——金属衬底上的光刻胶线路
此样本集的线宽标称为250nm宽。堆叠化合物,从上到下包括形成了图案的光刻胶、ARC、TiN层以及厚AlCu层(有效地用作衬底)。
来自此样本集的原始特征表现出大量的不对称性。图6利用一个叠加(“镜像”)在另一个上面的角度扫描的正负半轴,描述了此数据集的一个特征。清楚地,如图所示,两个半轴并不相同。事实上,在一些角度,折射率相差高于5%,而且在一些角度,特征的结构也不同。因为按照圆锥光栅方向进行测量,这是轮廓不对称的标志。
来自此数据集的原始特征与模型匹配得很好。在图7中可以看到由这些晶片测量结果所得到的侧壁角度。检索允许左侧壁角度和右侧壁角度独立变化的样本库。除了散射测量结果之外,在此曲线图上还可以看到相同的位置的AFM数据。AFM和散射测量结果都表示侧壁角度上真的存在差异,在1~2度的范围内。数据均表示左侧壁角度比右侧壁角度陡。散射测量数据表示左角和右角向同一方向移动,即,线路的总体宽度并未改变,而是沿着晶片从一侧向另一侧“摆动”1~2度。这种效应可能是由于左侧壁角度和右侧壁角度参数之间的相关性而引起的,但对于已建模的特征数据的检查表明,当固定一个侧壁角度,而允许改变另外一个侧壁角度时,二者极为不同。
示例2——已刻蚀的多晶硅线路
此样本集的线宽从150到300nm。堆叠结构包括硅衬底上的氧化物上的形成了图案的(已刻蚀的)多晶硅。当按照圆锥配置进行测量时,此样本集的原始特征表现出一般的不对称。图8描述了一个这样的特征,其将特征的正负半轴“镜像”以描述这种不对称。
为了作出比较,将用于此散射测量法的晶片进行横剖,并通过SEM进行测量,以确定线路的侧壁角度。图9示出了两种技术左侧壁角度和右侧壁角度测量结果的比较结果。如图所示,两种工具都报告了一定程度的侧壁不对称,左侧壁角度稍微小一些。此外,两种技术之间侧壁角度的相关性很好,并示出了位置间相似的趋势。
示例3——193nm光刻胶线路
所研究的最后一个样本集是印制在BARC层、多层、氧化物层和硅衬底上的193nm光刻胶线路的单晶片。此晶片上的标称部件尺寸是180nm线路。
对于此晶片,当以圆锥模式进行测量时,特征数据只是略微地不对称。图10描述了这些特征中的一个“镜像”到其自身的S和P偏振。与前述示例观察到的特征不对称性相比,此不对称性相当的弱。
在图11中可以看到此晶片上的一列的左侧壁角度和右侧壁角度数据。在此图中的曲线图中包括利用AFM在相同位置做出的测量结果。两种测量技术在侧壁角度的整体数量上匹配得很好。AFM数据示出了更多不对称的测量结果,但基本上与来自散射仪的数据一致。可以在图12中看到对通过散射仪和AFM从同一列获得的CD测量结果的比较。如图所示,AFM和散射仪测量结果之间匹配得非常好。这两种技术之间的平均差为2.43nm。
示例4——连续层对准测量
半导体晶片上两个连续层的对准对于所制造的器件的最终性能十分重要。这种对准(也称为层叠)非常重要,有工具专用于执行这一任务。这些工具基于对印制在每层上的特殊对准标记的测量图像。但是,随着半导体工业向越来越小的尺寸发展,对于这些提供所需测量分辨率的工具的能力提出了质疑。
散射测量是非常适用于层叠测量的技术。通过利用光栅叠光栅结构,对于0或镜面衍射级的散射测量对连续光栅层中的对准位移很敏感。这种光栅层中的位移(也称为偏移量)导致不对称的线路轮廓,这种不对称的线路轮廓可以利用沿着正确测量方向的、并优选地(但不是必需地)具有测量余角(正角度和负角度)的能力的散射仪来测量。
图13示出了可以用于层叠测量的光栅叠光栅的图像。两层连续层的失调误差导致了光栅线路问的位移和不对称的线路轮廓。图14示出显示了按照传统方向(参见图3(a))进行的测量对偏移量或层叠误差的敏感度的结果。当引入偏移量时,特征改变,是通常测量敏感度的有利标志。但是,如图15所示,对于传统的扫描,当引入相同数量的+/-偏移量时,得到的特征不惟一。因而,传统的扫描不如圆锥扫描令人满意。
利用圆锥扫描重复操作得到了图16和图17中示出的特征。与传统扫描一样,图16示出了随着偏移量改变的特征,但现在图17示出了+/-(左/右)偏移量之间惟一的变化。同样注意到关于零度对称。
因而,研究表明对镜面(0)级的传统和圆锥扫描都对偏移量敏感,但只有圆锥扫描提供了相对于左/右位移惟一的特征。现有用于评估层叠的散射测量方法包括利用第1级或更高级次,所以需要测量更高级次的特殊测量硬件。例如,参见Sohail Naqvi等,“Diffractivetechniques for lithographic process monitoring and control”,JVSTB 12(6)(1994年11月)(利用较高级次的波动光栅干涉测量技术);以及J.Bischoff等,“Light diffraction based overlaymeasurement”,Proc.SPIE第4344卷,222~233页(2001年)(光栅叠光栅的第1级测量)。
通过对用在前述示例中的本发明的一般或特别描述的成分和/或操作条件进行替代,同样可以成功地重复前述示例。
尽管已经参照这些优选实施例对本发明进行了详细的描述,其他实施例可以获得相同的结果。对于本领域的技术人员,本发明的变化和修改是显而易见的,并期望在所附权利要求中覆盖所有这种修改和等价物。在这里所提及的所有参考文献、申请、专利和出版物的整体公开一并作为参考。

Claims (26)

1、一种用于测量微电子器件中的线路轮廓不对称的方法,所述方法包括以下步骤:
将光直射向微电子器件的微电子部件阵列;
检测从包括从一个或多个反射角和一个或多个波长所组成的组中选择的一个或多个部件的阵列散射回来的光;以及
通过执行从检查来自反射的余角的数据和执行模型比较所组成的组中选择的操作,比较散射回来的光的一个或多个特性。
2、按照权利要求1所述的方法,其特征在于所述直射步骤包括直射实质上单波长的光。
3、按照权利要求1所述的方法,其特征在于所述直射步骤包括直射多波长的光。
4、按照权利要求1所述的方法,其特征在于所述比较步骤包括比较光强。
5、按照权利要求1所述的方法,其特征在于所述比较步骤额外地包括比较相位。
6、按照权利要求1所述的方法,其特征在于所述比较步骤额外地包括比较光亮度和光相位的比值。
7、按照权利要求1、2或3所述的方法,其特征在于所述直射步骤包括按照一般圆锥配置,将光直射在微电子部件阵列上。
8、按照权利要求1、2或3所述的方法,其特征在于由角度散射仪执行所述直射和检测步骤。
9、按照权利要求1、2或3所述的方法,其特征在于由频谱散射仪执行所述直射和检测步骤。
10、按照权利要求1、2或3所述的方法,其特征在于所述比较步骤包括将反射回来的光分解为与入射面相关的S和P分量。
11、按照权利要求1、2或3所述的方法,其特征在于所述检测步骤包括检测镜面级衍射光。
12、按照权利要求1、2或3所述的方法,其特征在于额外地包括以下步骤:采用所述比较步骤的结果,检测从微电子器件单层中的不对称和微电子器件多层中的不对称组成的组中选择的不对称。
13、按照权利要求12所述的方法,其特征在于额外地包括以下步骤:如果所述比较步骤的结果表示阵列中的不对称,则控制制造过程。
14、一种用于测量微电子器件中的线路轮廓不对称的设备,所述设备包括:
直射装置,将光直射向微电子器件的微电子部件阵列;
检测装置,检测从包括从一个或多个反射角和一个或多个波长所组成的组中选择的一个或多个部件的阵列散射回来的光;以及
比较装置,通过执行从检查来自反射的余角的数据和执行模型比较所组成的组中选择的操作,比较散射回来的光的一个或多个特性。
15、按照权利要求14所述的设备,其特征在于所述直射装置包括用于直射实质上单波长的光的装置。
16、按照权利要求14所述的设备,其特征在于所述直射装置包括用于直射多波长的光的装置。
17、按照权利要求14所述的设备,其特征在于所述比较装置额外地包括用于比较光强的装置。
18、按照权利要求14所述的设备,其特征在于所述比较装置额外地包括用于比较相位的装置。
19、按照权利要求14所述的设备,其特征在于所述比较装置额外地包括用于比较光亮度和光相位的比值的装置。
20、按照权利要求14、15或16所述的设备,其特征在于所述直射装置包括按照一般圆锥配置,将光直射在微电子部件阵列上的装置。
21、按照权利要求14、15或16所述的设备,其特征在于所述直射和检测装置包括角度散射仪。
22、按照权利要求14、15或16所述的设备,其特征在于所述直射和检测装置包括频谱散射仪。
23、按照权利要求14、15或16所述的设备,其特征在于所述比较装置包括将反射回来的光分解为与入射面相关的S和P分量的装置。
24、按照权利要求14、15或16所述的设备,其特征在于所述检测装置包括用于检测镜面级衍射光的装置。
25、按照权利要求14、15或16所述的设备,其特征在于额外地包括采用装置,采用所述比较步骤的结果,检测从微电子器件单层中的不对称和微电子器件多层中的不对称组成的组中选择的不对称。
26、按照权利要求25所述的设备,其特征在于额外地包括控制装置,如果所述比较装置指示阵列中的不对称,则控制制造过程。
CNB028058909A 2001-03-02 2002-02-28 利用散射测量的线路轮廓不对称测量法 Expired - Fee Related CN1261736C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US27303901P 2001-03-02 2001-03-02
US60/273,039 2001-03-02

Publications (2)

Publication Number Publication Date
CN1509404A true CN1509404A (zh) 2004-06-30
CN1261736C CN1261736C (zh) 2006-06-28

Family

ID=23042286

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028058909A Expired - Fee Related CN1261736C (zh) 2001-03-02 2002-02-28 利用散射测量的线路轮廓不对称测量法

Country Status (8)

Country Link
US (1) US6856408B2 (zh)
EP (1) EP1370828B1 (zh)
JP (1) JP2004529330A (zh)
KR (1) KR100536646B1 (zh)
CN (1) CN1261736C (zh)
IL (2) IL157691A0 (zh)
TW (1) TW513553B (zh)
WO (1) WO2002070985A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101469973B (zh) * 2007-12-28 2011-03-02 瑞萨电子株式会社 测量方法
CN107449740A (zh) * 2017-07-26 2017-12-08 嘉兴申宁精密科技有限公司 一种用于测量样品的衍射结构的装置及方法
CN110870052A (zh) * 2017-07-06 2020-03-06 科磊股份有限公司 在成像技术中估计振幅及相位不对称性以在叠加计量中达到高精准度

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6917419B2 (en) 2000-09-20 2005-07-12 Kla-Tencor Technologies Corp. Methods and systems for determining flatness, a presence of defects, and a thin film characteristic of a specimen
US7130029B2 (en) * 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US7515279B2 (en) 2001-03-02 2009-04-07 Nanometrics Incorporated Line profile asymmetry measurement
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6898537B1 (en) 2001-04-27 2005-05-24 Nanometrics Incorporated Measurement of diffracting structures using one-half of the non-zero diffracted orders
US6773939B1 (en) * 2001-07-02 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for determining critical dimension variation in a line structure
US6713753B1 (en) 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6986280B2 (en) * 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
US6609086B1 (en) * 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
EP1400855A3 (en) * 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US20040133362A1 (en) * 2002-10-21 2004-07-08 Emit Systems Co. Method for significantly increasing computational speed, accuracy and versatility of electromagnetic reconstruction of shapes and composition of complex targets containing lossy materials
EP1416328A3 (en) * 2002-11-01 2006-11-15 ASML Netherlands B.V. Inspection method and device manufacturing method
US7151594B2 (en) 2002-11-01 2006-12-19 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
DE10315086B4 (de) * 2003-04-02 2006-08-24 Infineon Technologies Ag Verfahren und Vorrichtung zum Ausrichten von Halbleiterwafern bei der Halbleiterherstellung
FI117354B (fi) * 2003-06-02 2006-09-15 Tamglass Ltd Oy Menetelmä reunamuotilla taivutettavan lasilevyn taivutuspussikkuuden mittaamiseksi
US7271921B2 (en) * 2003-07-23 2007-09-18 Kla-Tencor Technologies Corporation Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
CN1879004A (zh) * 2003-09-12 2006-12-13 安格盛光电科技公司 线轮廓不对称测量
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7629697B2 (en) 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US20070091325A1 (en) * 2005-01-07 2007-04-26 Mehrdad Nikoonahad Multi-channel optical metrology
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
WO2006117789A2 (en) 2005-05-03 2006-11-09 Technion Research & Development Foundation Ltd. Space-variant polarization manipulation of thermal emission
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7759136B2 (en) * 2006-03-29 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Critical dimension (CD) control by spectrum metrology
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7999940B2 (en) 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7643666B2 (en) * 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8294907B2 (en) 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7522295B2 (en) * 2006-11-07 2009-04-21 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7710572B2 (en) * 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US7873220B2 (en) * 2007-01-03 2011-01-18 Collins Dennis G Algorithm to measure symmetry and positional entropy of a data set
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
JP2008249386A (ja) * 2007-03-29 2008-10-16 Dainippon Screen Mfg Co Ltd 欠陥検査装置および欠陥検査方法
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7570358B2 (en) 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
KR100904685B1 (ko) * 2008-03-03 2009-06-24 이화여자대학교 산학협력단 마이크로미러를 이용한 다파장 측정 광학 모듈
JP5175605B2 (ja) * 2008-04-18 2013-04-03 株式会社日立ハイテクノロジーズ パターン形状検査方法
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
JP6008851B2 (ja) * 2010-07-19 2016-10-19 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ誤差を決定する方法及び装置
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
US9075027B2 (en) * 2012-11-21 2015-07-07 Kla-Tencor Corporation Apparatus and methods for detecting defects in vertical memory
US9740108B2 (en) * 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
US10401632B2 (en) 2014-03-14 2019-09-03 Flir Systems, Inc. Planar high angle line generator
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
EP3293574A1 (en) * 2016-09-09 2018-03-14 ASML Netherlands B.V. Metrology method, apparatus and computer program
TW201923332A (zh) 2017-10-10 2019-06-16 荷蘭商Asml荷蘭公司 度量衡方法和設備、電腦程式及微影系統
TWI683732B (zh) 2019-05-21 2020-02-01 吳明杰 五連桿夾鉗

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
US4978862A (en) 1988-07-13 1990-12-18 Vti, Inc. Method and apparatus for nondestructively measuring micro defects in materials
US4933567A (en) 1988-07-13 1990-06-12 Vti, Inc. Method and apparatus for nondestructively measuring subsurface defects in materials
JP2661314B2 (ja) 1990-03-07 1997-10-08 松下電器産業株式会社 形状測定装置及び形状測定方法
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5114233A (en) 1990-10-09 1992-05-19 At&T Bell Laboratories Method for inspecting etched workpieces
EP0587093B1 (en) 1992-09-08 1999-11-24 Hitachi, Ltd. Information processing apparatus using inference and adaptive learning
US5313542A (en) 1992-11-30 1994-05-17 Breault Research Organization, Inc. Apparatus and method of rapidly measuring hemispherical scattered or radiated light
JPH06317412A (ja) 1993-04-30 1994-11-15 Shuichi Sato 形状読取装置
US5864394A (en) 1994-06-20 1999-01-26 Kla-Tencor Corporation Surface inspection system
US6118525A (en) 1995-03-06 2000-09-12 Ade Optical Systems Corporation Wafer inspection system for distinguishing pits and particles
US5637873A (en) 1995-06-07 1997-06-10 The Boeing Company Directional reflectometer for measuring optical bidirectional reflectance
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5739909A (en) 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5982489A (en) 1996-01-29 1999-11-09 Nikon Corporation Method and apparatus for measuring depth of a depression in a pattern by light interference from crossed light beams
US6021000A (en) * 1996-07-09 2000-02-01 Asahi Kogaku Kogyo Kabushiki Kaisha Beam splitting diffractive optical element
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US6075594A (en) 1997-07-16 2000-06-13 Ncr Corporation System and method for spectroscopic product recognition and identification
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5905573A (en) 1997-10-22 1999-05-18 Sandia Corporation Near field optical probe for critical dimension measurements
US5963329A (en) * 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6137570A (en) * 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
IL128920A0 (en) 1999-03-10 2000-02-17 Nova Measuring Instr Ltd Method for monitoring metal cmp
JP2001074636A (ja) 1999-09-03 2001-03-23 Canon Inc 走査型プローブによる信号検出方法および信号検出装置、並びに該信号検出装置を備えた原子間力顕微鏡
AU2001279247A1 (en) 2000-08-10 2002-02-25 Sensys Instruments Corporation Database interpolation method for optical measurement of diffractive microstructures
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
TW519746B (en) 2001-01-26 2003-02-01 Timbre Tech Inc System and method for characterizing macro-grating test patterns in advanced lithography and etch processes
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6650422B2 (en) 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
DE10142317B4 (de) 2001-08-30 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Vorrichtung zur Bestimmung eines Überlagerungsfehlers und kritischer Dimensionen in einer Halbleiterstruktur mittels Streuungsmessung
DE10142316A1 (de) 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
DE10142318C1 (de) 2001-08-30 2003-01-30 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
JP2003224057A (ja) 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101469973B (zh) * 2007-12-28 2011-03-02 瑞萨电子株式会社 测量方法
CN110870052A (zh) * 2017-07-06 2020-03-06 科磊股份有限公司 在成像技术中估计振幅及相位不对称性以在叠加计量中达到高精准度
CN110870052B (zh) * 2017-07-06 2021-09-10 科磊股份有限公司 在成像技术中估计振幅及相位不对称性以在叠加计量中达到高精准度
CN107449740A (zh) * 2017-07-26 2017-12-08 嘉兴申宁精密科技有限公司 一种用于测量样品的衍射结构的装置及方法

Also Published As

Publication number Publication date
EP1370828A4 (en) 2007-03-21
US20020149782A1 (en) 2002-10-17
IL157691A (en) 2007-06-03
IL157691A0 (en) 2004-03-28
US6856408B2 (en) 2005-02-15
EP1370828B1 (en) 2016-11-23
KR20030080060A (ko) 2003-10-10
CN1261736C (zh) 2006-06-28
EP1370828A1 (en) 2003-12-17
KR100536646B1 (ko) 2005-12-14
JP2004529330A (ja) 2004-09-24
TW513553B (en) 2002-12-11
WO2002070985A1 (en) 2002-09-12

Similar Documents

Publication Publication Date Title
CN1261736C (zh) 利用散射测量的线路轮廓不对称测量法
US7639371B2 (en) Line profile asymmetry measurement
US11428650B2 (en) Computationally efficient x-ray based overlay measurement
KR101071654B1 (ko) 라인 프로파일 비대칭 측정
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
US9885962B2 (en) Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
KR102055966B1 (ko) 조합된 x-레이 및 광학 계측을 위한 모델 구축 및 분석 엔진, 방법, 그리고 컴퓨터 판독 가능한 매체
US7616313B2 (en) Apparatus and methods for detecting overlay errors using scatterometry
CN111819675B (zh) 用于实时测量控制的方法及系统
TW201825867A (zh) 用於x 射線散射測量系統之全光束度量
KR20190128262A (ko) 조합된 x­선 및 광학적 계측
CN1662788A (zh) 底切多层衍射结构的散射仪测量方法
CN111948239B (zh) 计算上高效的基于x射线的叠盖测量系统与方法
Raymond et al. Applications of angular scatterometry for the measurement of multiply periodic features
Ko et al. Comparisons of overlay measurement using conventional bright-field microscope and angular scatterometer
CN1238687C (zh) 利用衍射特征的分析对焦点中心的判断
McNeil Scatterometry applied to microelectronics processing
Raymond et al. Asymmetric line profile measurement using angular scatterometry
McNeil et al. Application of optical scatterometry to microelectronics and flat panel display processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20060628

Termination date: 20110228