EP3729491A1 - Procédé de formation d'une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie - Google Patents

Procédé de formation d'une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie

Info

Publication number
EP3729491A1
EP3729491A1 EP18827109.2A EP18827109A EP3729491A1 EP 3729491 A1 EP3729491 A1 EP 3729491A1 EP 18827109 A EP18827109 A EP 18827109A EP 3729491 A1 EP3729491 A1 EP 3729491A1
Authority
EP
European Patent Office
Prior art keywords
substrate
layer
polymer
forming
block copolymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP18827109.2A
Other languages
German (de)
English (en)
Inventor
Raluca Tiron
Florian DELACHAT
Ahmed GHARBI
Xavier CHEVALIER
Christophe Navarro
Anne PAQUET
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Original Assignee
Commissariat a lEnergie Atomique CEA
Commissariat a lEnergie Atomique et aux Energies Alternatives CEA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Commissariat a lEnergie Atomique CEA, Commissariat a lEnergie Atomique et aux Energies Alternatives CEA filed Critical Commissariat a lEnergie Atomique CEA
Publication of EP3729491A1 publication Critical patent/EP3729491A1/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/08Styrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F299/00Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers
    • C08F299/02Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates
    • C08F299/022Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polycondensates with side or terminal unsaturations
    • C08F299/024Macromolecular compounds obtained by interreacting polymers involving only carbon-to-carbon unsaturated bond reactions, in the absence of non-macromolecular monomers from unsaturated polycondensates from polycondensates with side or terminal unsaturations the unsaturation being in acrylic or methacrylic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L53/00Compositions of block copolymers containing at least one sequence of a polymer obtained by reactions only involving carbon-to-carbon unsaturated bonds; Compositions of derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0198Manufacture or treatment of microstructural devices or systems in or on a substrate for making a masking layer
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L25/00Compositions of, homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring; Compositions of derivatives of such polymers
    • C08L25/02Homopolymers or copolymers of hydrocarbons
    • C08L25/04Homopolymers or copolymers of styrene
    • C08L25/06Polystyrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, which oxygen atoms are present only as part of the carboxyl radical
    • C08L33/10Homopolymers or copolymers of methacrylic acid esters
    • C08L33/12Homopolymers or copolymers of methyl methacrylate

Definitions

  • the present invention relates to a method of forming a chemical guiding structure for the self-assembly of a block copolymer by chemo-epitaxy.
  • the present invention also relates to a method of chemo-epitaxy from a chemical guiding structure.
  • Directed self-assembly is an emerging lithography technique for forming critical dimension patterns smaller than 30 nm. This technique is a cheaper alternative to extreme ultraviolet lithography (EUV) and electron beam lithography (e-beam).
  • EUV extreme ultraviolet lithography
  • e-beam electron beam lithography
  • the known processes for self-assembly of block copolymers can be grouped into two categories: grapho-epitaxy and chemo-epitaxy.
  • Grapho-epitaxy consists in forming primary topographic patterns called guides on the surface of a substrate, these patterns delimiting zones within which a layer of block copolymer is deposited.
  • the guide patterns control the organization of the copolymer blocks to form higher resolution secondary patterns within these areas.
  • Chemo-epitaxy consists in modifying the chemical properties of certain regions of the surface of the substrate, to guide the organization of the block copolymer subsequently deposited on this surface.
  • the chemical modification of the substrate can in particular be obtained by grafting a polymer neutralization layer. Then, this neutralization layer is structured to create a chemical contrast on the surface of the substrate.
  • the regions of the substrate not covered by the neutralization layer have a preferential chemical affinity for one of the blocks of the copolymer, while the regions of the substrate covered by the neutralization layer have an equivalent chemical affinity for all the blocks of the copolymer.
  • the structuring of the neutralization layer is conventionally obtained by an optical or electron beam lithography step.
  • the article of C-C. Liu et al. entitled ["Integration of block copolymer directed assembly with 193 immersion lithography", J. Vac. Self. Technol., B 28, C6B30-C6B34, 2010] discloses a chemopitaxis method comprising forming a chemical guiding structure on the surface of a substrate.
  • the chemical guiding structure is composed of guiding units of a polymer having a preferential affinity for one of the blocks of the copolymer and a random copolymer film grafted onto the substrate outside the patterns, in a so-called back region. -plan.
  • the random copolymer is neutral with respect to the block copolymer, so that the domains of the copolymer are (after assembly) oriented perpendicularly to the substrate.
  • the chemical guiding structure is intended to direct the self-assembly of the PS-b-PMMA (polystyrene-b / oc-polymethylmethacrylate) block copolymer.
  • the guide patterns in the form of lines, consist of cross-linked polystyrene (X-PS).
  • the random copolymer, grafted between the lines, is PS-r-PMMA.
  • this chemo-epitaxy process firstly comprises forming a cross-linked polystyrene film 11 on a silicon substrate 10.
  • a mask consisting of resin patterns 12 is then formed on the film of crosslinked polystyrene 1 1, by optical lithography (typically 193 nm immersion). Then, the dimensions of the resin patterns 12 are reduced by an oxygen-based plasma step in order to obtain a width W of the order of the half-period of the block copolymer.
  • the cross-linked polystyrene film 11 is also etched through the mask 12 by the plasma. This etching step is commonly called "trim etch".
  • Cross-linked polystyrene units in the form of parallel lines, are thus formed on the substrate 10.
  • the polystyrene lines 11 ' have a width W equal to 15 nm and are spaced two by two by a distance Ls equal to 90 nm.
  • the substrate 10 is covered with a solution comprising the graftable random copolymer, then the random copolymer is grafted between the lines 11 'to form a neutralization layer 13.
  • a layer of PS- b-PMMA 14 is deposited and then assembled on the guide structure composed of the polystyrene lines 1 'and the neutralization layer 13.
  • the crosslinkable polymer layer must be very thin (typically less than or equal to 10 nm) and uniform in thickness to ensure, after assembly of the block copolymer, a good quality transfer of the patterns in the underlying layers. But when the polymer is deposited by centrifugation ("spin coating" in English), it is difficult with such a method to obtain a thin layer and constant thickness. In particular, problems of dewetting of the polymer are observed. Moreover, crosslinking has a planarizing effect. Thus, when the starting surface is not flat but has a topology, it is even more difficult to obtain a uniform layer thickness.
  • the aim of the invention is to make the formation of a chemical guiding structure on a substrate simpler and of better quality, with a view to its use in a chemo-epitaxy process, and to ensure better control of the thickness of this structure.
  • the use of a graftable polymer - rather than a crosslinkable polymer material - to form the initial pattern greatly simplifies the formation of the chemical guiding structure.
  • the chemical guiding structure is also of better quality, because the grafting makes it possible to obtain a very fine initial pattern (typically of thickness less than or equal to 10 nm) and uniform in thickness.
  • the deposition is carried out in the same way, by centrifugation of a polymer solution, but on greater thicknesses, which avoids the problems of dewetting.
  • the final thickness of the graft polymer is furthermore controlled by the grafting step, and not by the deposition step proper.
  • This thickness is easily controllable, by varying the molar mass of the graftable polymer material and the grafting kinetics.
  • the grafting temperature is advantageously lower than the degradation temperature of the polymer, in order to preserve the properties of the latter.
  • the grafting makes it possible to obtain uniform thicknesses even on surfaces having a topology, since it does not have a planarizing effect (unlike crosslinking).
  • the second polymer By choosing a second polymer of molar mass greater than that of the first polymer, it is avoided that the second polymer, deposited on the pattern (s) of the first polymer, covers the first graft polymer.
  • the second polymer can thus be grafted only in the regions of the surface of the substrate that are not occupied by the first graft polymer.
  • the second molar mass is preferably greater than or equal to 150% of the first molar mass, and more preferably greater than 200% of the first molar mass.
  • the second molar mass is furthermore less than or equal to 500% of the first molar mass.
  • the step of forming the initial pattern of the first polymer material comprises the following operations:
  • the step of forming the initial pattern comprises the following operations:
  • the first polymer material has a preferential affinity for one of the blocks of the copolymer and the second polymeric material is neutral with respect to the block copolymer.
  • the step of forming the initial pattern comprises the following operations: - forming a mask on the substrate;
  • the first polymer material is neutral with respect to the block copolymer and the second polymeric material has a preferential affinity for one of the blocks of the copolymer.
  • the mask of the second and third embodiments advantageously comprises at least one spacer-shaped pattern of critical dimension less than 20 nm.
  • the mask comprises at least two spacers of critical dimension substantially equal to half the natural period of the block copolymer and the spacers are further spaced two by two and center to center by a distance substantially equal to an integer multiple of the natural period of the block copolymer.
  • the invention also relates to a method of chemopitaxis comprising forming a chemical guiding structure on a substrate using the forming method described above, depositing a block copolymer on the guiding structure. chemical and assembly of the block copolymer.
  • FIG. 1, previously described, represents steps of a chemo-epitaxy process according to the prior art
  • FIGS. 2A to 2G show steps of a method for forming a chemical guiding structure, according to a first embodiment of the invention
  • FIGS. 3A to 3G represent steps of a method for forming a chemical guiding structure, according to a second embodiment of the invention
  • FIGS. 4A to 4D show steps of a method for forming a chemical guiding structure, according to a third embodiment of the invention.
  • FIGS. 5A to 5D show steps of a method for forming a chemical guiding structure, according to a fourth embodiment of the invention.
  • FIGS. 6A to 6E show steps of a method for forming a chemical guiding structure, according to a fifth embodiment of the invention.
  • FIGS. 7B and 7C represent an alternative embodiment of the steps represented by FIGS. 6B and 6C.
  • Figure 8 shows schematically the assembly of a block copolymer deposited on the chemical guiding structure of Figure 2G, 3G, 4D, 5D or 6E.
  • a chemical guiding structure here designates a set of at least two polymer units, arranged side by side on the substrate and having different chemical affinities, this set being repeated periodically on the surface of the substrate. A chemical contrast is thus created on the surface of the substrate.
  • the substrate 100 is for example made of silicon.
  • This chemical guiding (or contrast) structure is intended to be coated with a block copolymer, as part of a chemo-epitaxial directed block copolymer self-assembly process.
  • the chemical contrast makes it possible to direct (or "Guide") the organization of the monomer blocks that make up the copolymer.
  • the chemical affinities of the polymer units are relative to the blocks of the copolymer. These affinities can be chosen from the following possibilities:
  • the guide structure 200 preferably has a plurality of guide patterns 210 and a neutralization layer 220.
  • the neutralization layer 220 occupies a region of the surface of the substrate 100 adjacent to the guide patterns 210, and preferably the entire surface of the substrate 100 outside the guiding patterns 210.
  • the guiding patterns 210 and the neutralization layer 220 function to chemically (and differently) functionalize the substrate 100. also be described as patterns and functionalization layer.
  • the guiding units 210 are formed of a polymer having a preferential affinity for one of the blocks of the copolymer, while the neutralization layer 220 consists of a polymer whose affinity is neutral.
  • grafting of a polymer on a substrate means the formation of covalent bonds between the substrate and the chains of the polymer.
  • crosslinking of a polymer involves the formation of several bonds between the polymer chains without necessarily the formation of covalent bonds with the substrate.
  • FIGS. 2A to 2G are sectional views illustrating steps S1 1 to S17 of the chemical guide structure forming method, according to a first embodiment of the invention.
  • the first step S1 1 of the method comprises depositing a first layer 1 10 of sacrificial material on the substrate 100 and forming at least one cavity 1 1 1 in the first layer 1 10.
  • a first layer 1 10 of sacrificial material is depositing on the substrate 100 and forming at least one cavity 1 1 1 in the first layer 1 10.
  • several cavities 11 are formed in the first layer 1 10 of sacrificial material. For the sake of clarity, only two of these cavities 11 1 have been shown in Figure 2A.
  • Each cavity 1 1 1 has a bottom January 12 and side walls 1 13 extending in a secant direction on the surface of the substrate 100.
  • the side walls 1 13 extend in a direction perpendicular to the surface of the substrate 100.
  • each cavity January 1 opens onto the surface of the substrate 100.
  • the bottom 1 12 of the cavity 1 1 1 is constituted by the substrate 100, the surface is preferably flat.
  • Each cavity 1 1 1 preferably has a depth H of between 30 nm and 150 nm and a width W 'of between 30 nm and 60 nm.
  • the depth H of a cavity is measured perpendicularly to the surface of the substrate 100 (it is therefore equal to the thickness of the first layer 1 10 sacrificial material), while the width W 'of the cavity is measured parallel to the substrate surface 100 in the sectional plane of FIG. 2A.
  • the cavities January 1 may in particular take the form of a trench, a cylindrical well or a well of rectangular section.
  • the cavities 1 1 1 are straight trenches of identical dimensions and oriented parallel to each other. They also form a periodic structure, that is to say that they are spaced regularly.
  • the period P of this structure is preferably between 60 nm and 140 nm.
  • the sacrificial material of the first layer 1 is preferably chosen from materials that can easily be removed by wet etching and / or by dry etching, selectively with respect to the substrate 1 00.
  • materials that can easily be removed by wet etching and / or by dry etching, selectively with respect to the substrate 1 00.
  • the first layer 10 of sacrificial material can be formed of an antireflection coating containing silicon (also called "SiARC" for "Silicon-containing Anti-Reflective Coating").
  • the cavities 11 1 can be formed by photolithography or other structuring techniques, such as electron beam lithography ("e-beam").
  • e-beam electron beam lithography
  • the formation of the cavities 11 may in particular comprise the following operations:
  • a layer of resin or several layers intended to form a hard mask for example a stack of three layers successively comprising a spin-on carbon layer ("Spin On Carbon", SOC), an antireflection coating containing silicon (SiARC) and a resin layer;
  • SOC spin On Carbon
  • SiARC antireflection coating containing silicon
  • the first layer 1 is advantageously etched anisotropically, for example by means of a plasma.
  • An anisotropic etching technique provides better control of cavity dimensions 1 1 1.
  • the method then comprises the formation of spacers against the side walls of the cavities 11, in order to reduce the width W 'of the cavities beyond the resolution limit of the photolithography, typically up to a value of between 10 nm and 20 nm.
  • These spacers can be made in two successive steps S12 and S13, respectively represented by FIGS. 2B and 2C.
  • a second layer 120 made of sacrificial material is conformally deposited on the substrate 100 covered with the first layer 1 10.
  • the second layer 120 is thus of constant thickness and conforms to the relief of the first layer 1 10.
  • the thickness of the second layer 120 is preferably between 5 nm and 25 nm.
  • the conformal deposition technique used for depositing the second layer 120 is for example the Atomic Layer Deposition (or ALD), which may be plasma enhanced (PEALD, Plasma Enhanced Atomic Layer Deposition).
  • the sacrificial material of the second layer 120 can in particular be chosen from silicon dioxide (SiO 2), a silicon oxynide (SiO x Ni), alumina (Al 2 O 3) and hafnium dioxide (HfO 2). It is not necessarily identical to the sacrificial material of the first layer 1 10.
  • the second layer 120 is then etched anisotropically, preferably by means of a plasma.
  • the preferred direction of etching is perpendicular to the surface of the substrate 100.
  • This anisotropic etching step makes it possible to eliminate only the horizontal portions of the second layer 120, disposed above the first layer 1 and at the bottom of the cavities 1 1.
  • the vertical portions of the second layer 120, arranged against the side walls 1 13 of the cavities January 1, are retained and constitute spacers 130.
  • the etching of the second layer 120 is selective with respect to the substrate 100 and to the first layer 110.
  • the substrate is preferably insensitive to the etching of the sacrificial material.
  • a specific layer may be provided to protect the substrate 100 from etching.
  • a first polymer 140 having a preferential affinity for one of the blocks of the copolymer is then grafted onto the substrate 100 at the bottom of the cavities 11 to 1.
  • the first polymer 140 can be dissolved in a solvent to form a first polymer solution, and then the first solution is deposited on the substrate 100 until the cavities 11 are filled, partially or completely.
  • the first polymer solution is preferably deposited on the substrate 100 by centrifugation (or "spin-coating" in English).
  • the deposition of the first solution is followed by a grafting operation of the first polymer, for example by annealing.
  • annealing is carried out at a temperature of 250 ° C.
  • This solvent is, for example, propylene glycol monomethyl ether acetate (PGMEA).
  • the molar mass M1 of the first polymer 140 is preferably less than 5 kg. mol -1 , to ensure a high graft density at the substrate 100.
  • Step S15 of Figure 2E then consists in removing the first layer 110 and the spacers 130 of sacrificial material selectively relative to the substrate 100 and the first polymer 140 grafted onto the substrate.
  • the first polymer 140 grafted onto the surface of the spacers 130 is eliminated at the same time as the spacers 130.
  • the patterns of the first polymer grafted to the bottom 1 12 of the cavities 11 1. These patterns have the shape and dimensions of the bottom 1 12 of the cavities 1 1 1 1 after the step of forming the spacers 130 (see Fig.2C, reduction of the width W 'of the cavities 1 1 1).
  • the units of the first polymer constitute the guiding units 210 of the chemical guiding structure 200.
  • the first polymer 140 is preferably a homopolymer, for example polystyrene (h-PS) or polymethylmethacrylate (h-PMMA).
  • step S15 can be carried out wet in a single operation if the sacrificial material of the first layer 1 and the sacrificial material of the spacers 130 are identical or at least sensitive to the same etching solution.
  • the etching solution is, for example, a solution of hydrofluoric acid (HF) when the first layer 1 and the spacers 130 are in S1O2.
  • the elimination of the first layer 110 and the spacers 130 may also be carried out in two successive operations.
  • the sacrificial materials and the etching solutions are then necessarily different (for example HF for SiO 2, H 3 PO 4 for Si 3 N 4 ).
  • Step S15 removal of the first layer 1 10 and spacers 130 is preferably followed by rinsing solvent (water, PGMEA %), to remove the etching residues.
  • rinsing solvent water, PGMEA
  • the first polymer solution is deposited in step S14 in excess thickness on the first layer 1 10.
  • the first polymer 140 is then grafted also on the first layer 110 in sacrificial material.
  • the first polymer guide patterns 210 and at least one region of the substrate 100 adjacent to the guide patterns 210 are covered with a film 150 of a second polymer solution.
  • the second polymer solution is advantageously deposited on the entire surface of the substrate 100, preferably by centrifugation.
  • the film 150 of the second solution then completely covers the substrate 100 and the guide patterns 210. Its thickness is typically between 15 nm and 100 nm (before grafting).
  • the second polymer solution comprises a second polymer 160 dissolved in a solvent.
  • the second polymer 160 has a molar mass M2 greater than that (M1) of the first polymer 140 and, in this first embodiment, a chemical affinity neutral with respect to the contemplated block copolymer. The attractive forces between each of the blocks of the copolymer and the second polymer 160 are then equivalent.
  • the second polymer 160 is preferably a random copolymer such as PS-r-PMMA.
  • the second polymer 160 is grafted onto the surface of the substrate 100, in the region or regions covered by the film 150.
  • the grafting is carried out for example by annealing according to the same operating method. than that described in relation to FIG. 2D.
  • the grafting is further advantageously followed by a solvent rinsing operation, in order to remove the second ungrafted polymer.
  • the first polymer guide units 210 having a high graft density they are not affected by the grafting of the second polymer 160 of larger molar mass M2. Indeed, the lower the molar mass of a graftable polymer, the shorter the polymer chains, and the spaces between these chains are reduced. As a result, a higher molecular weight polymer (i.e. having longer chains) can not penetrate these spaces.
  • the second graft polymer 160 thus forms the neutralization layer 220 of the guiding structure 200.
  • the neutralization layer 220 advantageously covers the entire surface of the substrate 100, with the exception of the locations occupied by the guiding patterns 210.
  • the molar mass M2 of the second polymer 160 is advantageously greater than or equal to 150% of the molar mass M1 of the first polymer 140 (M2> 1.5 ⁇ M1), preferably greater than or equal to 200% of the molar mass M1 of the first polymer 140 (M2> 2 * M1).
  • M2> 1.5 ⁇ M1 the molar mass M1 of the first polymer 140
  • M2> 2 * M1 the molar mass M2 of the second polymer 160
  • a slight difference in thickness exists between the guiding patterns 210 and the neutralization layer 220.
  • the greater thickness of the neutralization layer 220 can be explained by the larger molar mass M2 of the second polymer 160.
  • this difference in thickness is not not detrimental to the subsequent assembly of the block copolymer because the thickness is constant within each polymer film.
  • the neutralization layer 220 has a thickness of between 7 nm and 15 nm, while the thickness of the guide units 210 is between 3 nm and 7 nm
  • a second polymer 160 of molecular weight M2 that is less than or equal to 500% of the molar mass M1 of the first polymer 140 is advantageously chosen.
  • Molar mass M2 of the second polymer 160 is for example between 15 kg.mol 1 and 20 kg.mol -1 .
  • the repetition step Ls corresponds to the distance between the edge of a guide pattern 210 and the same edge of the next guide pattern 210, for example the two edges on the left (or which separates the centers of two guide patterns 210 consecutive).
  • the repetition step Ls is here equal to the period P of the cavities 1 1 1 (see Fig.2A).
  • FIGS. 3A to 3G show steps S21 to S27 of the chemical guide structure forming method, according to a second embodiment of the invention.
  • This second embodiment differs from the first embodiment only in the manner in which the first polymer guide patterns 210 are formed. Rather than locating the grafting of the first polymer 140 using a mask (see FIG. 2D), the first polymer can be grafted onto a large area of the substrate, and then structured using a mask comprising spacers. . Steps S21 to S24 relate to the formation of the spacers.
  • mesa-shaped units 300 are formed on the substrate 100, by example by depositing a layer of sacrificial material and structuring of the layer by photolithography.
  • the sacrificial material of the mandrels 300 is for example a carbonaceous material deposited by centrifugation ("Spin On Carbon", SOC).
  • a layer 301 of the first polymer 140 is grafted onto the substrate 100 and the mandrels 300.
  • the grafting of the first polymer 140 can be accomplished as described above in connection with the Figure 2D (deposition of a solution by centrifugation, graft annealing and rinsing).
  • the layer 301 of the first polymer then covers the entire free surface of the substrate 100 and mandrels 300. It is preferably of constant thickness (2-15 nm).
  • a layer 302 made of sacrificial material (eg S1O2, SiOxNy, Al2O3HfO2, etc.) is deposited conformably (eg PLD, PEALD) on the layer 301 of the first polymer 140.
  • the thickness of the layer 302 of sacrificial material is constant and preferably between 10 nm and 20 nm.
  • step S24 the layer 302 of sacrificial material is etched selectively with respect to the first polymer 140.
  • This etching is anisotropic, in a direction perpendicular to the surface of the substrate 100, so removing the horizontal portions of the layer 302 of sacrificial material and keeping only its vertical portions, arranged against the flanks of the mandrels 300.
  • a dry etching technique is employed in step S24, for example a plasma etching based fluorine (F2).
  • the vertical portions of the layer 302 of sacrificial material constitute spacers 31 1.
  • the spacers 31 1 are therefore projecting units grouped in pairs and arranged on either side of the mandrels 300 (only two pairs of spacers are shown in FIG. 3D).
  • the section and the dimensions of the spacers 31 1, in a plane parallel to the substrate 100, correspond to those of the guide patterns 210 that are to be made.
  • the set of spacers 31 1 is a mask of engraving 310.
  • the first graftable polymer 140 is preferably insensitive to the plasma used, if necessary, to deposit the layer 302 of sacrificial material (PECVD, PEALD, etc.) and / or to etch the same layer 302 anisotropically.
  • PECVD sacrificial material
  • PEALD PEALD
  • h-PS polystyrene
  • h-PMMA polymethyl methacrylate
  • the method then comprises a step S25 of etching the layer 301 of the first polymer through the mask 310, until reaching the substrate 100.
  • the etching anisotropic, can be carried out by means of a plasma, for example based on oxygen (O2).
  • O2 oxygen
  • the mandrels 300 carbon material are advantageously eliminated during this same step S25.
  • the substrate 100 is preferably insensitive to etching (or protected by a layer insensitive to etching).
  • the width W (measured in the section plane of FIGS. 3A-3G) is the smallest dimension of the spacers 31 1, which is commonly called “critical dimension”. It sets the width of the guide patterns 210 of the chemical guiding structure 200 (see Fig.3E).
  • the critical dimension W of the spacers 31 1 - and therefore of the guide patterns 210 - is preferably less than 20 nm.
  • step S26 consists in removing the mask 310 made of sacrificial material selectively with respect to the substrate 100 and the first grafted polymer, so as to expose the guiding patterns 210.
  • the withdrawal of the mask 310 can be performed by wet etching (for example HF in the case of spacers 31 1 in S1O2).
  • the guiding patterns 210 may undergo, before removal of the spacers 31 1, an additional etching step, called “trim etch", in order to reduce their critical dimension. Thanks to the formation of spacers, and even more after the additional etch etching step, it is possible to reach critical dimensions well below the resolution limit of the photolithography.
  • the width W of the spacers after the additional etching step can reach here a value between 5 nm and 20 nm, and preferably between 5 nm and 12.5 nm.
  • step S27 of FIG. 3G a neutralization layer 220 made of second polymer 160 is deposited on the substrate 100 in the regions devoid of guide patterns 210.
  • the neutralization layer 220 is formed of a second polymer 160 , grafted, of molar mass M2 greater than the molar mass M1 of the first polymer.
  • step S27 of FIG. 3G proceeds as described in connection with FIGS. 2F-2G (steps S16-S17).
  • FIGS. 4A to 4D show steps S31 to S34 of the chemical guide structure forming method, according to a third embodiment of the invention.
  • the neutralization layer 220 is first formed by using a first polymer 140 of molar mass M1, then the second polymer 160 of molecular weight M2 (greater than M1) is grafted over the first polymer.
  • the first polymer 140 thus has a neutral affinity (eg random copolymer) here, while the second polymer 160 has a preferential affinity for one of the blocks of the copolymer.
  • the molar mass of a copolymer (random or block) varies according to its composition, and in particular according to the degree of monomer repetition (or degree of polymerization).
  • the method starts with a step S31 for forming a mask 310 'on the substrate 100.
  • the mask 310' of FIG. 4A is advantageously identical to the mask 310 of the figures 3D-3E and comprises patterns 31 1 spacer-shaped W-width.
  • the first polymer 140 is grafted onto the substrate 100 through the mask 310 ', and advantageously over the entire surface of the substrate 100, to form the neutralization layer 220.
  • the neutralization layer 220 comprises at least one neutralization unit 222, and preferably several distinct neutralization units 222. These neutralization patterns 222 may adopt different geometry in top view, for example a rectangular shape.
  • Step S32 can be implemented as indicated above, by depositing a solution layer comprising the first polymer 140, annealing and rinsing.
  • the layer of solution deposited on the substrate 100 has a thickness less than the height of the spacers 31 1, so that the latter are not completely covered with graft polymer to facilitate their removal.
  • the mask 310 ' is removed, preferably by wet etching (eg HF) so as not to damage the neutralization layer 220. At least the upper face of the spacers 31 1 is exposed to the etching solution. In the neutralization layer 220, hollow patterns 221 are obtained whose number, dimensions and shape correspond to those of the spacers 31 1.
  • the guiding units 210 are formed in the recessed patterns 221 by grafting the second polymer 160. As the molar mass M2 of the second polymer material 160 is greater than the molar mass M1 of the first polymer 140, the guide patterns 210 have in this embodiment of the method a greater thickness than the functionalization layer 220.
  • Figs. 5A to 5D show steps S41 to S44 of the chemical guide structure forming method, according to a fourth embodiment of the invention.
  • This fourth embodiment differs from the third mode of implementation in that a step or elevation 500 is created between the spacers 31 1 of each pair.
  • This step 500 facilitates the self-assembly of the subsequently deposited block copolymer on the chemical guiding structure.
  • the height of the step 500 is preferably between 10% and 50% of the natural period Lo of the block copolymer, for example between 3 nm and 15 nm for a natural period block copolymer Lo equal to 30 nm.
  • FIG. 4A FIG. 5A shows the step S41 of forming the mask 310 'on the substrate 100a.
  • the mask 310 'advantageously comprises several pairs of spacers 31 1 (only two pairs of spacers are however represented).
  • the steps 500 can be created during this step S41 by etching a portion of the substrate 100 during the delineation of the mandrels 300, before the spacers 31 1 are formed against the flanks of the mandrels 300 (see step S21 of FIG. 3A). .
  • Non-selective etch chemistry relative to the substrate 100 is then used to etch the sacrificial material layer.
  • an HBr / 02 plasma can be used.
  • the substrate 100 may be formed (at least on the surface) of hafnium dioxide (HfO 2) or alumina (Al 2 O 3) and the sacrificial material may be a resin.
  • the following steps S42 to S44 of the method according to the fourth mode of implementation are identical to the steps S32 to S34 described in relation to FIGS. 4B-4D.
  • step S42 see FIG. 5B
  • the first polymer 140 is grafted onto the substrate 100 through the mask 310 'to form the neutralization layer 220.
  • Some neutralization units 222 are raised thanks to the steps 500 formed in the substrate 100.
  • S43 see FIG.
  • the spacers 31 1 of the mask 310 ' are eliminated selectively with respect to the substrate 100 and to the neutralization layer 220 to form the recessed patterns 221 in their place. spacers 31 1.
  • the guiding units 210 are formed in the recessed patterns 221 by grafting thereto the second polymer 160 (of molar mass M2 greater than the molar mass M1 of the first polymer 140).
  • Another way to form the steps or elevations 500 is to deposit a layer of sacrificial material (eg TiN, FI FO2, Al2O3) (different from the material of the substrate) on the substrate 100 before forming the mandrels 300. This layer is then selectively etched relative to the substrate 100 during the delimitation of the mandrels 300. This implementation variant allows better control of the thickness of the steps 500.
  • a layer of sacrificial material eg TiN, FI FO2, Al2O3
  • FIGS. 6A to 6E show steps S51 to S55 of the chemical guide structure forming method, according to a fifth embodiment of the invention.
  • the steps 500 are formed under the spacers 31 1 of the mask 310 ', so as to raise the guide patterns 210 relative to the neutralization layer 220.
  • the mask 310 ' is formed on a substrate 100 comprising a support layer 100a and a surface layer 100b disposed on the support layer 100a.
  • the surface layer 100b also called hard mask layer, is formed of a material capable of being etched selectively with respect to the material of the support layer 100a.
  • the support layer 100a is TiN whereas the surface layer 100b is resin, or the support layer 100a is oxide whereas the surface layer 100b is TiN.
  • the thickness of the surface layer 100b is preferably between 3 nm and 30 nm.
  • the step S52 of FIG. 6B consists in etching, through the spacers of the mask 310 ', the surface layer 100b selectively with respect to the support layer 100a (thus serving as a stop layer for etching). This etching is preferably performed by plasma.
  • the surface layer 100b is then limited to patterns spaced from each other and located under the spacers 31 1. These patterns constitute the steps 500.
  • the shape and dimensions of the steps 500 correspond to those of the spacers 31 1.
  • the first polymer 140 is grafted through the mask 310 ', on the support layer 100a and between the steps 500, to form the neutralization layer 220.
  • the spacers 31 1 of the mask 310 ' are eliminated selectively with respect to the surface layer 100b, the neutralization layer 220 and the support layer 100a (preferably by wet etching , for example HF).
  • the steps 500 are then exposed.
  • the guiding units 210 are formed by grafting the second polymer 160 on the steps 500.
  • the second polymer 160 has a molar mass M2 greater than the molar mass M1 of the first polymer 140 it is not grafted on the neutralization layer 220 (it does not replace or mix with the first polymer).
  • this fifth embodiment differs from the fourth mode of implementation in that the steps 500 are delimited after the formation of the spacers 31 1 (and not before as in Figure 5A).
  • the surface layer 100b is etched through the mask 310 'over only a part of its thickness (by controlling the etching time) during the step S52 and the layer of Neutralization 220 is deposited on the remaining portion of the surface layer 100b between steps 500 in step S53.
  • the spacers 31 1 are removed by wet etching (eg HF).
  • the chemical guiding structure 200 obtained at the end of the process according to the invention and represented in FIGS. 2G, 3G, 4D, 5D and 6E can be used in a directed block copolymer self-assembly process ("Directed Self-Assembly ", DSA), and more particularly in a chemo-epitaxy process, in order to generate patterns of very high resolution and density.
  • DSA directed block copolymer self-assembly process
  • chemo-epitaxy process in order to generate patterns of very high resolution and density.
  • this chemo-epitaxy process comprises (besides the formation of the guiding structure 200) a step of depositing a block copolymer 800 on the chemical guiding structure 200 and a step of assembling the block copolymer 800, for example by thermal annealing.
  • the block copolymer 800 can be a di-block copolymer (two monomers) or multi-block copolymer (more than two monomers), a polymer mixture, a copolymer mixture or the mixture of a copolymer and a homopolymer.
  • the blocks of the copolymer are after assembly oriented perpendicularly to the substrate 1 00, thanks to the presence of the neutralization layer 220.
  • the block copolymer 800 may be of any morphology, for example lamellar, cylindrical, spherical, or gyroid, depending on the proportion between the monomer blocks.
  • the block copolymer 800 is of lamellar morphology (see FIG. 5), since the spacers 31 1 and the guide patterns 210 have a section (in a plane parallel to the substrate 100) in the form of a line.
  • the block copolymer 800 may therefore be a standard block copolymer (Lo 3 25 nm) or a "high-X" block copolymer (Lo ⁇ 25 nm). It can in particular be chosen from the following:
  • PS-b-PMMA polystyrene-block-polymethyl methacrylate
  • At least one of the two blocks is chemically modified to reduce the natural period of the copolymer
  • PS-b-PDMS polystyrene-block-polydimethylsiloxane
  • PS-b-PLA polystyrene-block-polylactic acid
  • PS-b-PEO polystyrene-block-polyethylene oxide
  • PS-b-PMMA-b-PEO polystyrene-block-polymethylmethacrylate-block-polyethylene oxide
  • PS-b-P2VP polystyrene-block-poly (2-vinylpyridine);
  • PS-b-P4VP polystyrene-block-poly (4-vinylpyridine);
  • PS-b-PFS poly (styrene) -block-poly (ferrocenyldimethylsilane);
  • PS-b-PI-b-PFS poly (styrene) -block-poly (isoprene) -block-poly (ferrocenyldimethylsilane);
  • PS-b-P polystyrene-block-poly (dimethylsiloxane-r-vinylmethylsiloxane);
  • PS-b-PMAPOSS polystyrene-block-poly (methyl acrylate) POSS
  • PDMSB-b-PS poly (1,1-dimethylsilacyclobutane) -block-polystyrene
  • PDMSB-b-PMMA poly (1,1-dimethylsilacyclobutane) -block-poly (methyl)
  • PMMA-b-PMAPOSS poly (methyl methacrylate) -block-poly (methyl)
  • P2VP-b-PDMS poly (2-vinylpyridine) -block-poly (dimethyl siloxane);
  • PTMSS-b-PLA poly (trimethylsilylstyrene) -block-poly (D, L-lactide);
  • PTMSS-b-PDLA poly (trimethylsilylstyrene) -block-poly (D-lactic acid);
  • PTMSS-b-PMOST poly (trimethylsilylstyrene) -block-poly (4-methoxystyrene);
  • PLA-b-PDMS poly (D, L-lactide) -block-poly (dimethylsiloxane);
  • PAcOSt-b-PSi2St poly (4-acetoxystyrene) -block-poly (4- (Bis (trimethylsilyl) methyl) styrene);
  • PtBS-b-PMMA poly (4-tert-butylstyrene) -block-poly (methyl methacrylate);
  • PCHE-b-PMMA polycyclohexane-block-poly (methyl methacrylate);
  • steps 500 (FIG. 5A, 6B, 7B) on the surface of the substrate 100 promotes the alignment of the block copolymer 800.
  • a physical alignment is obtained in addition to the chemical alignment (hybrid chemo-graphoepitaxy approach ).
  • the steps 500 as well as the difference in thickness between the guide patterns 210 and the neutralization layer 220 have not been shown in FIG. 8.
  • the training method according to the invention is not limited to the embodiments described with reference to FIGS. 2 to 7 and many variations and modifications will be apparent to those skilled in the art.
  • the first polymer 140 and the second polymer 160 could have other compositions than those described above.
  • other block copolymers could be used.
  • the chemical guiding structures achievable by the forming method according to the invention are not limited to the juxtaposition of homopolymer guide patterns and a neutralization layer.
  • Other types of units, having different chemical affinities than those described above, can be used.
  • the chemical guiding structure 200 may be composed of a first unit (or set of units) having a preferential affinity for a block of the copolymer and a second unit (or set of units) having a preferred affinity for a unit. other block of the copolymer.
  • the first and second polymers could then both be homopolymers.
  • the block copolymer is deposited on the substrate 100 covered only with the units (210 or 222) of the first polymer 140, at the stage of FIG. 2E, 3F, 4C, 5C or 6D.
  • the substrate 100 then has a chemical affinity conducive to the assembly of the block copolymer (neutral in the case of Figures 2E and 3F, preferred in the case of Figures 4C, 5C and 6D).
  • the process for forming the chemical guiding structure then does not include a step of grafting the second polymer 160 (FIGS. 2F-2G, 3G, 4D, 5D, 6E).

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Liquid Deposition Of Substances Of Which Semiconductor Devices Are Composed (AREA)

Abstract

L'invention concerne un procédé de formation d'une structure de guidage chimique destinée à l'auto-assemblage d'un copolymère à blocs par chémo-épitaxie, ce procédé comprenant les étapes suivantes : - former sur un substrat (100) au moins un motif initial (210) en un premier matériau polymère greffé ayant une première masse molaire et une première affinité chimique vis-à-vis du copolymère à blocs; - recouvrir le motif initial (210) et une région du substrat adjacente au motif initial d'une couche (150) comprenant un deuxième matériau polymère greffable (160), le deuxième matériau polymère ayant une deuxième masse molaire, supérieure à la première masse molaire, et une deuxième affinité chimique vis-à-vis du copolymère à blocs, différente de la première affinité chimique; et - greffer le deuxième matériau polymère (160) dans la région adjacente au motif initial (210).

Description

PROCÉDÉ DE FORMATION D’UNE STRUCTURE DE GUIDAGE
CHIMIQUE SUR UN SUBSTRAT ET PROCÉDÉ DE CHÉMO-ÉPITAXIE
DOMAINE TECHNIQUE
La présente invention concerne un procédé de formation d’une structure de guidage chimique destinée à l’auto-assemblage d’un copolymère à blocs par chémo-épitaxie. La présente invention concerne également un procédé de chémo-épitaxie à partir d’une structure de guidage chimique.
ÉTAT DE LA TECHNIQUE
L’auto-assemblage dirigé de copolymères à blocs (DSA, pour « Directed Self- Assembly » en anglais) est une technique de lithographie émergente permettant de former des motifs de dimension critique inférieure à 30 nm. Cette technique constitue une alternative moins onéreuse à la lithographie extrême ultraviolet (EUV) et à la lithographie par faisceau d'électrons (« e-beam »). Les procédés connus d’auto-assemblage de copolymères à blocs peuvent être regroupés en deux catégories : la grapho-épitaxie et la chémo-épitaxie.
La grapho-épitaxie consiste à former des motifs topographiques primaires appelés guides à la surface d’un substrat, ces motifs délimitant des zones à l’intérieur desquelles une couche de copolymère à blocs est déposée. Les motifs de guidage permettent de contrôler l’organisation des blocs de copolymère pour former des motifs secondaires de plus haute résolution à l’intérieur de ces zones.
La chémo-épitaxie consiste à modifier les propriétés chimiques de certaines régions de la surface du substrat, pour guider l’organisation du copolymère à blocs déposé ultérieurement sur cette surface. La modification chimique du substrat peut notamment être obtenue par le greffage d’une couche de neutralisation en polymère. Puis, cette couche de neutralisation est structurée afin de créer un contraste chimique à la surface du substrat. Ainsi, les régions du substrat non recouvertes par la couche de neutralisation ont une affinité chimique préférentielle pour l’un des blocs du copolymère, tandis que les régions du substrat recouvertes par la couche de neutralisation ont une affinité chimique équivalente pour tous les blocs du copolymère. La structuration de la couche de neutralisation est classiquement obtenue par une étape de lithographie optique ou à faisceau d’électrons.
Pour garantir un assemblage du copolymère à blocs avec un minimum de défauts d’organisation, les régions du substrat ayant une affinité préférentielle pour l’un des blocs sont typiquement de largeur W égale à la largeur du domaine de copolymère à blocs, cette dernière étant égale à la moitié de la période naturelle Lo du copolymère (W = 0,5*Lo) ou égale à une fois et demie cette période naturelle (W = 1 ,5*Lo). En outre, les régions du substrat ayant une affinité préférentielle sont typiquement séparées deux à deux d’une distance Ls égale à un multiple entier de la période Lo (Ls = n*Lo, avec n un entier naturel non nul appelé facteur de multiplication du pas).
L’article de C-C. Liu et al. intitulé [« Intégration of block copolymer directed assembly with 193 immersion lithography », J. Vac. Soi. Technol., B 28, C6B30-C6B34, 2010] décrit un procédé de chémo-épitaxie comprenant la formation d’une structure de guidage chimique à la surface d’un substrat. La structure de guidage chimique est composée de motifs de guidage en un polymère ayant une affinité préférentielle pour l’un des blocs du copolymère et d’un film de copolymère statistique greffé sur le substrat en dehors des motifs, dans une région dite d’arrière-plan. Le copolymère statistique est neutre vis-à-vis du copolymère à blocs, de sorte que les domaines du copolymère soient (après assemblage) orientés perpendiculairement au substrat. La structure de guidage chimique est destinée à diriger l’auto-assemblage du copolymère à blocs PS-b-PMMA (polystyrène-b/oc-polyméthylméthacrylate). Les motifs de guidage, en forme de lignes, sont constitués de polystyrène réticulé (X-PS). Le copolymère statistique, greffé entre les lignes, est le PS-r-PMMA.
En référence à la figure 1 , ce procédé de chémo-épitaxie comprend tout d’abord la formation d’un film de polystyrène réticulé 1 1 sur un substrat en silicium 10. Un masque constitué de motifs en résine 12 est ensuite formé sur le film de polystyrène réticulé 1 1 , par lithographie optique (typiquement en 193 nm immersion). Puis, les dimensions des motifs de résine 12 sont réduites par une étape de plasma à base d’oxygène afin d’obtenir une largeur W de l’ordre de la demi-période du copolymère à blocs. Lors de cette étape, le film de polystyrène réticulé 1 1 est également gravé à travers le masque 12 par le plasma. Cette étape de gravure est communément appelée « trim etch ». Des motifs de polystyrène réticulé, en forme de lignes 1 1’ parallèles, sont ainsi formés sur le substrat 10. Après l’étape de gravure « trim etch », les lignes de polystyrène 1 1’ ont une largeur W égale à 15 nm et sont espacées deux à deux d’une distance Ls égale à 90 nm. Après retrait du masque 12 en résine, le substrat 10 est recouvert d’une solution comprenant le copolymère statistique greffable, puis le copolymère statistique est greffé entre les lignes 1 1’ pour former une couche de neutralisation 13. Enfin, une couche de PS-b-PMMA 14 est déposée puis assemblée sur la structure de guidage composée des lignes de polystyrène 1 1’ et de la couche de neutralisation 13.
La couche de polymère réticulable doit être très fine (typiquement inférieure ou égale à 10 nm) et uniforme en épaisseur pour assurer, après assemblage du copolymère à blocs, un transfert de bonne qualité des motifs dans les couches sous-jacentes. Or lorsque le polymère est déposé par centrifugation (« spin coating » en anglais), il est difficile avec un tel procédé d’obtenir une couche fine et d’épaisseur constante. On observe notamment des problèmes de démouillage du polymère. Par ailleurs, la réticulation a un effet planarisant. Ainsi, lorsque la surface de départ n’est pas plane mais présente une topologie, il est encore plus difficile d’obtenir une couche uniforme en épaisseur.
RÉSUMÉ DE L’INVENTION
L’invention a pour objectif de rendre plus simple et de meilleure qualité la formation d’une structure de guidage chimique sur un substrat, en vue de son utilisation dans un procédé de chémo-épitaxie, et d’assurer un meilleur contrôle de l’épaisseur de cette structure.
Selon l’invention, on tend vers cet objectif en prévoyant un procédé de formation d’une structure de guidage chimique destinée à l’auto-assemblage d’un copolymère à blocs par chémo-épitaxie, ce procédé comprenant les étapes suivantes :
- former sur un substrat au moins un motif initial en un premier matériau polymère greffé ayant une première masse molaire et une première affinité chimique vis- à-vis du copolymère à blocs ;
- recouvrir le motif initial et une région du substrat adjacente au motif initial d’une couche comprenant un deuxième matériau polymère greffable, le deuxième matériau polymère ayant une deuxième masse molaire, supérieure à la première masse molaire, et une deuxième affinité chimique vis-à-vis du copolymère à blocs, différente de la première affinité chimique ; et
- greffer le deuxième matériau polymère dans la région adjacente au motif initial.
L’utilisation d’un polymère greffable - plutôt qu’un matériau polymère réticulable - pour former le motif initial (aussi appelé motif de fonctionnalisation) simplifie grandement la formation de la structure de guidage chimique. La structure de guidage chimique est en outre de meilleure qualité, car le greffage permet d’obtenir un motif initial très fin (typiquement d’épaisseur inférieure ou égale à 10 nm) et uniforme en épaisseur. Le dépôt s’effectue de la même façon, par centrifugation d’une solution de polymère, mais sur des épaisseurs plus importantes, ce qui évite les problèmes de démouillage. L’épaisseur finale de polymère greffé est en outre contrôlée par l’étape de greffage, et non par celle de dépôt proprement dite. Cette épaisseur est facilement contrôlable, en jouant sur la masse molaire du matériau polymère greffable et ou la cinétique de greffage. Ainsi, plus la température ou le temps de recuit est élevé, plus le matériau greffé sera dense. La température de greffage est avantageusement inferieure à la température de dégradation du polymère, afin de préserver les propriétés de celui-ci. Enfin, le greffage permet d’obtenir des épaisseurs uniformes même sur des surfaces présentant une topologie, car il ne présente pas d’effet planarisant (contrairement à la réticulation).
En choisissant un deuxième polymère de masse molaire supérieure à celle du premier polymère, on évite que le deuxième polymère, déposé sur le(s) motif(s) du premier polymère, ne recouvre le premier polymère greffé. Le deuxième polymère peut ainsi se greffer uniquement dans les régions de la surface du substrat qui ne sont pas occupées par le premier polymère greffé.
La deuxième masse molaire est de préférence supérieure ou égale à 150 % de la première masse molaire, et plus préférentiellement supérieure à 200% de la première masse molaire.
Avantageusement, la deuxième masse molaire est en outre inférieure ou égale à 500 % de la première masse molaire. Dans un premier mode de mise en oeuvre du procédé de formation selon l’invention, l’étape de formation du motif initial en premier matériau polymère comprend les opérations suivantes :
- déposer une couche de matériau sacrificiel sur le substrat ;
- former dans la couche de matériau sacrificiel au moins une cavité débouchant sur le substrat, la cavité comprenant un fond et des parois latérales ;
- former des espaceurs contre les parois latérales de la cavité ;
- greffer le premier matériau polymère sur le substrat au fond de la cavité ; et
- retirer la couche de matériau sacrificiel et les espaceurs. Dans un deuxième mode de mise en oeuvre du procédé de formation selon l’invention, l’étape de formation du motif initial comprend les opérations suivantes :
- greffer une couche du premier matériau polymère sur le substrat ;
- former un masque sur la couche du premier matériau polymère ;
- graver la couche du premier matériau polymère à travers le masque ; et - retirer le masque.
Selon un développement des premier et deuxième modes de mise en oeuvre, le premier matériau polymère présente une affinité préférentielle pour l’un des blocs du copolymère et le deuxième matériau polymère est neutre vis-à-vis du copolymère à blocs.
Dans un troisième mode de mise en oeuvre du procédé de formation selon l’invention, l’étape de formation du motif initial comprend les opérations suivantes : - former un masque sur le substrat ;
- greffer le premier matériau polymère sur le substrat à travers le masque ; et
- retirer le masque.
Selon un développement du troisième mode de mise en oeuvre, le premier matériau polymère est neutre vis-à-vis du copolymère à blocs et le deuxième matériau polymère présente une affinité préférentielle pour l’un des blocs du copolymère.
Le masque des deuxième et troisième modes de mise en oeuvre comporte avantageusement au moins un motif en forme d’espaceur de dimension critique inférieure à 20 nm.
De préférence, le masque comporte au moins deux espaceurs de dimension critique sensiblement égale à la moitié de la période naturelle du copolymère à blocs et les espaceurs sont en outre espacés deux à deux et centre à centre d’une distance sensiblement égale à un multiple entier de la période naturelle du copolymère à blocs.
L’invention concerne également un procédé de chémo-épitaxie comprenant la formation d’une structure de guidage chimique sur un substrat à l’aide du procédé de formation décrit ci-dessus, le dépôt d’un copolymère à blocs sur la structure de guidage chimique et l’assemblage du copolymère à blocs.
BRÈVE DESCRIPTION DES FIGURES
D'autres caractéristiques et avantages de l'invention ressortiront clairement de la description qui en est donnée ci-dessous, à titre indicatif et nullement limitatif, en référence aux figures annexées, parmi lesquelles :
- la figure 1 , précédemment décrite, représente des étapes d’un procédé de chémo-épitaxie selon l’art antérieur ;
- les figures 2A à 2G représentent des étapes d’un procédé pour former une structure de guidage chimique, selon un premier mode de mise en oeuvre de l’invention ; - les figures 3A à 3G représentent des étapes d’un procédé pour former une structure de guidage chimique, selon un deuxième mode de mise en oeuvre de l’invention ;
- les figures 4A à 4D représentent des étapes d’un procédé pour former une structure de guidage chimique, selon un troisième mode de mise en oeuvre de l’invention ;
- les figures 5A à 5D représentent des étapes d’un procédé pour former une structure de guidage chimique, selon un quatrième mode de mise en oeuvre de l’invention ;
- les figures 6A à 6E représentent des étapes d’un procédé pour former une structure de guidage chimique, selon un cinquième mode de mise en oeuvre de l’invention ;
- les figures 7B et 7C représentent une variante de mise en oeuvre des étapes représentées par les figures 6B et 6C ; et
- la figure 8 représente schématiquement l’assemblage d’un copolymère à blocs déposé sur la structure de guidage chimique de la figure 2G, 3G, 4D, 5D ou 6E.
Pour plus de clarté, les éléments identiques ou similaires sont repérés par des signes de référence identiques sur l’ensemble des figures.
DESCRIPTION DÉTAILLÉE D’AU MOINS UN MODE DE RÉALISATION
Le procédé décrit ci-après en relation avec les figures 2 à 7 permet de former une structure de guidage chimique sur une face d’un substrat 100. Une structure de guidage chimique désigne ici un ensemble d’au moins deux motifs de polymère, disposés côte à côte sur le substrat et présentant des affinités chimiques différentes, cet ensemble étant répété périodiquement à la surface du substrat. Un contraste chimique est ainsi créé à la surface du substrat. Le substrat 100 est par exemple en silicium.
Cette structure de guidage (ou de contraste) chimique est destinée à être recouvert d’un copolymère à blocs, dans le cadre d’un procédé d’auto-assemblage dirigé de copolymère à blocs par chémo-épitaxie. Le contraste chimique permet de diriger (ou « guider ») l’organisation des blocs de monomère qui composent le copolymère. Ainsi, les affinités chimiques des motifs de polymère s’entendent par rapport aux blocs du copolymère. Ces affinités peuvent être choisies parmi les possibilités suivantes :
- affinité préférentielle pour l’un quelconque des blocs du copolymère ; ou
- neutre, c’est-à-dire avec une affinité équivalente pour chacun des blocs du copolymère.
En référence aux figures 2G, 3G, 4D, 5D et 6E, la structure de guidage 200 comporte de préférence plusieurs motifs de guidage 210 et une couche de neutralisation 220. La couche de neutralisation 220 occupe une région de la surface du substrat 100 adjacente aux motifs de guidage 210, et de préférence toute la surface du substrat 100 en dehors des motifs de guidage 210. Les motifs de guidage 210 et la couche de neutralisation 220 ont pour rôle de fonctionnaliser chimiquement (et différemment) le substrat 100. Ils pourront donc également être qualifiés de motifs et couche de fonctionnalisation. Les motifs de guidage 210 sont formés d’un polymère ayant une affinité préférentielle pour l’un des blocs du copolymère, tandis que la couche de neutralisation 220 est constituée d’un polymère dont l’affinité est neutre. Les motifs de guidage 210 ont de préférence une dimension critique W sensiblement égale à la moitié de la période naturelle Lo du copolymère à blocs (W = Lo/2 ± 10 %).
Dans la description qui suit, on entend par « greffage » d’un polymère sur un substrat la formation de liaisons covalentes entre le substrat et les chaînes du polymère. À titre de comparaison, la réticulation d’un polymère implique la formation de plusieurs liaisons entre les chaînes du polymère sans forcément la formation de liaisons covalentes avec le substrat.
Les figures 2A à 2G sont des vues de coupe illustrant des étapes S1 1 à S17 du procédé de formation de structure de guidage chimique, selon un premier mode de mise en oeuvre de l’invention.
La première étape S1 1 du procédé, illustrée par la figure 2A, comprend le dépôt d’une première couche 1 10 en matériau sacrificiel sur le substrat 100 et la formation d’au moins une cavité 1 1 1 dans la première couche 1 10. De préférence, plusieurs cavités 1 1 1 sont formées dans la première couche 1 10 en matériau sacrificiel. Par souci de clarté, seulement deux de ces cavités 1 1 1 ont été représentées sur la figure 2A.
Chaque cavité 1 1 1 possède un fond 1 12 et des parois latérales 1 13 s’étendant suivant une direction sécante à la surface du substrat 100. De préférence, les parois latérales 1 13 s’étendent suivant une direction perpendiculaire à la surface du substrat 100. Par ailleurs, chaque cavité 1 1 1 débouche sur la surface du substrat 100. Autrement dit, le fond 1 12 de la cavité 1 1 1 est constitué par le substrat 100, dont la surface est avantageusement plane.
Chaque cavité 1 1 1 présente de préférence une profondeur H comprise entre 30 nm et 150 nm et une largeur W’ comprise entre 30 nm et 60 nm. La profondeur H d’une cavité est mesurée perpendiculairement à la surface du substrat 100 (elle est donc égale à l’épaisseur de la première couche 1 10 en matériau sacrificiel), tandis que la largeur W’ de la cavité est mesurée parallèlement à la surface du substrat 100 dans le plan de coupe de la figure 2A.
Lorsque la première couche 1 10 comporte plusieurs cavités 1 1 1 , celles-ci n’ont pas nécessairement les mêmes dimensions, ni la même géométrie. Les cavités 1 1 1 peuvent notamment prendre la forme d’une tranchée, d’un puits cylindrique ou d’un puits de section rectangulaire.
À titre d’exemple, les cavités 1 1 1 sont des tranchées rectilignes, de dimensions identiques et orientées parallèlement les unes aux autres. Elles forment en outre une structure périodique, c’est-à-dire qu’elles sont espacées régulièrement. La période P de cette structure est de préférence comprise entre 60 nm et 140 nm.
Le matériau sacrificiel de la première couche 1 10 est de préférence choisi parmi les matériaux qui peuvent être aisément retirés par gravure humide et/ou par gravure sèche, de façon sélective par rapport au substrat 1 00. À titre d’exemple, on peut citer le dioxyde de silicium (S1O2), l'hydrogène silsesquioxane (HSQ) et le nitrure de silicium (SÎ3N4). Alternativement, la première couche 1 10 en matériau sacrificiel peut être formée d’un revêtement antireflet contenant du silicium (appelé également « SiARC », pour « Silicon-containing Anti-Reflective Coating » en anglais).
Les cavités 1 1 1 peuvent être formées par photolithographie ou d’autres techniques de structuration, comme la lithographie à faisceau d’électrons (« e-beam »). Dans le cas de la photolithographie, par exemple à une longueur d’onde de 193 nm en immersion, la formation des cavités 1 1 1 peut notamment comporter les opérations suivantes :
- dépôt sur la première couche 1 10 d’une couche de résine ou de plusieurs couches destinées à former un masque dur, par exemple un empilement de trois couches comprenant successivement une couche carbonée déposée par centrifugation (« Spin On Carbon », SOC), un revêtement antireflet contenant du silicium (SiARC) et une couche de résine ;
- réalisation d’ouvertures dans la couche de résine et, le cas échéant, transfert des ouvertures dans les couches sous-jacentes du masque dur (étape d’ouverture du masque) ; et
- gravure sélective de la première couche 1 10 à travers le masque en résine ou le masque dur, le substrat 100 étant insensible à la gravure ou protégé par une couche insensible à la gravure. La première couche 1 10 est avantageusement gravée de façon anisotrope, par exemple au moyen d’un plasma. Une technique de gravure anisotrope assure un meilleur contrôle des dimensions des cavités 1 1 1.
Le procédé comprend ensuite la formation d’espaceurs contre les parois latérales des cavités 1 11 , afin de réduire la largeur W’ des cavités au-delà de la limite de résolution de la photolithographie, typiquement jusqu’à une valeur comprise entre 10 nm et 20 nm. Ces espaceurs peuvent être réalisés en deux étapes successives S12 et S13, représentées respectivement par les figures 2B et 2C. En référence à la figure 2B, une deuxième couche 120 en matériau sacrificiel est déposée de manière conforme sur le substrat 100 recouvert de la première couche 1 10. La deuxième couche 120 est ainsi d’épaisseur constante et épouse le relief de la première couche 1 10. L’épaisseur de la deuxième couche 120 est de préférence comprise entre 5 nm et 25 nm. La technique de dépôt conforme employée pour déposer la deuxième couche 120 est par exemple le dépôt en couches atomiques (ou ALD, pour « Atomic Layer Déposition » en anglais), éventuellement assisté par plasma (PEALD, « Plasma Enhanced Atomic Layer Déposition »).
Le matériau sacrificiel de la deuxième couche 120 peut notamment être choisi parmi le dioxyde de silicium (S1O2), un oxyniture de silicium (SiOxNy), l’alumine (AI2O3) et le dioxyde d’hafnium (Hf02). Il n’est donc pas nécessairement identique au matériau sacrificiel de la première couche 1 10.
En référence à la figure 2C, la deuxième couche 120 est ensuite gravée de façon anisotrope, de préférence au moyen d’un plasma. La direction préférentielle de gravure est perpendiculaire à la surface du substrat 100. Cette étape de gravure anisotrope permet d’éliminer uniquement les parties horizontales de la deuxième couche 120, disposées au-dessus de la première couche 1 10 et au fond des cavités 1 1 1. Les parties verticales de la deuxième couche 120, disposées contre les parois latérales 1 13 des cavités 1 1 1 , sont conservées et constituent des espaceurs 130.
La gravure de la deuxième couche 120 est sélective par rapport au substrat 100 et à la première couche 110. Le substrat est de préférence insensible à la gravure du matériau sacrificiel. Dans le cas contraire, une couche spécifique peut être prévue pour protéger le substrat 100 de la gravure.
À l’étape S14 de la figure 2D, un premier polymère 140 ayant une affinité préférentielle pour l’un des blocs du copolymère est ensuite greffé sur le substrat 100 au fond des cavités 1 1 1. Pour ce faire, le premier polymère 140 peut être dissous dans un solvant pour former une première solution de polymère, puis la première solution est déposée sur le substrat 100 jusqu’à remplir, partiellement ou entièrement, les cavités 1 1 1. La première solution de polymère est de préférence déposée sur le substrat 100 par centrifugation (ou « spin-coating » en anglais). Le dépôt de la première solution est suivi d’une opération de greffage du premier polymère, par exemple par recuit. Le recuit est par exemple effectué à une température égale à 250°C, pendant une durée égale à 10 minutes, sur une plaque chauffante ou dans un four. Une partie du premier polymère 140 en solution se fixe alors au substrat 100 au fond des cavités 1 11 et, de façon superflue, à la surface des espaceurs 130. Une opération de rinçage à l’aide d’un solvant permet ensuite d’éliminer la partie restante du premier polymère, qui n’a pas été greffée. Ce solvant est par exemple l’acétate de l'éther monométhylique du propylène glycol (PGMEA).
La première couche 1 10 en matériau sacrificiel, munie des cavités (ou évidements) 1 11 agit ainsi comme un masque ou pochoir pour localiser le greffage du premier polymère 140 sur le substrat 100.
La masse molaire M1 du premier polymère 140 est de préférence inférieure à 5 kg. mol-1, afin d’assurer une forte densité de greffage au niveau du substrat 100.
L’étape S15 de la figure 2E consiste ensuite à retirer la première couche 110 et les espaceurs 130 en matériau sacrificiel sélectivement par rapport au substrat 100 et au premier polymère 140 greffé sur le substrat. Le premier polymère 140 greffé à la surface des espaceurs 130 est éliminé en même temps que les espaceurs 130. Seuls subsistent alors sur le substrat 100, à l’issue de l’étape S15, les motifs du premier polymère greffé au fond 1 12 des cavités 11 1. Ces motifs ont la forme et les dimensions du fond 1 12 des cavités 1 1 1 après l’étape de formation des espaceurs 130 (cf. Fig.2C ; réduction de la largeur W’ des cavités 1 1 1 ). Comme le premier polymère 140 présente, dans ce premier mode de mise en oeuvre, une affinité préférentielle pour l’un des blocs du copolymère, les motifs du premier polymère constituent les motifs de guidage 210 de la structure de guidage chimique 200. Le premier polymère 140 est de préférence un homopolymère, par exemple de polystyrène (h-PS) ou de polyméthylméthacrylate (h-PMMA).
Le retrait de l’étape S15 peut être effectué par voie humide en une seule opération si le matériau sacrificiel de la première couche 1 10 et le matériau sacrificiel des espaceurs 130 sont identiques, ou a minima, sensibles à la même solution de gravure. La solution de gravure est par exemple une solution d’acide fluorhydrique (HF) lorsque la première couche 1 10 et les espaceurs 130 sont en S1O2.
L’élimination de la première couche 110 et des espaceurs 130 peut aussi être effectuée en deux opérations successives. Les matériaux sacrificiels et les solutions de gravure sont alors nécessairement différents (par exemple HF pour S1O2, H3PO4 pour SÎ3N4).
L’étape S15 de retrait de la première couche 1 10 et des espaceurs 130 est avantageusement suivie d’un rinçage au solvant (eau, PGMEA...), afin d’éliminer les résidus de gravure.
Dans une variante de mise en oeuvre du procédé, non représentée sur les figures, la première solution de polymère est déposée à l’étape S14 en surépaisseur sur la première couche 1 10. Le premier polymère 140 se greffe alors également sur la première couche 110 en matériau sacrificiel. Pour donner accès à la solution de gravure de la première couche 1 10 et des espaceurs 130, il peut être nécessaire de retirer préalablement le premier polymère 140 greffé sur la première couche 110. Ce retrait peut être effectué lors d’une étape dite de planarisation, au moyen d’un plasma (par exemple à base de CO, O2, CO2, H2, N2...), avec arrêt de la gravure sur la première couche 1 10 (par détection de la première couche 110 en réflectométrie).
À l’étape S16 de la figure 2F, les motifs de guidage 210 en premier polymère et au moins une région du substrat 100 adjacente aux motifs de guidage 210 sont recouverts d’un film 150 d’une deuxième solution de polymère. La deuxième solution de polymère est avantageusement déposée sur toute la surface du substrat 100, de préférence par centrifugation. Le film 150 de la deuxième solution recouvre alors entièrement le substrat 100 et les motifs de guidage 210. Son épaisseur est typiquement comprise entre 15 nm et 100 nm (avant greffage).
La deuxième solution de polymère comprend un deuxième polymère 160 dissous dans un solvant. Le deuxième polymère 160 possède une masse molaire M2 supérieure à celle (M1 ) du premier polymère 140 et, dans ce premier mode de mise en oeuvre, une affinité chimique neutre vis-à-vis du copolymère à blocs envisagé. Les forces d’attraction entre chacun des blocs du copolymère et le deuxième polymère 160 sont alors équivalentes. Le deuxième polymère 160 est de préférence un copolymère statistique tel que le PS-r-PMMA.
Enfin, en S17 (cf. Fig.2G), le deuxième polymère 160 est greffé à la surface du substrat 100, dans la ou les régions recouvertes par le film 150. Le greffage s’effectue par exemple par recuit selon le même mode opératoire que celui décrit en relation avec la figure 2D. Le greffage est en outre avantageusement suivi d’une opération de rinçage par solvant, afin d’éliminer le deuxième polymère non greffé.
Les motifs de guidage 210 en premier polymère 140 ayant une densité de greffage élevée, ils ne sont pas affectés par le greffage du deuxième polymère 160 de masse molaire M2 plus importante. En effet, plus la masse molaire d’un polymère greffable est faible, plus les chaînes du polymère sont courtes et plus les espaces entre ces chaînes sont réduits. Par conséquent, un polymère de masse molaire plus élevée (i.e. ayant des chaînes plus longues) ne peut pénétrer dans ces espaces.
Le deuxième polymère 160 greffé forme ainsi la couche de neutralisation 220 de la structure de guidage 200. La couche de neutralisation 220 recouvre avantageusement toute la surface du substrat 100, à l’exception des emplacements occupés par les motifs de guidage 210.
Afin de promouvoir une séparation physique franche entre les deux polymères, la masse molaire M2 du deuxième polymère 160 est avantageusement supérieure ou égale à 150 % de la masse molaire M1 du premier polymère 140 (M2 > 1 ,5*M1 ), de préférence supérieure ou égale à 200 % de la masse molaire M1 du premier polymère 140 (M2 > 2*M1 ). Comme cela est représenté sur la figure 2G, une légère différence d’épaisseur existe entre les motifs de guidage 210 et la couche de neutralisation 220. L’épaisseur plus importante de la couche de neutralisation 220 s’explique par la plus grande masse molaire M2 du deuxième polymère 160. Cette différence d’épaisseur n’est toutefois pas préjudiciable pour l’assemblage ultérieur du copolymère à blocs, car l’épaisseur est constante au sein de chaque film de polymère. De préférence, la couche de neutralisation 220 présente une épaisseur comprise entre 7 nm et 15 nm, alors que l’épaisseur des motifs de guidage 210 est comprise entre 3 nm et 7 nm.
Pour limiter la différence d’épaisseur entre les motifs de guidage 210 et la couche de neutralisation 220, on choisit avantageusement un deuxième polymère 160 de masse molaire M2 inférieure ou égale à 500 % de la masse molaire M1 du premier polymère 140. La masse molaire M2 du deuxième polymère 160 est par exemple comprise entre 15 kg.mol 1 et 20 kg.mol-1.
Les motifs de guidage 210 de la figure 2G présentent avantageusement un pas de répétition Ls (« pitch » en anglais) sensiblement égal à un multiple entier de la période naturelle Lo (Ls = n*Lo, avec n un entier naturel non nul). Le pas de répétition Ls correspond à la distance qui sépare le bord d’un motif de guidage 210 et le même bord du motif de guidage 210 suivant, par exemple les deux bords à gauche (ou qui sépare les centres de deux motifs de guidage 210 consécutifs). Le pas de répétition Ls est ici égal à la période P des cavités 1 1 1 (cf. Fig.2A). Les figures 3A à 3G représentent des étapes S21 à S27 du procédé de formation de structure de guidage chimique, d’après un deuxième mode de mise en oeuvre de l’invention.
Ce deuxième mode de mise en oeuvre diffère du premier mode de mise en oeuvre uniquement dans la manière dont sont formés les motifs de guidage 210 en premier polymère. Plutôt que de localiser le greffage du premier polymère 140 à l’aide d’un masque (cf. Fig.2D), le premier polymère peut être greffé sur une large zone du substrat, puis structuré au moyen d’un masque comprenant des espaceurs. Les étapes S21 à S24 sont relatives à la formation des espaceurs.
Lors d’une première étape S21 illustrée par la figure 3A, des motifs 300 en forme de de mesa, appelés communément « mandrins », sont formés sur le substrat 100, par exemple par dépôt d’une couche en matériau sacrificiel et structuration de la couche par photolithographie. Le matériau sacrificiel des mandrins 300 est par exemple un matériau carboné déposé par centrifugation (« Spin On Carbon », SOC). Les mandrins 300 présentent avantageusement un pas de répétition Ls sensiblement égal à un multiple entier de la période naturelle Lo du copolymère à blocs (Ls = n*Lo ± 10 %, avec n un entier naturel non nul), et de préférence compris entre 60 nm et 140 nm.
Puis, à l’étape S22 de la figure 3B, une couche 301 du premier polymère 140 est greffée sur le substrat 100 et les mandrins 300. Le greffage du premier polymère 140 peut être accompli de la façon décrite ci-dessus en relation avec la figure 2D (dépôt d’une solution par centrifugation, recuit de greffage et rinçage). La couche 301 du premier polymère recouvre alors toute la surface libre du substrat 100 et des mandrins 300. Elle est de préférence d’épaisseur constante (2-15 nm).
En S23 (cf. Fig.3C), une couche 302 en matériau sacrificiel (ex. S1O2, SiOxNy, AI2O3 Hf02...) est déposée de manière conforme (ex. PLD, PEALD) sur la couche 301 du premier polymère 140. L’épaisseur de la couche 302 en matériau sacrificiel est constante et de préférence comprise entre 10 nm et 20 nm.
À l’étape suivante S24 (cf. Fig.3D), la couche 302 en matériau sacrificiel est gravée de manière sélective par rapport au premier polymère 140. Cette gravure est anisotrope, selon une direction perpendiculaire à la surface du substrat 100, de façon à éliminer les parties horizontales de la couche 302 en matériau sacrificiel et conserver uniquement ses parties verticales, disposées contre les flancs des mandrins 300. De préférence, une technique de gravure sèche est employée à l’étape S24, par exemple une gravure plasma à base de fluor (F2).
Les parties verticales de la couche 302 en matériau sacrificiel constituent des espaceurs 31 1 . Les espaceurs 31 1 sont donc des motifs en saillie regroupés par paire et disposés de part et d’autre des mandrins 300 (seules deux paires d’espaceurs sont représentées sur la figure 3D). La section et les dimensions des espaceurs 31 1 , dans un plan parallèle au substrat 100, correspondent à celles des motifs de guidage 210 qu’on cherche à réaliser. L’ensemble des espaceurs 31 1 constitue un masque de gravure 310.
Le premier polymère 140 greffable est de préférence insensible au plasma utilisé le cas échéant pour déposer la couche 302 en matériau sacrificiel (PECVD, PEALD...) et/ou pour graver de façon anisotrope cette même couche 302. Il peut notamment s’agir du homopolymère de polystyrène (h-PS) ou de polyméthylméthacrylate (h- PMMA).
En référence à la figure 3E, le procédé comprend ensuite une étape S25 de gravure de la couche 301 du premier polymère à travers le masque 310, jusqu’à atteindre le substrat 100. La gravure, anisotrope, peut être effectuée au moyen d’un plasma, par exemple à base d’oxygène (O2). Il résulte de cette étape S25 un transfert des motifs en saillie 31 1 dans la couche 301 du premier polymère, autrement dit des motifs de guidage 210 en nombre identique au nombre de motifs espaceurs 31 1 dans le masque 310. Les mandrins 300 en matériau carboné sont avantageusement éliminés au cours de cette même étape S25. Le substrat 100 est de préférence insensible à la gravure (ou protégé par une couche insensible à la gravure).
La largeur W (mesurée dans le plan de coupe des figures 3A-3G) est la plus petite dimension des espaceurs 31 1 , qu’on appelle communément « dimension critique ». Elle fixe la largeur des motifs de guidage 210 de la structure de guidage chimique 200 (cf. Fig.3E). La dimension critique W des espaceurs 31 1 - et donc des motifs de guidage 210 - est de préférence inférieure à 20 nm. Avantageusement, la dimension critique W des espaceurs 31 1 est en outre sensiblement égale à la moitié de la période naturelle Lo du copolymère à blocs (W = Lo/2 ± 10 %), afin de minimiser le nombre de défauts d’organisation des blocs du copolymère. La distance D1 qui sépare deux espaceurs d’une même paire, autrement dit la largeur des mandrins 300 (cf.Figs.3D-3E), est sensiblement égale à un nombre impair de demi-périodes naturelles Lo/2 (D1 = n1 *Lo/2 ± 10 %, avec n1 un entier naturel impair), par exemple égale à 3*Lo/2. La distance D2 qui sépare deux paires consécutives d’espaceurs 31 1 est sensiblement égale à un nombre impair de demi- périodes naturelles Lo/2 (D2 = n2*Lo/2 ± 10 %, avec n2 un entier naturel impair), par exemple égale à 3*Lo/2. Le pas de répétition Ls des mandrins 300 (cf. Fig.3A) ou des paires d’espaceurs (cf. Fig.3E) est donc bien égal à un multiple entier de la période naturelle Lo du copolymère à blocs (Ls = D1 +D2+2W = n1 *Lo/2+n2*Lo/2+2*Lo/2 = n*Lo, avec n un entier naturel non nul, n1 et n2 des entiers naturels impairs). La distance bord à bord (ou centre à centre) entre deux espaceurs 31 1 consécutifs est aussi égale à un multiple entier de la période naturelle Lo du copolymère à blocs (D1 +W = (n1 +1 )*Lo/2 et D2+W = (n2+1 )*Lo/2).
L’étape S26 suivante (cf. Fig.3F) consiste à retirer le masque 310 en matériau sacrificiel sélectivement par rapport au substrat 100 et au premier polymère greffé, de sorte à exposer les motifs de guidage 210. Le retrait du masque 310 peut être effectué par gravure humide (par exemple HF dans le cas d’espaceurs 31 1 en S1O2).
De façon optionnelle, les motifs de guidage 210 peuvent subir avant le retrait des espaceurs 31 1 une étape de gravure additionnelle, appelée « trim etch », afin de réduire leur dimension critique. Grâce à la formation d’espaceurs, et plus encore après l’étape de gravure supplémentaire « trim etch », on arrive à atteindre des dimensions critiques bien inférieures à la limite de résolution de la photolithographie. La largeur W des espaceurs après l’étape de gravure additionnelle peut atteindre ici une valeur comprise entre 5 nm et 20 nm, et de préférence comprise entre 5 nm et 12,5 nm.
Enfin, à l’étape S27 de la figure 3G, une couche de neutralisation 220 en deuxième polymère 160 est déposée sur le substrat 100 dans les régions dépourvues de motifs de guidage 210. La couche de neutralisation 220 est formée d’un deuxième polymère 160, greffé, de masse molaire M2 supérieure à la masse molaire M1 du premier polymère. De préférence, l’étape S27 de la figure 3G se déroule de la façon décrite en relation avec les figures 2F-2G (étapes S16-S17).
Les figures 4A à 4D représentent des étapes S31 à S34 du procédé de formation de structure de guidage chimique, d’après un troisième mode de mise en oeuvre de l’invention.
Dans ce troisième mode de mise en oeuvre, l’ordre dans lequel sont formés les motifs de guidage 210 et la couche de neutralisation 220 est inversé. Autrement dit, on commence par former la couche de neutralisation 220 en utilisant un premier polymère 140 de masse molaire M1 , puis on procède au greffage du deuxième polymère 160 de masse molaire M2 (supérieure à M1 ) par-dessus le premier polymère. Le premier polymère 140 possède donc ici une affinité neutre (ex. copolymère statistique), tandis que le deuxième polymère 160 présente une affinité préférentielle pour l’un des blocs du copolymère. La masse molaire d’un copolymère (statistique ou à blocs) varie en fonction de sa composition, et notamment en fonction du degré de répétition des monomères (ou degré de polymérisation).
En référence à la figure 4A, le procédé débute par une étape S31 de formation d’un masque 310’ sur le substrat 100. Le masque 310’ de la figure 4A est avantageusement identique au masque 310 des figures 3D-3E et comprend des motifs 31 1 en forme d’espaceur de largeur W.
À l’étape S32 de la figure 4B, le premier polymère 140 est greffé sur le substrat 100 à travers le masque 310’, et avantageusement sur toute la surface du substrat 100, pour former la couche de neutralisation 220. La couche de neutralisation 220 comporte au moins un motif de neutralisation 222, et de préférence plusieurs motifs de neutralisation 222 distincts. Ces motifs de neutralisation 222 peuvent adopter différentes géométrie en vue de dessus, par exemple une forme rectangulaire.
L’étape S32 peut être mise en œuvre comme indiqué précédemment, par dépôt d’une couche de solution comprenant le premier polymère 140, recuit et rinçage. De préférence, la couche de solution déposée sur le substrat 100 présente une épaisseur inférieure à la hauteur des espaceurs 31 1 , de sorte que ces derniers ne soient pas totalement recouverts de polymère greffé pour faciliter leur retrait.
Puis, en S33 (cf. Fig.4C), le masque 310’ est retiré, de préférence par gravure humide (ex. HF) pour ne pas détériorer la couche de neutralisation 220. Au moins la face supérieure des espaceurs 31 1 est exposée à la solution de gravure. On obtient alors dans la couche de neutralisation 220 des motifs en creux 221 dont le nombre, les dimensions et la forme correspond à ceux des espaceurs 31 1. Enfin, en S34 (cf. Fig.4D), les motifs de guidage 210 sont formés dans les motifs en creux 221 en y greffant le deuxième polymère 160. Comme la masse molaire M2 du deuxième matériau polymère 160 est supérieure à la masse molaire M1 du premier polymère 140, les motifs de guidage 210 ont dans ce mode de mise en oeuvre du procédé une épaisseur plus importante que la couche de fonctionnalisation 220.
Les figures 5A à 5D représentent des étapes S41 à S44 du procédé de formation de structure de guidage chimique, d’après un quatrième mode de mise en oeuvre de l’invention.
Ce quatrième mode de mise en oeuvre diffère du troisième mode de mise en oeuvre en ce qu’une marche ou surélévation 500 est créée entre les espaceurs 31 1 de chaque paire. Cette marche 500 facilite l’auto-assemblage du copolymère à blocs déposé ultérieurement sur la structure de guidage chimique. La hauteur de la marche 500 est de préférence comprise entre 10 % et 50 % de la période naturelle Lo du copolymère à blocs, par exemple comprise entre 3 nm et 15 nm pour un copolymère à blocs de période naturelle Lo égale à 30 nm. Comme la figure 4A, la figure 5A représente l’étape S41 de formation du masque 310’ sur le substrat 100a. Le masque 310’ comprend avantageusement plusieurs paires d’espaceurs 31 1 (seules deux paires d’espaceurs sont toutefois représentées). Les marches 500 peuvent être créées pendant cette étape S41 en gravant une partie du substrat 100 lors de la délimitation des mandrins 300, avant que ne soient formés les espaceurs 31 1 contre les flancs des mandrins 300 (cf. étape S21 de la figure 3A). Une chimie de gravure non-sélective par rapport au substrat 100 est alors utilisée pour graver la couche de matériau sacrificiel. Par exemple, lorsque le substrat 100 est formé (au moins en surface) de nitrure de titane (TiN) et que le matériau sacrificiel est du SOC, un plasma HBr/02 peut être utilisé.
D’autres combinaisons de matériaux sont naturellement possibles. Le substrat 100 peut être formé (au moins en surface) de dioxyde d'hafnium (Hf02) ou d’alumine (AI2O3) et le matériau sacrificiel peut être une résine. Les étapes suivantes S42 à S44 du procédé selon le quatrième mode de mise en oeuvre sont identiques aux étapes S32 à S34 décrites en relations avec les figures 4B- 4D. À l’étape S42 (cf. Fig.5B), le premier polymère 140 est greffé sur le substrat 100 à travers le masque 310’ pour former la couche de neutralisation 220. Certains motifs de neutralisation 222 sont surélevés grâce aux marches 500 formés dans le substrat 100. Puis, en S43 (cf. Fig.5C), les espaceurs 31 1 du masque 310’ sont éliminés sélectivement par rapport au substrat 100 et à la couche de neutralisation 220 pour former les motifs en creux 221 à leur place des espaceurs 31 1. Enfin, en S34 (cf. Fig.5D), les motifs de guidage 210 sont formés dans les motifs en creux 221 en y greffant le deuxième polymère 160 (de masse molaire M2 supérieure à la masse molaire M1 du premier polymère 140).
Une autre façon pour former les marches ou surélévations 500 est de déposer une couche en matériau sacrificiel (ex. TiN, FI FO2, AI2O3) (différent du matériau du substrat) sur le substrat 100 avant de former les mandrins 300. Cette couche est alors gravée sélectivement par rapport au substrat 100 lors de la délimitation des mandrins 300. Cette variante de mise en oeuvre permet un meilleur contrôle de l’épaisseur des marches 500.
Les figures 6A à 6E représentent des étapes S51 à S55 du procédé de formation de structure de guidage chimique, d’après un cinquième mode de mise en oeuvre de l’invention. Dans ce cinquième mode de mise en oeuvre, les marches 500 sont formées sous les espaceurs 31 1 du masque 310’, de façon à surélever les motifs de guidage 210 par rapport à la couche de neutralisation 220.
A l’étape S51 de la figure 6A, le masque 310’ est formé sur un substrat 100 comprenant une couche de support 100a et une couche superficielle 100b disposée sur la couche de support 100a. La couche superficielle 100b, aussi appelée couche de masque dur, est formée d’un matériau susceptible d’être gravé sélectivement par rapport au matériau de la couche de support 100a. Par exemple, la couche de support 100a est en TiN tandis que la couche superficielle 100b est en résine, ou la couche de support 100a est en oxyde alors que la couche superficielle 100b est en TiN. L’épaisseur de la couche superficielle 100b est de préférence comprise entre 3 nm et 30 nm.
L’étape S52 de la figure 6B consiste à graver, à travers les espaceurs du masque 310’, la couche superficielle 100b sélectivement par rapport à la couche de support 100a (sui sert donc couche d’arrêt de la gravure). Cette gravure est de préférence réalisée par plasma. La couche superficielle 100b est alors limitée à des motifs espacés les uns des autres et situés sous les espaceurs 31 1. Ces motifs constituent les marches 500. La forme et les dimensions des marches 500 correspondent à celles des espaceurs 31 1.
Ensuite, en S53 (cf. Fig.6C), le premier polymère 140 est greffé à travers le masque 310’, sur la couche de support 100a et entre les marches 500, pour former la couche de neutralisation 220.
Puis, en S54 (cf. Fig.6D), les espaceurs 31 1 du masque 310’ sont éliminés sélectivement par rapport à la couche superficielle 100b, à la couche de neutralisation 220 et à la couche de support 100a (de préférence par gravure humide, par exemple HF). Les marches 500 sont alors exposées.
Enfin, en S55 (cf. Fig.6E), les motifs de guidage 210 sont formés en greffant le deuxième polymère 160 sur les marches 500. Comme le deuxième polymère 160 est de masse molaire M2 supérieure à la masse molaire M1 du premier polymère 140, il n’est pas greffé sur la couche de neutralisation 220 (il ne vient pas non plus remplacer ou se mélanger au premier polymère).
Ainsi, ce cinquième mode de mise en oeuvre diffère du quatrième mode de mise en oeuvre en ce que les marches 500 sont délimitées après la formation des espaceurs 31 1 (et non pas avant comme sur la figure 5A).
Dans une variante de mise en oeuvre représentée par les figures 7B-7C, la couche superficielle 100b est gravée à travers le masque 310’ sur une partie seulement de son épaisseur (en contrôlant le temps de gravure) lors de l’étape S52 et la couche de neutralisation 220 est déposée sur la partie restante de la couche superficielle 100b entre les marches 500 lors de l’étape S53. Après dépôt de la couche de neutralisation 220, les espaceurs 31 1 sont retirés par gravure humide (ex. H F). Cette variante de mise en oeuvre permet de simplifier l’empilement de couches nécessaire à l’intégration.
La structure de guidage chimique 200 obtenue à l’issue du procédé selon l’invention et représentée sur les figures 2G, 3G, 4D, 5D et 6E peut être utilisée dans un procédé d’auto-assemblage dirigé de copolymère à blocs (« Directed Self-Assembly », DSA), et plus particulièrement dans un procédé de chémo-épitaxie, afin de générer des motifs de très hautes résolution et densité.
En référence à la figure 8, ce procédé de chémo-épitaxie comporte (outre la formation de la structure de guidage 200) une étape de dépôt d’un copolymère à blocs 800 sur la structure de guidage chimique 200 et une étape d’assemblage du copolymère à blocs 800, par exemple par recuit thermique. Le copolymère à blocs 800 peut être un copolymère di-blocs (deux monomères) ou multi-blocs (plus de deux monomères), un mélange de polymères, un mélange de copolymères ou encore le mélange d’un copolymère et d’un homopolymère. Les blocs du copolymère sont après assemblage orientés perpendiculairement au substrat 1 00, grâce à la présence de la couche de neutralisation 220.
Lorsque le mode de mise en oeuvre des figures 2A-2G a été employé pour former la structure de guidage chimique 200, le copolymère à blocs 800 peut être de morphologie quelconque, par exemple lamellaire, cylindrique, sphérique, gyroïde..., selon la proportion entre les blocs de monomère.
Lorsque le mode de mise en oeuvre des figures 3A-3G, 4A-4D, 5A-5D ou 6A-6E a été employé pour former la structure de guidage chimique 200, le copolymère à blocs 800 est de morphologie lamellaire (cf. Fig.5), car les espaceurs 31 1 et les motifs de guidage 210 ont une section (dans un plan parallèle au substrat 100) en forme de ligne. L’utilisation des espaceurs 130 (Fig.2C) et 31 1 (Fig.3D, Fig.4A, Fig.5A et Fig.6A) rend possible l’utilisation de copolymères à blocs de nouvelle génération dits « high-X » ayant une période naturelle Lo bien inférieure à celle du PS-b-PMMA (bloquée à 25 nm) et qui nécessitent des motifs de guidage 210 de dimension critique très faible, typiquement inférieure à 12,5 nm.
Le copolymère à blocs 800 peut donc être un copolymère à blocs standard (Lo ³ 25 nm) ou un copolymère à blocs « high-X » (Lo < 25 nm). Il peut notamment être choisi parmi les suivants :
- PS-b-PMMA : polystyrène-bloc-polyméthylméthacrylate ;
- PS-b-PMMA, dont au moins un des deux blocs est modifié chimiquement pour diminuer la période naturelle du copolymère ;
- PS-b-PDMS : polystyrène-bloc-polydiméthylsiloxane ;
- PS-b-PLA : polystyrène-bloc-acide polylactique ;
- PS-b-PEO : polystyrène-bloc-polyoxyde d’éthylène ;
- PS-b-PMMA-b-PEO : polystyrène-bloc-polyméthylméthacrylate-bloc- polyoxyde d’éthylène ;
- PS-b-P2VP : polystyrène-bloc-poly(2vinylpyridine) ;
- PS-b-P4VP : polystyrène-bloc-poly(4vinylpyridine) ;
- PS-b-PFS : poly(styrene)-block-poly(ferrocenyldimethylsilane) ;
- PS-b-PI-b-PFS : poly(styrene)-block-poly(isoprene)-block- poly(ferrocenyldimethylsilane) ;
- PS-b-P(DMS-r-VMS) : polystyrene-block-poly(dimethylsiloxane-r- vinylmethylsiloxane) ;
- PS-b-PMAPOSS : polystyrene-block-poly(methyl acrylate)POSS ;
- PDMSB-b-PS : poly(1 ,1 -dimethylsilacyclobutane)-block-polystyrene ;
- PDMSB-b-PMMA : poly(1 ,1 -dimethylsilacyclobutane)-block-poly(methyl
méthacrylate) ;
- PMMA-b-PMAPOSS : poly(methyl methacrylate)-block-poly(methyl
acrylate)POSS ;
- P2VP-b-PDMS : poly(2-vinylpyridine)-block-poly(dimethyl siloxane) ;
- PTMSS-b-PLA : poly(trimethylsilylstyrene)-block-poly(D,L-lactide) ;
- PTMSS-b-PDLA : poly(trimethylsilylstyrene)-block-poly(D-lactic acid) ; - PTMSS-b-PMOST : poly(trimethylsilylstyrene)-block-poly(4-methoxystyrene) ;
- PLA-b-PDMS : poly(D,L-lactide)-block-poly(dimethylsiloxane) ;
- PAcOSt-b-PSi2St : poly(4-acetoxystyrene)-block-poly(4- (Bis(trimethylsilyl)methyl)styrene) ;
- 1 ,2-PB-b-PDMS : 1 ,2-polybutadiene-block-poly(dimethyl siloxane) ;
- PtBS-b-PMMA : poly(4-tert-butylstyrene)-block-poly(methyl méthacrylate) ;
- PCHE-b-PMMA : polycyclohexane-block-poly(methyl méthacrylate) ;
- MH-b-PS : maltoheptaose-block-polystyrene. Enfin, la formation des marches 500 (Fig.5A, 6B, 7B) à la surface du substrat 100 favorise l’alignement du copolymère à blocs 800. Un alignement physique est obtenu en plus de l’alignement chimique (approche hybride chémo-graphoépitaxie). Dans un souci de simplification, les marches 500 ainsi que la différence d’épaisseur entre les motifs de guidage 210 et la couche de neutralisation 220 n’ont pas été représentées sur la figure 8.
Naturellement, le procédé de formation selon l’invention n’est pas limité aux modes de mise en oeuvre décrits en référence aux figures 2 à 7 et de nombreuses variantes et modifications apparaîtront à l’homme du métier. En particulier, le premier polymère 140 et le deuxième polymère 160 pourraient présenter d’autres compositions que celles décrites précédemment. De même, d’autres copolymères à blocs pourraient être utilisés.
Les structures de guidage chimique réalisables grâce au procédé de formation selon l’invention ne sont pas limitées à la juxtaposition de motifs de guidage en homopolymère et d’une couche de neutralisation. D’autres types de motifs, ayant des affinités chimiques différentes que celles décrites ci-dessus, peuvent être utilisés. Par exemple, la structure de guidage chimique 200 peut être composée d’un premier motif (ou ensemble de motifs) ayant une affinité préférentielle pour un bloc du copolymère et d’un deuxième motif (ou ensemble de motifs) ayant une affinité préférentielle pour un autre bloc du copolymère. Les premier et deuxième polymères pourraient être alors tous les deux des homopolymères. Dans une variante du procédé de chémo-épitaxie selon l’invention, le copolymère à blocs est déposé sur le substrat 100 recouvert uniquement des motifs (210 ou 222) du premier polymère 140, au stade de la figure 2E, 3F, 4C, 5C ou 6D. Le substrat 100 présente alors une affinité chimique propice à l’assemblage du copolymère à blocs (neutre dans le cas des figures 2E et 3F, préférentielle dans le cas des figure 4C, 5C et 6D). Le procédé de formation de la structure de guidage chimique ne comporte alors pas d’étape de greffage du deuxième polymère 160 (Fig. 2F-2G, 3G, 4D, 5D, 6E).

Claims

REVENDICATIONS
1 . Procédé de formation d’une structure de guidage chimique (200) destinée à l’auto assemblage d’un copolymère à blocs (800) par chémo-épitaxie, le procédé comprenant les étapes suivantes :
- former sur un substrat (100) au moins un motif initial (210, 222) en un premier matériau polymère (140) ayant une première masse molaire (M1 ) et une première affinité chimique vis-à-vis du copolymère à blocs (800) ;
- recouvrir (S16) le motif initial (210, 222) et une région du substrat (100) adjacente au motif initial d’une couche (150) comprenant un deuxième matériau polymère (160) greffable, le deuxième matériau polymère ayant une deuxième masse molaire (M2) et une deuxième affinité chimique vis-à-vis du copolymère à blocs, différente de la première affinité chimique ;
- greffer (S17, S27, S34, S44, S55) le deuxième matériau polymère (160) dans la région adjacente au motif initial (210, 222) ;
caractérisé en que le premier matériau polymère (140) est greffé au substrat (100) et en ce que la deuxième masse molaire (M2) est supérieure à la première masse molaire (M1 ).
2. Procédé selon la revendication 1 , dans lequel la deuxième masse molaire (M2) est supérieure ou égale à 150 % de la première masse molaire (M1 ).
3. Procédé selon la revendication 2, dans lequel la deuxième masse molaire (M2) est en outre inférieure ou égale à 500 % de la première masse molaire (M1 ).
4. Procédé selon l’une quelconque des revendications 1 à 3, dans lequel l’étape de formation du motif initial (210) comprend les opérations suivantes :
- déposer (S1 1 ) une couche de matériau sacrificiel (1 10) sur le substrat (100) ;
- former (S1 1 ) dans la couche de matériau sacrificiel au moins une cavité (1 1 1 ) débouchant sur le substrat, la cavité comprenant un fond (1 12) et des parois latérales (1 13) ;
- former (S13) des espaceurs (130) contre les parois latérales de la cavité ;
- greffer (S14) le premier matériau polymère (140) sur le substrat (100) au fond de la cavité (1 1 1 ) ; et
- éliminer (S15) la couche de matériau sacrificiel (1 10) et les espaceurs (130).
5. Procédé selon l’une quelconque des revendications 1 à 3, dans lequel l’étape de formation du motif initial (210) comprend les opérations suivantes :
- greffer (S22) une couche (301 ) du premier matériau polymère (140) sur le substrat (100) ;
- former (S23-S24) un masque (310) sur la couche du premier matériau polymère ;
- graver (S25) la couche (301 ) du premier matériau polymère (140) à travers le masque (310) ;
- retirer (S26) le masque.
6. Procédé selon l’une des revendications 4 et 5, dans lequel le premier matériau polymère (140) présente une affinité préférentielle pour l’un des blocs du copolymère et dans lequel le deuxième matériau polymère (160) est neutre vis-à-vis du copolymère à blocs (800).
7. Procédé selon l’une quelconque des revendications 1 à 3, dans lequel l’étape de formation du motif initial (222) comprend les opérations suivantes :
- former (S31 , S41 , S51 ) un masque (310’) sur le substrat (100) ;
- greffer (S32, S42, S53) le premier matériau polymère (140) sur le substrat à travers le masque ;
- retirer (S33, S43, S54) le masque (310’).
8. Procédé selon la revendication 7, dans lequel le premier matériau polymère (140) est neutre vis-à-vis du copolymère à blocs (800) et dans lequel le deuxième matériau polymère (160) présente une affinité préférentielle pour l’un des blocs du copolymère.
9. Procédé selon l’une des revendications 5 et 7, dans lequel le masque (310, 310’) comporte au moins un motif (31 1 ) en forme d’espaceur de dimension critique inférieure à 20 nm.
10. Procédé selon la revendication 9, dans lequel le masque (310, 310’) comporte au moins deux espaceurs (31 1 ) de dimension critique (W) sensiblement égale à la moitié de la période naturelle (Lo) du copolymère à blocs (800) et dans lequel les espaceurs (31 1 ) sont en outre espacés deux à deux et centre à centre d’une distance sensiblement égale à un multiple entier de la période naturelle du copolymère à blocs.
11. Procédé de chémo-épitaxie comprenant les étapes suivantes :
- former une structure de guidage chimique (200) sur un substrat (100) à l’aide d’un procédé selon l’une quelconque des revendications 1 à 10 ;
- déposer un copolymère à blocs (800) sur la structure de guidage chimique ; et
- assembler le copolymère à blocs (800).
EP18827109.2A 2017-12-21 2018-12-21 Procédé de formation d'une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie Withdrawn EP3729491A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR1762874A FR3075775B1 (fr) 2017-12-21 2017-12-21 Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
PCT/EP2018/086594 WO2019122334A1 (fr) 2017-12-21 2018-12-21 Procédé de formation d'une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie

Publications (1)

Publication Number Publication Date
EP3729491A1 true EP3729491A1 (fr) 2020-10-28

Family

ID=61802124

Family Applications (1)

Application Number Title Priority Date Filing Date
EP18827109.2A Withdrawn EP3729491A1 (fr) 2017-12-21 2018-12-21 Procédé de formation d'une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie

Country Status (7)

Country Link
US (1) US20210088897A1 (fr)
EP (1) EP3729491A1 (fr)
JP (1) JP2021507297A (fr)
KR (1) KR20200096981A (fr)
FR (1) FR3075775B1 (fr)
TW (1) TW201936482A (fr)
WO (1) WO2019122334A1 (fr)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112713086A (zh) * 2019-10-24 2021-04-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20230157722A (ko) 2022-05-10 2023-11-17 한국과학기술원 하이브리드 열 통합을 이용한 디메틸 카보네이트 반응증류 제조 장치 및 방법

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490402B2 (en) * 2013-09-04 2019-11-26 Tokyo Electron Limited UV-assisted stripping of hardened photoresist to create chemical templates for directed self-assembly
FR3075774B1 (fr) * 2017-12-21 2021-07-30 Commissariat Energie Atomique Procede de formation d’une structure de guidage chimique sur un substrat et procede de chemo-epitaxie
FR3102294A1 (fr) * 2019-10-16 2021-04-23 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé de formation d’une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie

Also Published As

Publication number Publication date
TW201936482A (zh) 2019-09-16
FR3075775B1 (fr) 2020-01-17
WO2019122334A1 (fr) 2019-06-27
FR3075775A1 (fr) 2019-06-28
US20210088897A1 (en) 2021-03-25
JP2021507297A (ja) 2021-02-22
KR20200096981A (ko) 2020-08-14

Similar Documents

Publication Publication Date Title
EP2998981B1 (fr) Procédé de grapho-épitaxie pour réaliser des motifs à la surface d&#39;un substrat
EP3503165B1 (fr) Procédé de formation d&#39;une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie
WO2016102628A1 (fr) Procédé de réalisation de motifs
EP3249468B1 (fr) Procédé de réalisation de motifs
EP3729491A1 (fr) Procédé de formation d&#39;une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie
WO2013057152A1 (fr) Procede de realisation d&#39;un dispositif optique refractif ou diffractif
EP3465739B1 (fr) Procédé de formation d&#39;un motif de guidage fonctionnalisé pour un procédé de grapho-épitaxie
EP3529664B1 (fr) Procédé de formation d&#39;un guide d&#39;assemblage fonctionnalisé et procédé de grapho-épitaxie
EP3149764B1 (fr) Procédé de réalisation de motifs par auto-assemblage de copolymeres a blocs
EP3465741A1 (fr) Procédé d&#39;auto-assemblage dirigé d&#39;un copolymère à blocs par grapho-épitaxie
EP3812347A1 (fr) Procédé de formation d&#39;une structure de guidage chimique sur un substrat et procédé de chémo-épitaxie
EP3465740A1 (fr) Procédé de formation d&#39;un motif de guidage fonctionnalisé pour un procédé de grapho-épitaxie
FR3085381A1 (fr) Procede d’auto-assemblage dirige d’un melange de copolymere a blocs
WO2020058281A1 (fr) Procédé d&#39;auto-assemblage dirigé d&#39;un copolymère à blocs ayant une faible température de transition vitreuse
WO2020048955A1 (fr) Procédé de gravure d&#39;un copolymère à blocs comprenant une étape de dépôt sélectif
EP1988566A2 (fr) Procédé de fabrication de motifs au sein d&#39;une couche de polymère

Legal Events

Date Code Title Description
STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: UNKNOWN

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE INTERNATIONAL PUBLICATION HAS BEEN MADE

PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: REQUEST FOR EXAMINATION WAS MADE

17P Request for examination filed

Effective date: 20200713

AK Designated contracting states

Kind code of ref document: A1

Designated state(s): AL AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HR HU IE IS IT LI LT LU LV MC MK MT NL NO PL PT RO RS SE SI SK SM TR

AX Request for extension of the european patent

Extension state: BA ME

DAV Request for validation of the european patent (deleted)
DAX Request for extension of the european patent (deleted)
GRAP Despatch of communication of intention to grant a patent

Free format text: ORIGINAL CODE: EPIDOSNIGR1

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: GRANT OF PATENT IS INTENDED

INTG Intention to grant announced

Effective date: 20210909

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20220120