EP1297566A2 - Appareil et procede de nettoyage de substrat - Google Patents

Appareil et procede de nettoyage de substrat

Info

Publication number
EP1297566A2
EP1297566A2 EP01944538A EP01944538A EP1297566A2 EP 1297566 A2 EP1297566 A2 EP 1297566A2 EP 01944538 A EP01944538 A EP 01944538A EP 01944538 A EP01944538 A EP 01944538A EP 1297566 A2 EP1297566 A2 EP 1297566A2
Authority
EP
European Patent Office
Prior art keywords
substrate
gas
temperature
chamber
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP01944538A
Other languages
German (de)
English (en)
Inventor
Chun Yan
Qi Li
Diana Ma
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of EP1297566A2 publication Critical patent/EP1297566A2/fr
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Definitions

  • This present invention relates to cleaning of a substrate.
  • active and passive devices are formed on a substrate, such as a semiconductor wafer, by alternately depositing and etching layers of dielectric, semiconducting, and conducting materials, such as silicon dioxide, polysilicon, and metal compounds and alloys. These layers may be etched to form a pattern of etched features, by providing a resist layer of photoresist and/or oxide hard mask on the substrate, and using lithography to expose and pattern the resist layer. The portions of the layers adjacent to the patterned resist features are etched to form a predefined pattern of gates, vias, contact holes, trenches, and/or metal interconnect lines. Etching is typically performed using a capacitively or inductively coupled plasma of halogen-containing gases, as for example described in Silicon Processing for the VLSI Era, Vol. 1 , Chapter 16, by Wolf and Tauber, Lattice
  • the etching process may leave (i) remnant resist, (ii) etchant byproducts, and (iii) sidewall deposits on the sidewalls of the etched features.
  • Remnant resist are those residual resist portions that are not etched by the etchant gases, and which remain on the substrate after the etching process.
  • the etchant byproducts may result from adsorption of the halogen-containing etchants on the etched features.
  • the sidewall deposits are formed during the etching process, by reaction of the etchant gases, the metal-containing layers, and the resist, and by condensation of the reaction byproducts on the sidewalls of the features.
  • One method of cleaning an etched substrate is a dry cleaning method in which a plasma of a gas is used to remove remnant resist remaining on the substrate in a stripping (or ashing) process and to remove etchant byproducts on the substrate in a passivating process.
  • the sidewall deposits on the substrate are typically removed by wet chemical etching methods or they can be at least partially removed in a dry cleaning process.
  • Conventional stripping methods which use a plasma of oxygen, nitrogen or water vapor, can be used to strip remnant resist from the substrate. These conventional stripping techniques are sometimes ineffective at stripping all the resist from the substrate, particularly when the polymeric resist is hardened by exposure to a plasma.
  • oxide hard mask resists the oxide layer can be stripped or can be left on the substrate.
  • remnant oxide hard mask is left on the substrate and a dielectric layer is deposited on the remnant oxide in a subsequent process step.
  • adequate stripping processes generally compromise process throughput.
  • the stripping process may also harden sidewall deposits making the deposits difficult to remove.
  • the etchant byproducts on the substrate are removed or inactivated to, for example, reduce post-etch corrosion problems by using a passivating gas, such as ammonia and/or water.
  • a passivating gas such as ammonia and/or water.
  • Conventional passivating techniques have several limitations.
  • One limitation is that the conventional techniques which have acceptable process throughput, can prevent post-etch corrosion of the substrate only for short periods, typically ranging from about 1 to 5 hours after exposure of the substrate to ambient moisture.
  • the short corrosion resistant period is undesirable because it necessitates that post-etch processing steps be performed within 1 to 2 hours after the substrate is first exposed to the atmosphere, resulting in a tight and inflexible production schedule.
  • the substrate cannot be etched, or if already etched, cannot be removed from the passivating and stripping chamber.
  • a method of .cleaning a substrate comprises exposing the substrate to an energized stripping gas while maintaining the substrate at a first temperature and exposing the substrate to an energized passivating gas while maintaining the substrate at a second temperature, the passivating gas comprising at least about 20 volume % H 2 0.
  • a method of cleaning a substrate comprises supporting the substrate in a first chamber, providing an energized stripping gas in the first chamber, supporting the substrate in a second chamber, and providing an energized passivating gas in the second chamber.
  • a method of cleaning a substrate in a chamber comprises supporting the substrate in the chamber, maintaining the substrate at a first temperature and providing an energized stripping gas in the chamber, maintaining the substrate at a second temperature and providing an energized passivating gas in the chamber, and cooling the substrate in the chamber.
  • a method of cleaning a substrate comprises exposing the substrate to an energized cleaning gas while heating the substrate from above the substrate.
  • a method of processing a substrate comprises exposing the substrate to an energized process gas while heating the substrate from above and cooling the substrate from below.
  • a method of processing a substrate comprises exposing the substrate to an energized process gas while heating the substrate from above at a rate of at least about 50°C/second.
  • a substrate cleaning apparatus comprises a substrate support, a gas distributor, a gas energizer, a gas exhaust, and a heater above the support, whereby a substrate received on the support may be heated by the heater and cleaned by gas introduced through the gas distributor, energized by the gas energizer and exhausted by the gas exhaust.
  • substrate processing apparatus comprises a substrate support, a gas distributor, a gas energizer, a gas exhaust, and a heater above the support, whereby a substrate received on the support may be heated by the heater and cleaned by gas introduced through the gas distributor, energized by the gas energizer and exhausted by the gas exhaust and wherein the heater is capable of heating the top of the substrate at a rate of at least about 50°C/second.
  • Figure 1 a is a schematic sectional side view of a substrate with metal- containing layers thereon;
  • Figure 1 b is a schematic sectional view of the substrate of Figure 1 a following etching
  • Figure 2 is a schematic view of a multi-chamber apparatus having an etching chamber and a cleaning chamber;
  • Figure 3 is a schematic sectional side view of an etching chamber
  • Figure 4 is a schematic sectional side view of a cleaning chamber
  • Figure 5 is a flow chart illustrating a substrate cleaning process
  • Figure 6 is a flow chart illustrating another version of a substrate cleaning process
  • Figure 7 is a schematic sectional side view of another version of a cleaning chamber
  • Figure 8 is a flow chart illustrating another version of a substrate cleaning process.
  • the process and apparatus of the present invention are useful for processing substrates, such as semiconductor wafers, but may also be used for other processes or for processing other substrates, such as printed circuit boards, flat panel displays, and liquid crystal displays.
  • substrates such as semiconductor wafers
  • substrates such as printed circuit boards, flat panel displays, and liquid crystal displays.
  • the description represents illustrative embodiments of the invention and is not intended to limit the invention.
  • layers on a substrate 30 may be etched by an etchant gas.
  • Figure 1 a shows a substrate 30 having layers formed on a workpiece 35.
  • the workpiece 35 may comprise semiconducting material, such as silicon, gallium arsenide, and the like.
  • the layers may comprise, in one version, (i) a diffusion barrier layer 40 comprising, for example, silicon oxynitride, organic ARC, titanium, tungsten, titanium-tungsten, or titanium nitride, (ii) an electrically conductive layer 45 comprising, for example, aluminum or alloys of aluminum and copper, and optionally silicon, and (iii) an anti-reflective layer 50 comprising, for example, silicon, titanium nitride, or titanium tungsten.
  • a diffusion barrier layer 40 comprising, for example, silicon oxynitride, organic ARC, titanium, tungsten, titanium-tungsten, or titanium nitride
  • an electrically conductive layer 45 comprising, for example, aluminum or alloys
  • An oxide layer (not shown), such as Si0 2 , may also be provided below the diffusion barrier layer 40.
  • a patterned etch-resistant layer 60 of, for example, photoresist or electron-beam resist overlies the layers.
  • Etchant gas may be provided to etch the exposed portions of the layers to form lines, trenches, holes or vias 65 that extend through one or more of the layers, as schematically illustrated in Figure 1 b.
  • remnant resist 70 along with etchant byproducts 75 remaining on the substrate 30 may be removed in one or more cleaning processes to provide a clean substrate surface for subsequent processing that is resistant to corrosion.
  • the remnant resist 70 may comprise any protective material that is used to prevent etching of underlying layers on the substrate 30.
  • the remnant resist 70 are the portions of the resist 60 that remain on the substrate 30 after the etching process.
  • the remnant resist 70 comprises residual polymeric photoresist or oxide hard mask. Suitable polymeric photoresist materials are commercially available from Shipley Co., Marlboro, Massachusetts, or Tokyo Ohka Kogyo (TOK) Co., Ltd., Kawasaki, Japan.
  • the etchant byproducts 75 on the features may comprise residual halogen radicals, such as chlorine or fluorine, that remain on the substrate 30 after etching.
  • the etchant byproducts 75 can also comprise byproduct compounds that are formed when residual halogen radicals react with the metal in the etched layers.
  • the remnant resist 70 is stripped using an energized stripping (or ashing) gas.
  • the etchant byproducts 75 on the substrate 30 are passivated using an energized passivating gas.
  • Sidewall deposits 80 on the sidewalls of the etched features may be formed during the etching process.
  • the sidewall deposits 80 may comprise organic compounds containing (i) carbon and hydrogen, (ii) metal from the metal-containing layers, such as aluminum or titanium, and (iii) etchant gas species such as chlorine, boron and/or nitrogen.
  • the composition and stoichiometry of the sidewall deposits 80 depend upon the chemical composition of the metal-containing layers, the resist, and the etchant gases used to etch the features. At least a portion of the sidewall deposits 80 may be removed by the stripping and/or the passivating gas.
  • a wet chemical etching process is typically used to remove the sidewall deposits 80 remaining on the features after a dry clean process.
  • the substrate 30 may be etched and cleaned in a multi-chamber apparatus 100 comprising one or more, etching chambers 1 10 for * etching the substrate 30, and one or more cleaning chambers 120 for removing remnant resist 70 and etchant byproducts 75 that remains on the substrate 30 after the etching process.
  • the etching chamber 110, cleaning chamber 120, a transfer chamber 125, and a load lock chamber 130 are all interconnected in a vacuum sealed environment that is maintained at a low pressure to reduce contamination of the substrate 30.
  • a robotic arm 135 transfers a substrate 30 from the load lock chamber 130 to the transfer chamber 125 and then to one of the processing chambers.
  • the metal containing layers on the substrate 30 may be etched in a process chamber 1 10, such as for example, a DPS Metal Etch Centura chamber, schematically illustrated in Figure 3, and commercially available from Applied Materials Inc. in Santa Clara, California.
  • the particular embodiment of the process chamber 1 10 shown herein is provided only to illustrate the invention, and should not be used to limit the scope of the invention.
  • the chamber 1 10 comprises a support 140 within a process zone 145 in the chamber 110.
  • a substrate 30 may be positioned on the support 140 by the robotic arm 135.
  • the substrate 30 may be held in place during the etching process using a mechanical or electrostatic chuck 150 with grooves 155 in which a coolant gas, such as helium, is held to control the temperature of the substrate 30.
  • the chamber 110 may be maintained at a low pressure and process gas may be introduced into the chamber 1 10 through a gas distributor 160 peripherally disposed about the substrate 30.
  • the process gas may be energized by a gas energizer that couples an energetic electromagnetic field into the process zone 145, such as an inductive, capacitive, or microwave field.
  • an inductor coil 165 adjacent to the process chamber 1 10 forms an inductive electric field in the chamber 110 when powered by a coil power supply 170 operated using, for example, an RF voltage at a source power level that may be from about 200 Watts to about 2000 Watts.
  • a capacitive electric field may be formed in the chamber 110.
  • At least a portion of the support 140 may be electrically conductive to serve as a cathode electrode 175.
  • the cathode 175 may be powered by an electrode power supply 185 operated using, for example, an RF voltage at a power level of from about 10 to about 1000 Watts.
  • the capacitive electric field is substantially perpendicular to the plane of the substrate 30, and accelerates the plasma, species toward the substrate 30 to provide more vertically oriented anisotropic etching of the substrate.
  • the frequency of the RF voltage applied to the process electrodes 175,180, and/or the inductor coil 165 is typically from about 50 KHz to about 60 MHz, and more typically about 13.56 MHz.
  • the ceiling 190 of the process chamber 1 10 can be flat or rectangular shaped, arcuate, conical, dome-shaped, or multi-radius dome-shaped.
  • the inductor coil 165 is wrapped around the sidewall 180 of the process chamber 1 10 in the form of a multi-radius dome-shaped inductor coil having a "flattened" dome shape that provides more efficient use of plasma source power and increased plasma ion flux uniformity directly over the substrate 30 center.
  • the plasma formed in the process zone 145 may also be enhanced using magnetically enhanced reactors (not shown), in which a magnetic field generator, such as a permanent magnet or electromagnetic coils, are used to apply a magnetic field in the process zone 145 to increase the density and uniformity of the plasma.
  • the magnetic field may comprise a rotating magnetic field with the axis of the field rotating parallel to the plane of the substrate 30, as described in U.S. Patent No. 4,842,683, which is incorporated herein by reference in its entirety.
  • Spent process gas and etchant byproducts are exhausted from the process chamber 1 10 through an exhaust system 195 capable of achieving a low pressure in the process chamber 110.
  • a throttle valve 200 is provided in the exhaust for controlling the pressure in the chamber 1 10.
  • an optical endpoint measurement system (not shown) may be used to determine completion of the etching process for a specific layer by measuring, for example, the change in light emission of a particular wavelength corresponding to a detectable gaseous species or by interferometric techniques.
  • the etchant gas composition may be selected to provide high etch rates, and highly selective etching of the layer or layers that are being etched.
  • the etchant gases may comprise one or more of Cl 2 , BCI 3 , CCI 4 , SiCI 4 , CF 4 , NF 3 , SF 6 , Br 2 , HBr, BBr 3 , CHF 3 , N 2 or the like.
  • the anti-reflective layer 50 is etched in energized etchant gas comprising about 90 seem Cl 2 and about 30 seem BCI 3 at a pressure of about 8 mTorr, a source power level of about 1600 Watts, a bias power level of about 145 Watts, a backside helium pressure of about 4 Torr and a cathode temperature of about 50°C.
  • the electrically conductive layer 45 may then be then etched in energized etchant gas comprising about 80 seem Cl 2 about 5 seem BCI 3 and about 10 seem CHF 3 at a pressure of about 14 mTorr, a source power level of about 1600 Watts, a bias power level of about 150 Watts, a backside helium pressure of about 8 Torr and a cathode temperature of about 50°C.
  • the diffusion barrier layer 40 may be etched in energized etchant gas comprising about 30 seem Cl 2# about 5 seem BCI 2 and about 30 seem N 2 or Ar at a pressure of about 10 mTorr, a source power level of about 1600 Watts, a bias power level of about 125 Watts, a backside helium pressure of about 8 Torr and a cathode temperature of about 50 C C.
  • energized etchant gas comprising about 30 seem Cl 2# about 5 seem BCI 2 and about 30 seem N 2 or Ar at a pressure of about 10 mTorr, a source power level of about 1600 Watts, a bias power level of about 125 Watts, a backside helium pressure of about 8 Torr and a cathode temperature of about 50 C C.
  • the substrate 30 is dechucked by, for example, a pneumatic lifting apparatus which raises lift pins (not shown) in the support 140 to raise the substrate 30 from the surface of the support 140.
  • the robotic transport arm 135 may be inserted between the substrate 30 and the support 140 to lift the substrate 30 off the lift pins. Thereafter, the lift pins are retracted into the support 140, and the robotic arm 135 transports the substrate 30 out of the etching chamber 1 10 and into the transfer chamber 125.
  • the substrate 30 is transferred from the transfer chamber 125 to cleaning chamber 120, such as for example, an "ASP" chamber, schematically illustrated in Figure 4, and commercially available from Applied Materials Inc. in Santa Clara, California.
  • cleaning chamber 120 shown herein is provided only to illustrate the process of the present invention, and should not be used to limit the scope of the invention, because other cleaning chambers can also be used to remove etchant byproducts 75 or strip remnant resist 70 from the substrate 30.
  • the substrate 30 is placed on a support 210 by the robotic arm 135, and optionally held in place during processing by a mechanical or electrostatic chuck 212.
  • an energized cleaning gas is formed to clean the substrate 30 held on the support 210 in a process zone 215.
  • the support 210 supports the substrate 30 in the process zone 215.
  • a heat source such as infrared lamps 220, can be used to heat the substrate 30 as will be described.
  • the cleaning gas may be introduced through a gas distributor 222 into a remote plasma generation zone 225 in a remote chamber 230.
  • remote it is meant that the center of the remote chamber 230 is at a fixed upstream distance from the center of a process zone 215 in the cleaning chamber 120.
  • the cleaning gas is activated by coupling microwave or RF energy into the remote chamber 230, to energize the cleaning gas and cause ionization or dissociation of the cleaning gas components, prior to its introduction through a diffuser 235, such as a showerhead diffuser, into the process zone 215.
  • a diffuser 235 such as a showerhead diffuser
  • Spent cleaning gas and byproducts may be exhausted from the cleaning chamber 120 through an exhaust system 240 capable of achieving a low pressure in the cleaning chamber.
  • a throttle valve 245 in the exhaust 240 is used for maintaining a chamber pressure at from about 300 to about 3000 mTorr.
  • the remote chamber 230 comprises a tube shaped cavity containing at least a portion of the remote plasma zone 225. Flow of cleaning gas into the remote chamber 230 is adjusted by a mass flow controller or gas valve 250.
  • the remote chamber 230 may comprise walls made of a dielectric material such as quartz, aluminum oxide, or monocrystalline sapphire that is transparent to microwaves and is non-reactive to the cleaning gas.
  • a microwave generator 255 is used to couple microwave radiation to the remote plasma zone 225 of the remote chamber 230.
  • a suitable microwave generator 255 is an "ASTEX" Microwave Plasma Generator commercially available from the Applied Science & Technology, Inc., Woburn, Massachusetts.
  • the microwave generator assembly 255 comprises a microwave applicator 260, a microwave tuning assembly
  • the microwave generator may be operated at a power level of about 200 to about 3000 Watts, and at a frequency of about 800 MHz to about 3000 MHz.
  • the remote plasma zone 225 is sufficiently distant from the process zone 215 to allow recombination of some of the dissociated or ionized gaseous chemical species.
  • the resultant reduced concentration of free electrons and charged species in the activated cleaning gas minimizes charge-up damage to the active devices on the substrate 30, and provides better control of the chemical reactivity of the activated gas formed in the remote plasma zone 225.
  • the center of the remote plasma zone 225 is maintained at a distance of at least about 50 cms from the center of the process zone 215.
  • Remnant resist 70 may be removed from the substrate 30 in a stripping
  • a suitable stripping gas for stripping the polymeric resist comprises (i) oxygen, and (ii) an oxygen activating gas or vapor, such as water vapor, nitrogen gas, or fluorocarbon gas, the fluorocarbon gases including any of those listed above.
  • the oxygen activating gas increases the concentration of oxygen radicals in the stripping gas.
  • a preferred stripping gas composition comprises oxygen and nitrogen in a volumetric flow ratio of about 6:1 to about 200:1 , and more preferably from about 10:1 to about 12:1 .
  • a suitable gas flow rate comprises 3000 to 3500 seem of 0 2 and 300 seem of N 2 .
  • a stripping gas comprises about 3500 seem 0 2 , about 200 seem N 2 and optionally about 300 seem H 2 0 energized at a power level of about 1400 Watts and introduced into the cleaning chamber 120 at a pressure of about 2 Torr for about 15 seconds.
  • the water vapor content in the stripping gas should be less than about 20% by volume of the combined oxygen and nitrogen gas content to provide adequate stripping rates.
  • a suitable ratio of the volumetric water vapor flow V H20 to the combined volumetric flow of oxygen and nitrogen (V 02 + V N2 ) is from about 1 :4 to about 1 :40, and more preferably about 1 :10.
  • suitable stripping gases are gases capable of stripping oxide, such as halogen containing gases, including CF 4 , C 2 F 6 , CHF 3 , C 3 H 2 F 6 , C 2 H 4 F 2 and HF.
  • the substrate may be exposed to the stripping gas for a period of time of from about 10 seconds to about 1000 seconds, and more preferably for about 45 seconds.
  • a single stripping step may be performed or multiple stripping steps may be performed, as discussed in U.S. Patent No. 5,545,289, which is incorporated herein by reference in its entirety.
  • the cleaning chamber may also be used to passivate the substrate 30 to remove corrosive etchant byproducts 75 therefrom or to prevent the formation of corrosive or contaminant materials on the etched substrate 30.
  • Passivating gases may comprise one or more of H 2 0, NH 3 , H 2 0 2 , 0 2 , N 2 , CF 4 , C 2 F 6 , CHF 3 , C 3 H 2 F 6 , C 2 H 4 F 2 , CH 3 F.
  • any gas or vapor containing hydrogen can serve as the passivating gas, including hydrogen, water vapor, ammonia, methanol, hydrogen sulfide, and mixtures thereof.
  • the passivating gases include (i) ammonia and oxygen, or (ii) water vapor, with optional oxygen and nitrogen.
  • the volumetric flow ratio of ammonia to oxygen is preferably from about 1 :1 to about 1 :50, more preferably from about 1 :5 to about 1 :20, and most preferably about 1 :10.
  • a preferred gas flow comprises 300 seem NH 3 and 3000 seem 0 2 .
  • a passivating gas comprising at least about 80 volume % H 2 O, and preferably about 100 volume % H 2 0, can be used to passivate the etchant byproducts
  • a passivating gas comprises about 500 seem H 2 O energized at a power level of about 1400 Watts and introduced into the cleaning chamber 20 at a pressure of about 2 Torr for about 15 seconds.
  • an inert carrier gas such as argon or helium can be passed through the bubbler to transport water vapor to the vacuum chamber.
  • oxygen, nitrogen or other additive can be added to the passivating gas to enhance passivating.
  • the passivating gas comprises at least about 20 volume % H 2 0. The effect of the oxygen and nitrogen addition depends on the ratio of the volumetric flow of water vapor (V H20 ) to the combined volumetric flow of oxygen and nitrogen (V 02 + V N2 ).
  • a suitable volumetric ratio of water vapor flow V H20 to combined volumetric flow of oxygen and nitrogen (V 02 + V N2 ) for use as a passivating gas is at least about 1 :2, more preferably from about 1 :2 to about 2: 1 , and most preferably about 1 : 1 .
  • the passivation may be either a single step or multiple steps.
  • the substrate is exposed to the passivating gas for a period of time of from about 10 seconds to about 100 seconds, and more preferably for about 45 seconds.
  • a multi-cycle passivation process for example a three cycle process, has been discovered to be particularly effective in preventing corrosion.
  • a process comprising a relatively low temperature strip and a relatively high temperature passivation provides improved remnant resist 70 and etchant byproduct 75 removal and improved corrosion resistance.
  • the extended corrosion resistance period is desirable so that the partially processed substrate can be stored while waiting for the next processing step.
  • Conventional passivating processes are typically unable to provide these extended corrosion resistant periods.
  • the low temperature strip and high temperature passivation lessens the hardening of sidewall deposits 80 thereby making them easier to remove in a subsequent wet chemical etch process which increases process throughput.
  • a substrate 30 is stripped at a first temperature and passivated at a second temperature in the cleaning chamber 120.
  • the stripping and passivating may occur in any order and with any number of intermediate steps and with, any number of repetitions.
  • the etched substrate 30 may be cleaned in a cleaning chamber by maintaining the temperature of the substrate 30 at a first temperature and then heating the substrate to a second temperature for passivation.
  • the heat source 220 in the support 210 maintains the substrate at a first temperature less than about 150°C, more preferably from about 20°C to about 100°C, and most preferably about 50°C, during stripping. Thereafter, the heating is increased to maintain the substrate 30 at a second temperature above about 1 50 C C, more preferably above about 200°C, more preferably from about 200°C to about 350°C, and most preferably about 250°C during passivation.
  • the cleaning process throughput of the invention of Figure 5 may be increased.
  • cleaning process throughput it is meant the number of substrates that can be processed in a certain amount of time.
  • the heat source 220 in the support 210 of the cleaning chamber 120 should be sufficiently powerful to minimize the time required to heat the substrate 30 from the first temperature to the second temperature without adversely affecting the processing of the substrate 30.
  • the heat source 220 in the support is effective in heating the substrate 30 at a rate of at least 10°C/sec.
  • the throughput may also be increased by providing a separate cooling chamber to allow for cooling of the cleaned substrate 30 while another substrate is being cleaned in the cleaning chamber 120.
  • FIG. 6 Another version of the cleaning process useful in further improving throughput is shown in Figure 6 where two cleaning chambers are provided for cleaning a substrate 30.
  • the two cleaning chambers are maintained at different temperatures.
  • a first cleaning chamber may be maintained at a desired stripping temperature and a second cleaning chamber may be maintained at a desired passivating temperature.
  • the step of heating the substrate 30 from the first temperature to the second temperature in a chamber is eliminated thereby reducing the processing time for the substrate.
  • the cleaning process throughput is further reduced because one substrate may be passivated while another substrate is being stripped.
  • the cleaning chamber 120 of Figure 7 comprises a heater 320 that is positioned to directly heat the top of the substrate 30.
  • the heater 320 may be positioned directly above the substrate 30 (as shown) or may be positioned around the substrate (not shown).
  • the heater 320 which may comprise one or more infrared lamps in one or more lamp modules that is positionable in or on the cleaning chamber 120 to heat the top surface of the substrate 30.
  • the heating source 220 is capable of heating the top surface of the substrate 30 at a rate of at least 50°C/second, and more preferably of at least 100°C/second.
  • the support 210 may comprise, in the version shown in Figure 7, a cooled base 330.
  • the base 330 may be provided with channels 335 through which heat transfer fluid from a heat exchanger may be passed to control the temperature of the base 330 and of a substrate 30 on the support 210.
  • the base 330 is capable of cooling the substrate 30 at a rate of at least about 50°C/second, and more preferably at least about 100°C/second.
  • grooves in which a coolant gas, such as helium, may be held to control the temperature of the substrate 30 can be provided in an electrostatic chuck.
  • an etched substrate 30 may be transferred to the cleaning chamber 120 of Figure 7 for cleaning.
  • the heater 320 may be operated at a first level to heat and maintain the substrate 30 at a first temperature, which may be, for example, less than about 150°C, more preferably from about 20°C to about 100°C, and most preferably about 50°C, to strip the substrate 30. Thereafter, the heater 320 may be operated at a second level to heat and maintain the substrate 30 at a second temperature, which may be, for example, above about 150 C C, more preferably above about 200°C, more preferably from about 200°C to about 350°C, and most preferably about 250°C to passivate the substrate. Following passivation the base 330 may be used to cool the substrate in the cleaning chamber 120 to a temperature of about 70°C for further processing or storage of the substrate 120.
  • a separate cooling chamber may be provided.
  • the cleaning chamber 120 of Figure 7 is able to heat the top of the substrate 30 rapidly and able to cool the substrate 30 rapidly.
  • a single chamber may be used to strip and passivate a substrate at different temperatures and still improve throughput over conventional techniques.
  • This unexpected result is believed to be due to the arrangement of the heater 320 and the base 330 in the cleaning chamber 120 shown in Figure 7.
  • the cool down period in the cleaning chamber 120 is less than the cool down period in conventional cooling chambers. This is believed to be due to the rapid cooling ability of the base 330 and to a synergistic temperature effect of the combined top heating and bottom cooling.
  • the bottom of the substrate When a substrate 30 is heated from below, the bottom of the substrate must be at a higher temperature than the desired processing temperature in order to sufficiently heat the top of the substrate 30 to the desired temperature.
  • the top surface is at a higher temperature than the bottom surface.
  • the bottom of the substrate 30 is less than the desired temperature.
  • This effect may be enhanced by cooling the bottom of the substrate 30 during processing thereby processing a wafer having a large temperature gradient from its top to its bottom.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

La présente invention permet de nettoyer un substrat (30) en exposant le substrat (30) à un gaz de décapage excité tout en maintenant ledit substrat (30) à une première température, et en exposant le substrat (30) à un gaz de passivation excité tout en maintenant ledit substrat (30) à une seconde température. Selon un autre procédé, le substrat (30) est décapé et passivé dans des chambres séparées. Une chambre de nettoyage (120) peut comprendre un dispositif de chauffage (320) destiné à chauffer la partie supérieure du substrat (30).
EP01944538A 2000-06-14 2001-06-14 Appareil et procede de nettoyage de substrat Withdrawn EP1297566A2 (fr)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US59533600A 2000-06-14 2000-06-14
US595336 2000-06-14
PCT/US2001/019218 WO2001097270A2 (fr) 2000-06-14 2001-06-14 Appareil et procede de nettoyage de substrat

Publications (1)

Publication Number Publication Date
EP1297566A2 true EP1297566A2 (fr) 2003-04-02

Family

ID=24382834

Family Applications (1)

Application Number Title Priority Date Filing Date
EP01944538A Withdrawn EP1297566A2 (fr) 2000-06-14 2001-06-14 Appareil et procede de nettoyage de substrat

Country Status (3)

Country Link
EP (1) EP1297566A2 (fr)
JP (1) JP2004514272A (fr)
WO (1) WO2001097270A2 (fr)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7758763B2 (en) 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
JP2008235562A (ja) * 2007-03-20 2008-10-02 Taiyo Nippon Sanso Corp プラズマcvd成膜装置のクリーニング方法
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
EP2077467B9 (fr) 2008-01-04 2014-09-03 Adixen Vacuum Products Procédé de fabrication de photomasques et dispositif pour sa mise en oeuvre
KR101253948B1 (ko) * 2008-03-05 2013-04-16 알까뗄 루슨트 포토마스크 제조 방법의 실행 장치
JP2011523222A (ja) * 2008-06-10 2011-08-04 エーエスエムエル ネザーランズ ビー.ブイ. 光学要素を熱調整する方法およびシステム
JP6165518B2 (ja) * 2013-06-25 2017-07-19 株式会社日立ハイテクノロジーズ プラズマ処理方法および真空処理装置
KR102614922B1 (ko) * 2020-12-30 2023-12-20 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5397432A (en) * 1990-06-27 1995-03-14 Fujitsu Limited Method for producing semiconductor integrated circuits and apparatus used in such method
US5221424A (en) * 1991-11-21 1993-06-22 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corosion-forming materials remaining from previous metal etch
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US6209551B1 (en) * 1997-06-11 2001-04-03 Lam Research Corporation Methods and compositions for post-etch layer stack treatment in semiconductor fabrication

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of WO0197270A3 *

Also Published As

Publication number Publication date
WO2001097270A3 (fr) 2003-01-23
JP2004514272A (ja) 2004-05-13
WO2001097270A2 (fr) 2001-12-20

Similar Documents

Publication Publication Date Title
US6692903B2 (en) Substrate cleaning apparatus and method
US6440864B1 (en) Substrate cleaning process
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6933239B2 (en) Method for removing conductive residue
US6008139A (en) Method of etching polycide structures
US20090277874A1 (en) Method and apparatus for removing polymer from a substrate
KR0145645B1 (ko) 드라이에칭 장치의 에칭실을 클리닝하는 방법
JP4907827B2 (ja) ポリシリコンのエッチングの均一性を向上し、エッチング速度の変動を低減するための方法
US6325861B1 (en) Method for etching and cleaning a substrate
KR20010032030A (ko) 자체 세정가능한 에칭 공정
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
JP4167268B2 (ja) 基板をパッシベーションするプロセス
JPH03261138A (ja) 半導体装置のクリーニング方法およびクリーニング装置
JP2011517368A (ja) 基板からポリマーを除去するための方法及び装置
US20030181056A1 (en) Method of etching a magnetic material film stack using a hard mask
EP1297566A2 (fr) Appareil et procede de nettoyage de substrat
US6852636B1 (en) Insitu post etch process to remove remaining photoresist and residual sidewall passivation
JP5642427B2 (ja) プラズマ処理方法
EP0692141B1 (fr) Decapage de photoresist, passivation et inhibition de la corrosion de substrats a semi-conducteurs
JPH01200628A (ja) ドライエッチング方法
KR20030049086A (ko) 기판 건식 세정 장치 및 방법
JP2000012521A (ja) プラズマアッシング方法
US6399509B1 (en) Defects reduction for a metal etcher
JP2544129B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20030103

AK Designated contracting states

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LI LU MC NL PT SE TR

RBV Designated contracting states (corrected)

Designated state(s): DE FI FR GB IT NL

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION HAS BEEN WITHDRAWN

18W Application withdrawn

Effective date: 20050107