DE69624900D1 - Verfahren zur selektiven Abscheidung von Siliciden hochschmelzender Metalle auf Silizium - Google Patents

Verfahren zur selektiven Abscheidung von Siliciden hochschmelzender Metalle auf Silizium

Info

Publication number
DE69624900D1
DE69624900D1 DE69624900T DE69624900T DE69624900D1 DE 69624900 D1 DE69624900 D1 DE 69624900D1 DE 69624900 T DE69624900 T DE 69624900T DE 69624900 T DE69624900 T DE 69624900T DE 69624900 D1 DE69624900 D1 DE 69624900D1
Authority
DE
Germany
Prior art keywords
silicides
silicon
selective deposition
refractory metals
refractory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69624900T
Other languages
English (en)
Inventor
Jorge Regolini
Daniel Bensahel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Orange SA
Original Assignee
France Telecom SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by France Telecom SA filed Critical France Telecom SA
Application granted granted Critical
Publication of DE69624900D1 publication Critical patent/DE69624900D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
DE69624900T 1995-12-22 1996-12-17 Verfahren zur selektiven Abscheidung von Siliciden hochschmelzender Metalle auf Silizium Expired - Lifetime DE69624900D1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
FR9515327A FR2742924B1 (fr) 1995-12-22 1995-12-22 Procede de depot selectif d'un siliciure de metal refractaire sur du silicium et plaquette de silicium metallisee par ce procede

Publications (1)

Publication Number Publication Date
DE69624900D1 true DE69624900D1 (de) 2003-01-02

Family

ID=9485839

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69624900T Expired - Lifetime DE69624900D1 (de) 1995-12-22 1996-12-17 Verfahren zur selektiven Abscheidung von Siliciden hochschmelzender Metalle auf Silizium

Country Status (4)

Country Link
US (1) US5876796A (de)
EP (1) EP0780889B1 (de)
DE (1) DE69624900D1 (de)
FR (1) FR2742924B1 (de)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6057220A (en) * 1997-09-23 2000-05-02 International Business Machines Corporation Titanium polycide stabilization with a porous barrier
US5998253A (en) * 1997-09-29 1999-12-07 Siemens Aktiengesellschaft Method of forming a dopant outdiffusion control structure including selectively grown silicon nitride in a trench capacitor of a DRAM cell
JP3381774B2 (ja) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 CVD−Ti膜の成膜方法
JPH11238697A (ja) * 1998-02-23 1999-08-31 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3400737B2 (ja) * 1999-03-18 2003-04-28 松下電器産業株式会社 半導体装置の製造方法
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6242362B1 (en) * 1999-08-04 2001-06-05 Taiwan Semiconductor Manufacturing Company Etch process for fabricating a vertical hard mask/conductive pattern profile to improve T-shaped profile for a silicon oxynitride hard mask
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6410399B1 (en) * 2000-06-29 2002-06-25 International Business Machines Corporation Process to lower strap, wordline and bitline contact resistance in trench-based DRAMS by silicidization
US6830976B2 (en) 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
EP1469903A2 (de) * 2001-09-28 2004-10-27 BioValve Technologies, Inc. Mikronadel mit membran
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6946371B2 (en) * 2002-06-10 2005-09-20 Amberwave Systems Corporation Methods of fabricating semiconductor structures having epitaxially grown source and drain elements
US6982474B2 (en) * 2002-06-25 2006-01-03 Amberwave Systems Corporation Reacted conductive gate electrodes
KR100492155B1 (ko) * 2002-08-08 2005-06-01 삼성전자주식회사 반도체 소자의 실리사이드막 형성방법
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
JP4585510B2 (ja) * 2003-03-07 2010-11-24 台湾積體電路製造股▲ふん▼有限公司 シャロートレンチアイソレーションプロセス
AU2007292221B2 (en) * 2006-09-06 2013-08-29 The Regents Of The University Of California Selectively targeted antimicrobial peptides and the use thereof
US9218962B2 (en) 2011-05-19 2015-12-22 Globalfoundries Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
CN107578994B (zh) 2011-11-23 2020-10-30 阿科恩科技公司 通过插入界面原子单层改进与iv族半导体的金属接触
US9929044B2 (en) * 2014-01-30 2018-03-27 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
WO2018094205A1 (en) 2016-11-18 2018-05-24 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
KR102358527B1 (ko) 2017-12-17 2022-02-08 어플라이드 머티어리얼스, 인코포레이티드 선택적 증착에 의한 실리사이드 막들

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4337476A (en) * 1980-08-18 1982-06-29 Bell Telephone Laboratories, Incorporated Silicon rich refractory silicides as gate metal
DE3211752C2 (de) 1982-03-30 1985-09-26 Siemens AG, 1000 Berlin und 8000 München Verfahren zum selektiven Abscheiden von aus Siliziden hochschmelzender Metalle bestehenden Schichtstrukturen auf im wesentlichen aus Silizium bestehenden Substraten und deren Verwendung
US4619038A (en) 1985-08-15 1986-10-28 Motorola, Inc. Selective titanium silicide formation
FR2623014B1 (fr) 1987-11-09 1990-03-23 France Etat Procede de depot selectif d'un siliciure de metal refractaire sur des zones de silicium
KR970009274B1 (ko) * 1991-11-11 1997-06-09 미쓰비시덴키 가부시키가이샤 반도체장치의 도전층접속구조 및 그 제조방법
US5294571A (en) * 1992-07-22 1994-03-15 Vlsi Technology, Inc. Rapid thermal oxidation of silicon in an ozone ambient
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
DE4423808A1 (de) * 1993-07-19 1995-01-26 Siemens Ag Ultraschall-Endoskop
US5342798A (en) * 1993-11-23 1994-08-30 Vlsi Technology, Inc. Method for selective salicidation of source/drain regions of a transistor
US5516730A (en) * 1994-08-26 1996-05-14 Memc Electronic Materials, Inc. Pre-thermal treatment cleaning process of wafers

Also Published As

Publication number Publication date
EP0780889A3 (de) 1997-07-02
US5876796A (en) 1999-03-02
FR2742924A1 (fr) 1997-06-27
EP0780889B1 (de) 2002-11-20
FR2742924B1 (fr) 1998-03-20
EP0780889A2 (de) 1997-06-25

Similar Documents

Publication Publication Date Title
DE69624900D1 (de) Verfahren zur selektiven Abscheidung von Siliciden hochschmelzender Metalle auf Silizium
DE68926440T2 (de) Verfahren zur Selektivabscheidung von refraktorischen Metallen auf Siliziumkörpern
DE69421465D1 (de) Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
DE69627613D1 (de) Verfahren zur Rückgewinnung von Substraten
DE69111587T2 (de) Verfahren zur CVD von Kupfer.
DE69003175D1 (de) Verfahren und Vorrichtung zur Plasmaaussenabscheidung von hydroxylionenfreier Silika.
DE69635326D1 (de) Verfahren zum Ätzen von Silizium
DE59605597D1 (de) Verfahren zum Beschichten von metallischen und keramischen substraten
DE69605956T2 (de) Oberflächenbehandlungsverfahren für Siliziumsubstraten
DE69812869D1 (de) Verfahren zur Substratbearbeitung
DE69611391T2 (de) Verfahren zur Herstellung von Xylol
DE59603541D1 (de) Verfahren zur Reinigung von Bisphenol-A
DE731191T1 (de) Verfahren zur Verringerung der Korrosion
DE69625121D1 (de) Spritzbetriebsverfahren für monolitisches feuerfestes Material
DE69630559D1 (de) Verfahren zum Beschichten von Flachglas
DE69633579D1 (de) Verfahren zur Rückgewinnung von Quecksilber
DE59300594D1 (de) Verfahren zur Entfernung von wasserstoffhaltigen Silanen aus Methylchlorsilanen.
DE69531424D1 (de) Verfahren zur Reinigung von Halosilanen
DE69621533D1 (de) Verfahren zur Rückgewinnung von Pullulan
DE59602234D1 (de) Verfahren zur Auftrennung von Alkylglycosiden
NO991109L (no) FremgangsmÕte for raffinering av silisium
DE69426868T2 (de) Verfahren zur Hydrierung von Chlorsilan
DE69608652D1 (de) Verfahren zum Aufkohlen von Metallen
DE59603664D1 (de) Verfahren zur Reinigung von Gasen
DE69620337T2 (de) Verfahren zur Reinigung von Halosilanen

Legal Events

Date Code Title Description
8332 No legal effect for de