DE60333688D1 - DIFFERENTIAL METROLOGY FOR CRITICAL DIMENSIONS AND OVERLAYAGE - Google Patents

DIFFERENTIAL METROLOGY FOR CRITICAL DIMENSIONS AND OVERLAYAGE

Info

Publication number
DE60333688D1
DE60333688D1 DE60333688T DE60333688T DE60333688D1 DE 60333688 D1 DE60333688 D1 DE 60333688D1 DE 60333688 T DE60333688 T DE 60333688T DE 60333688 T DE60333688 T DE 60333688T DE 60333688 D1 DE60333688 D1 DE 60333688D1
Authority
DE
Germany
Prior art keywords
dimension
substrate
target
zero diffracted
overlayage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60333688T
Other languages
German (de)
Inventor
Christopher Ausschnitt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Application granted granted Critical
Publication of DE60333688D1 publication Critical patent/DE60333688D1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0055Manufacturing logistics
    • B81C99/0065Process control; Yield prediction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Structure Of Printed Boards (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

A method is described for measuring a dimension on a substrate, wherein a target pattern is provided with a nominal characteristic dimension that repeats at a primary pitch of period P, and has a pre-determined variation orthogonal to the primary direction. The target pattern formed on the substrate is then illuminated so that at least one non-zero diffracted order is detected. The response of the non-zero diffracted order to variation in the printed characteristic dimension relative to nominal is used to determine the dimension of interest, such as critical dimension or overlay, on the substrate. An apparatus for performing the method of the present invention includes an illumination source, a detector for detecting a non-zero diffracted order, and means for positioning the source relative to the target so that one or more non-zero diffracted orders from the target are detected at the detector.
DE60333688T 2003-12-19 2003-12-19 DIFFERENTIAL METROLOGY FOR CRITICAL DIMENSIONS AND OVERLAYAGE Expired - Lifetime DE60333688D1 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2003/041438 WO2005069082A1 (en) 2003-12-19 2003-12-19 Differential critical dimension and overlay metrology apparatus and measurement method

Publications (1)

Publication Number Publication Date
DE60333688D1 true DE60333688D1 (en) 2010-09-16

Family

ID=34793603

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60333688T Expired - Lifetime DE60333688D1 (en) 2003-12-19 2003-12-19 DIFFERENTIAL METROLOGY FOR CRITICAL DIMENSIONS AND OVERLAYAGE

Country Status (8)

Country Link
US (2) US7700247B2 (en)
EP (1) EP1709490B1 (en)
JP (1) JP2007522432A (en)
CN (1) CN100442144C (en)
AT (1) ATE476687T1 (en)
AU (1) AU2003300005A1 (en)
DE (1) DE60333688D1 (en)
WO (1) WO2005069082A1 (en)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6649426B2 (en) * 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7739651B2 (en) * 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7751047B2 (en) * 2005-08-02 2010-07-06 Asml Netherlands B.V. Alignment and alignment marks
KR100714280B1 (en) * 2006-04-27 2007-05-02 삼성전자주식회사 Equipment for inspecting overlay pattern in semiconductor device and method used the same
US7596420B2 (en) * 2006-06-19 2009-09-29 Asml Netherlands B.V. Device manufacturing method and computer program product
US7510960B2 (en) 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
DE102006056625B4 (en) * 2006-11-30 2014-11-20 Globalfoundries Inc. Method and test structure for determining focus settings in a lithography process based on CD measurements
US20080233487A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Optimizing Lithography Focus and/or Energy Using a Specially-Designed Optical Critical Dimension Pattern
US8975599B2 (en) * 2007-05-03 2015-03-10 Asml Netherlands B.V. Image sensor, lithographic apparatus comprising an image sensor and use of an image sensor in a lithographic apparatus
JP4825734B2 (en) * 2007-06-15 2011-11-30 株式会社日立ハイテクノロジーズ Calibration method and system between different types of measuring devices
JP5069052B2 (en) * 2007-07-30 2012-11-07 日本電子株式会社 Dose correction method and charged particle beam drawing apparatus
US20090121131A1 (en) * 2007-11-13 2009-05-14 Arkady Nikitin Method of determination of resolution of scanning electron microscope
NL1036245A1 (en) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method or diffraction based overlay metrology.
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7684038B1 (en) * 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
NL1036857A1 (en) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2131245A3 (en) * 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
NL2003294A (en) * 2008-08-19 2010-03-09 Asml Netherlands Bv A method of measuring overlay error and a device manufacturing method.
JP5607308B2 (en) * 2009-01-09 2014-10-15 キヤノン株式会社 Original data generation program and method
JP5607348B2 (en) * 2009-01-19 2014-10-15 キヤノン株式会社 Method and program for generating original data, and original production method
NL2004297A (en) * 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
NL2004365A (en) * 2009-04-10 2010-10-12 Asml Holding Nv Method and system for increasing alignment target contrast.
US8146025B2 (en) * 2009-07-30 2012-03-27 United Microelectronics Corp. Method for correcting layout pattern using rule checking rectangle
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
JP5279745B2 (en) * 2010-02-24 2013-09-04 株式会社東芝 Mask layout creation method, mask layout creation device, lithography mask manufacturing method, semiconductor device manufacturing method, and computer-executable program
NL2007052A (en) * 2010-07-15 2012-01-17 Asml Netherlands Bv Calibration method and inspection apparatus.
US8555214B2 (en) * 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US9213003B2 (en) 2010-12-23 2015-12-15 Carl Zeiss Sms Gmbh Method for characterizing a structure on a mask and device for carrying out said method
WO2012095808A1 (en) * 2011-01-12 2012-07-19 Nova Measuring Instruments Ltd. Process control using non-zero order diffraction
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
WO2013018093A1 (en) * 2011-08-01 2013-02-07 Nova Measuring Instruments Ltd Monitoring system and method for verifying measurements in patterned structures
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
KR101942388B1 (en) 2012-02-21 2019-01-25 에이에스엠엘 네델란즈 비.브이. Inspection apparatus and method
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
TWI546518B (en) * 2012-04-20 2016-08-21 德律科技股份有限公司 Three dimensional measurement system and three dimensional measurement method
KR101793565B1 (en) 2012-07-23 2017-11-03 에이에스엠엘 네델란즈 비.브이. Inspection method and apparatus, lithographic system and device manufacturing method
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
DE102012218382B4 (en) * 2012-10-09 2015-04-23 Leica Microsystems Cms Gmbh Method for determining a laser microdissection range and associated laser microdissection system
CN102930101B (en) * 2012-11-01 2015-05-20 中国科学院微电子研究所 Computing method for meal gate surface appearance
WO2014074893A1 (en) * 2012-11-09 2014-05-15 Kla-Tencor Corporation Metrology target characterization
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
WO2014198516A1 (en) * 2013-06-12 2014-12-18 Asml Netherlands B.V. Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method
US9494853B2 (en) 2013-12-18 2016-11-15 Cypress Semiconductor Corporation Increasing lithographic depth of focus window using wafer topography
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2015121867A1 (en) * 2014-02-16 2015-08-20 Nova Measuring Instruments Ltd. Overlay design optimization
NL2014938A (en) 2014-06-30 2016-03-31 Asml Netherlands Bv Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method.
US10359369B2 (en) 2014-08-07 2019-07-23 Nova Measuring Instruments Ltd. Metrology test structure design and measurement scheme for measuring in patterned structures
KR101986258B1 (en) 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. Metrology method, target and substrate
JP6602388B6 (en) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. Metrology method, metrology apparatus, and device manufacturing apparatus
KR102353145B1 (en) * 2015-04-10 2022-01-18 에이에스엠엘 네델란즈 비.브이. Method and apparatus for inspection and metrology
WO2016172122A1 (en) * 2015-04-21 2016-10-27 Kla-Tencor Corporation Metrology target design for tilted device designs
US9940429B2 (en) 2015-06-29 2018-04-10 International Business Machines Corporation Early overlay prediction and overlay-aware mask design
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
CN105044941B (en) * 2015-08-03 2018-01-12 深圳市华星光电技术有限公司 The size detecting method of litho pattern
CN109073642A (en) * 2015-09-17 2018-12-21 格哈德·马勒 Sensor device for biological sensing and other application
WO2017055075A1 (en) * 2015-09-28 2017-04-06 Asml Netherlands B.V. Hierarchical representation of two-dimensional or three-dimensional shapes
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
EP3153924B1 (en) * 2015-10-07 2021-11-17 Aselta Nanographics Method for determining the dose corrections to be applied to an ic manufacturing process by a matching procedure
NL2017857A (en) * 2015-12-18 2017-06-26 Asml Netherlands Bv Process flagging and cluster detection without requiring reconstruction
DE102015122726A1 (en) * 2015-12-23 2017-06-29 Bundesdruckerei Gmbh Inspection device and method for verifying a chip card semi-finished product
US10067425B2 (en) * 2016-03-29 2018-09-04 Mentor Graphics Corporation Correcting EUV crosstalk effects for lithography simulation
US10579768B2 (en) * 2016-04-04 2020-03-03 Kla-Tencor Corporation Process compatibility improvement by fill factor modulation
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10303839B2 (en) 2016-06-07 2019-05-28 Kla-Tencor Corporation Electrically relevant placement of metrology targets using design analysis
US10372113B2 (en) * 2016-09-23 2019-08-06 Kla-Tencor Corporation Method for defocus detection
US11112704B2 (en) * 2017-02-10 2021-09-07 Kla-Tencor Corporation Mitigation of inaccuracies related to grating asymmetries in scatterometry measurements
KR102384553B1 (en) * 2017-03-23 2022-04-08 에이에스엠엘 네델란즈 비.브이. Monitoring asymmetry of structures
US10754261B2 (en) * 2017-06-06 2020-08-25 Kla-Tencor Corporation Reticle optimization algorithms and optimal target design
CN109425318B (en) * 2017-08-28 2020-06-30 上海富筑实业有限公司 Method for detecting and screening original ecological animal casing length and root number
US10520829B2 (en) * 2017-09-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using underlying layer information
US11067389B2 (en) * 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US11164768B2 (en) 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
DE102018213127A1 (en) * 2018-08-06 2020-02-06 Carl Zeiss Smt Gmbh Arrangement and method for characterizing a mask or a wafer for microlithography
US10824082B2 (en) * 2018-10-30 2020-11-03 Kla-Tencor Corporation Estimation of asymmetric aberrations
TWI799654B (en) * 2018-11-29 2023-04-21 美商科磊股份有限公司 Metrology target, method of semiconductor metrology, computer program product, and metrology module
CN109827657B (en) * 2019-03-12 2024-03-01 深圳劲嘉集团股份有限公司 Method and device for measuring grating constant of plain laser material
JP7319524B2 (en) * 2019-04-09 2023-08-02 株式会社東京精密 Pattern measuring method and apparatus
WO2021072743A1 (en) 2019-10-18 2021-04-22 Yangtze Memory Technologies Co., Ltd. Systems and methods for evaluating critical dimensions based on diffraction-based overlay metrology
US11036912B2 (en) * 2019-11-11 2021-06-15 Globalfoundries U.S. Inc. Overlay optimization
US11798828B2 (en) * 2020-09-04 2023-10-24 Kla Corporation Binning-enhanced defect detection method for three-dimensional wafer structures
FR3128779B1 (en) * 2021-11-02 2024-03-01 Commissariat Energie Atomique METROLOGY STRUCTURE
CN114061451A (en) * 2021-11-04 2022-02-18 中国科学院微电子研究所 Ultra-precise position detection photoelectric signal data fitting method and device thereof
CN117371387B (en) * 2023-12-08 2024-02-13 浙江集迈科微电子有限公司 Integrated circuit device layout parameterization construction method and device, storage medium and terminal

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3200894B2 (en) 1991-03-05 2001-08-20 株式会社日立製作所 Exposure method and apparatus
US5363171A (en) 1993-07-29 1994-11-08 The United States Of America As Represented By The Director, National Security Agency Photolithography exposure tool and method for in situ photoresist measurments and exposure control
USRE38153E1 (en) 1993-11-09 2003-06-24 Nova Measuring Instruments, Ltd. Two-dimensional beam deflector
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
CA2180941A1 (en) 1994-01-24 1995-07-27 Gregg M. Gallatin Grating-grating interferometric alignment system
JP2715895B2 (en) 1994-01-31 1998-02-18 日本電気株式会社 Light intensity distribution simulation method
US5629772A (en) 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
US5867590A (en) 1995-01-11 1999-02-02 Nova Measuring Instruments, Ltd. Method and apparatus for determining a location on a surface of an object
IL112313A (en) 1995-01-11 1999-08-17 Nova Measuring Instr Ltd Method and apparatus for determining a location on a surface of an object
IL113829A (en) 1995-05-23 2000-12-06 Nova Measuring Instr Ltd Apparatus for optical inspection of wafers during polishing
US5631721A (en) 1995-05-24 1997-05-20 Svg Lithography Systems, Inc. Hybrid illumination system for use in photolithography
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5739909A (en) 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5757507A (en) 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
AU3376597A (en) * 1996-06-04 1998-01-05 Tencor Instruments Optical scanning system for surface inspection
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US6259513B1 (en) 1996-11-25 2001-07-10 Svg Lithography Systems, Inc. Illumination system with spatially controllable partial coherence
JP2910716B2 (en) 1997-01-16 1999-06-23 日本電気株式会社 Parametric analysis method of light intensity calculation
TW389949B (en) 1997-01-30 2000-05-11 Tokyo Electron Ltd Method and apparatus for coating and development of the photo-resist solution
JP4327266B2 (en) 1997-02-26 2009-09-09 株式会社東芝 Pattern dimension evaluation method and pattern formation method
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5953128A (en) 1997-08-28 1999-09-14 International Business Machines Corporation Optically measurable serpentine edge tone reversed targets
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5916711A (en) 1997-10-10 1999-06-29 California Institute Of Technology Phase-shifting masks for photolithography
IL123575A (en) 1998-03-05 2001-08-26 Nova Measuring Instr Ltd Method and apparatus for alignment of a wafer
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
IL123727A (en) 1998-03-18 2002-05-23 Nova Measuring Instr Ltd Method and apparatus for measurement of patterned structures
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US5917594A (en) 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4722244B2 (en) 1998-07-14 2011-07-13 ノバ・メジャリング・インストルメンツ・リミテッド Apparatus for processing a substrate according to a predetermined photolithography process
IL125337A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for lithography monitoring and process control
JP4601744B2 (en) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド Method and system for controlling a photolithographic process
US6223139B1 (en) 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
US6094256A (en) * 1998-09-29 2000-07-25 Nikon Precision Inc. Method for forming a critical dimension test structure and its use
IL126949A (en) 1998-11-08 2004-03-28 Nova Measuring Instr Ltd Apparatus for integrated monitoring of wafers and for process control in semiconductor manufacturing and a method for use thereof
US6212961B1 (en) 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6263299B1 (en) 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6171731B1 (en) 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
AU3187100A (en) 1999-03-10 2000-09-28 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
IL128920A0 (en) 1999-03-10 2000-02-17 Nova Measuring Instr Ltd Method for monitoring metal cmp
IL130087A0 (en) 1999-05-24 2000-02-29 Nova Measuring Instr Ltd Optical inspection method and system
US6183919B1 (en) 1999-06-11 2001-02-06 International Business Machines Corporation Darkfield imaging for enhancing optical detection of edges and minimum features
KR100702741B1 (en) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 Integrated critical dimension control for semiconductor device manufacturing
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring patterned structures
US6432729B1 (en) 1999-09-29 2002-08-13 Lam Research Corporation Method for characterization of microelectronic feature quality
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
IL132639A (en) 1999-10-28 2003-11-23 Nova Measuring Instr Ltd Optical measurements of patterned structures
US6414750B2 (en) * 2000-01-10 2002-07-02 Lj Laboratories, L.L.C. Spectrometric apparatus and method for measuring optical characteristics of an object
IL136608A0 (en) 2000-02-20 2001-06-14 Nova Measuring Instr Ltd Test structure for metal cmp process monitoring
IL134626A (en) 2000-02-20 2006-08-01 Nova Measuring Instr Ltd Test structure for metal cmp process control
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
IL138193A0 (en) 2000-08-31 2001-10-31 Nova Measuring Instr Ltd A method and system for optical inspection of a structure formed with a surface relief
US6710856B2 (en) 2000-09-01 2004-03-23 Asml Netherlands B.V. Method of operating a lithographic apparatus, lithographic apparatus, method of manufacturing a device, and device manufactured thereby
US6429930B1 (en) 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
IL140179A (en) 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6879400B2 (en) 2000-12-11 2005-04-12 International Business Machines Corporation Single tone process window metrology target and method for lithographic processing
US6433878B1 (en) 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US6383824B1 (en) 2001-04-25 2002-05-07 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control deposition processes
US6433871B1 (en) 2001-05-25 2002-08-13 Advanced Micron Devices, Inc. Method of using scatterometry measurements to determine and control gate electrode profiles
IL144805A (en) 2001-08-08 2006-08-01 Nova Measuring Instr Ltd Method and system for measuring the topograpy of a sample
IL145699A (en) 2001-09-30 2006-12-10 Nova Measuring Instr Ltd Method of thin film characterization
IL146924A (en) 2001-12-04 2007-03-08 Nova Measuring Instr Ltd Metal cmp process monitoring
US6451621B1 (en) 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
US6609086B1 (en) 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
IL148566A (en) 2002-03-07 2007-06-17 Nova Measuring Instr Ltd Method and system for overlay measurement
US6869739B1 (en) 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
JP2005123427A (en) * 2003-10-17 2005-05-12 Nikon Corp Method for measuring optical performance, exposing method, aligner, and mask

Also Published As

Publication number Publication date
EP1709490A4 (en) 2009-03-25
EP1709490A1 (en) 2006-10-11
US20070105029A1 (en) 2007-05-10
ATE476687T1 (en) 2010-08-15
WO2005069082A1 (en) 2005-07-28
US7700247B2 (en) 2010-04-20
US8035824B2 (en) 2011-10-11
CN1879063A (en) 2006-12-13
JP2007522432A (en) 2007-08-09
CN100442144C (en) 2008-12-10
AU2003300005A1 (en) 2005-08-03
EP1709490B1 (en) 2010-08-04
US20100103433A1 (en) 2010-04-29

Similar Documents

Publication Publication Date Title
DE60333688D1 (en) DIFFERENTIAL METROLOGY FOR CRITICAL DIMENSIONS AND OVERLAYAGE
ATE504862T1 (en) METHOD FOR DETECTING SUPERMEDIATION ERRORS USING SCATTEROMETRY
SG125922A1 (en) Device inspection
SG131761A1 (en) Lithographic apparatus, device manufacturing method, and device manufactured thereby
EP2458441A3 (en) Measuring method, apparatus and substrate
SG170759A1 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
DE60143347D1 (en) METHOD AND DEVICE FOR DETERMINING SEVERAL ANALYSTS
ATE385032T1 (en) MULTIPLE PROBE METER AND ASSOCIATED APPLICATION METHOD
WO2002069390A3 (en) Grating test patterns and methods for overlay metrology
EP2071402A3 (en) Alignment method, alignment system and product with alignment mark
TW200951636A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
ATE513202T1 (en) METHOD AND DEVICE FOR THREE-DIMENSIONAL RECONSTRUCTION OF THE DISTRIBUTION OF FLUORESCENT ELEMENTS
IL272780B2 (en) Method to determine a patterning process parameter
Maas et al. YieldStar: a new metrology platform for advanced lithography control
ATE425452T1 (en) METHOD AND DEVICE FOR DETECTING REPEATING PATTERNS
CN104795383B (en) Alignment mark, the detection method of alignment mark and alignment mark detection device
ATE452094T1 (en) METHOD AND DEVICE FOR MEASURING THE TENSION IN A RUNNING MATERIAL WEB
ATE483584T1 (en) TEST EQUIPMENT AND METHOD FOR CHECKING OFFSET AND DIGITAL PRINTING
GB2446314A (en) Structure and method for simultaneously determining an overlay accuracy and pattern placement error
ATE365955T1 (en) DEVICE AND METHOD FOR CHECKING THE AUTHENTICITY OF AN ANTI-COUNTERFEIT MARKING
DE50304256D1 (en) METHOD AND DEVICE FOR DETECTING SURFACE FAILURES ON WORKPIECES OR COMPONENTS WITH SHINY SURFACES
KR100792687B1 (en) Method and apparatus for detecting defects of patterns on a semconductor substrate
CN102317028A (en) Laser parameter adjustment
ATE456024T1 (en) DEVICE AND METHOD FOR MEASURING SPACES AND MACHINES
CN105988293B (en) Detect the method and its system of egative film error

Legal Events

Date Code Title Description
8320 Willingness to grant licences declared (paragraph 23)