DE19826382A1 - Verfahren zum anisotropen Ätzen von Silicium - Google Patents

Verfahren zum anisotropen Ätzen von Silicium

Info

Publication number
DE19826382A1
DE19826382A1 DE19826382A DE19826382A DE19826382A1 DE 19826382 A1 DE19826382 A1 DE 19826382A1 DE 19826382 A DE19826382 A DE 19826382A DE 19826382 A DE19826382 A DE 19826382A DE 19826382 A1 DE19826382 A1 DE 19826382A1
Authority
DE
Germany
Prior art keywords
etching
oxygen
silicon
during
etching gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE19826382A
Other languages
English (en)
Other versions
DE19826382C2 (de
Inventor
Franz Laermer
Andrea Schilp
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Robert Bosch GmbH
Original Assignee
Robert Bosch GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert Bosch GmbH filed Critical Robert Bosch GmbH
Priority to DE19826382A priority Critical patent/DE19826382C2/de
Priority to US09/328,019 priority patent/US6531068B2/en
Publication of DE19826382A1 publication Critical patent/DE19826382A1/de
Application granted granted Critical
Publication of DE19826382C2 publication Critical patent/DE19826382C2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Die Erfindung betrifft ein Verfahren zum anisotropen Ätzen von Silicium von vorzugsweise mit einer Ätzmaske definierten Strukturen, mittels eines Plasmas, wobei während eines Polymerisationsschrittes auf die durch die Ätzmaske definierte laterale Begrenzung der Strukturen ein Polymer aufgebracht wird, das während des nachfolgenden Ätzschrittes teilweise wieder abgetragen und auf den infolge der Ätzreaktion neu gebildeten, tiefer gelegenen Strukturseitenwänden redeponiert wird, und wobei das Ätzen mit einem Ätzgas, das 3-40 Vol.-% Sauerstoff aufweist, durchgeführt wird. DOLLAR A Hierdurch kann eine Vermeidung von Schwefelkontamination im Abgasbereich beim Hochratenätzen von Silicium erreicht werden.

Description

Die Erfindung betrifft ein Verfahren zum anisotropen Ätzen von Silicium nach dem Oberbegriff des Anspruchs 1.
Stand der Technik
Aus der DE 42 41 045 A1 ist ein Verfahren zum anisotropen Ätzen von Silicium von vorzugsweise mit einer Ätzmaske definierten Strukturen, insbesondere lateral exakt definierten Ausnehmungen in Silicium, mittels eines Plasmas bekannt, wobei der anisotrope Ätzvorgang in separaten, jeweils alternierend aufeinanderfolgenden Ätz- und Polymerisationsschritten getrennt durchgeführt wird, welche unabhängig voneinander gesteuert werden, und wobei während des Polymerisationsschrittes auf die durch die Ätzmaske definierte laterale Begrenzung der Strukturen ein Polymer aufgebracht wird, das während des nachfolgenden Ätzschrittes teilweise wieder abgetragen wird und in den durch die Ätzreaktion neu gebildeten, tiefer gelegenen Teilen der Seitenwand redeponiert wird, wodurch ein lokaler Kantenschutz und lokale Anisotropie bewirkt wird.
Hierbei wird vorzugsweise Schwefelhexafluorid, SF6, als fluorlieferndes Ätzgas alternierend mit einem teflon®artige Polymere bildenden Passiviergas von Trifluormethan CHF3 in einem hochdichten Plasma, z. B. mit induktiver Anregung (ICP = Inductively-Coupled Plasma) oder mit Mikrowellenanregung (PIE = Propagation Ion Etching) eingesetzt, um Silicium mit sehr hohen Raten anisotrop zu ätzen. Unter Hochratenätzen werden beispielsweise Raten von 5 µ/min verstanden.
Hierbei kann gleichzeitig eine sehr hohe Selektivität gegenüber der Maskierschicht von beispielsweise Photoresist erreicht werden. Während der Depositionsschritte wird auf den Seitenwänden geätzter Strukturen ein Seitenwandpolymer abgeschieden. Während der Ätzschritte wird an sich isotrop im Silicium weitergeätzt, wobei durch Abtrag und Redeposition des Seitenwandpolymermaterials zu tieferliegenden Teilen des Ätzgrabens lokal bereits beim Weiterätzen ein wirksamer Schutz der neu freizulegenden Seitenwände bewirkt wird. Durch diese Verschleppung des Seitenwand­ polymers zu tieferen Teilen des Ätzgrabens während des Weiterätzens wird eine lokale Anisotropie des an sich isotropen, da fluorbasierten Ätzprozesses erreicht. Für den Abtrag und die Redeposition ist der Anteil von Ionen verantwortlich, der während des Ätzschritts durch die während der Ätzzyklen angelegte Vorspannung beispielsweise zu einem Wafer hin beschleunigt wird, jedoch nicht exakt senkrecht auf diesen einfällt und daher nicht unmittelbar den Ätzgrund, sondern die Strukturseitenwände trifft und dort das Seitenwand­ polymer tiefer schiebt. Dieser Anteil der nicht exakt senkrecht einfallenden Ionen muß daher für die Prozeßführung optimal eingestellt werden und auch die Ionenenergie über die Hochfrequenzleistung, die die Vorspannung der Substrate zum Plasma bewirkt, so gewählt werden, daß ein senkrechtes Wandprofil mit minimaler Wandrauhigkeit erreicht wird.
In einer bevorzugten Ausgestaltung des in der DE 42 41 045 A1 beschriebenen Verfahrens wird z. B. ein Druck von 13,3 µbar beim Depositionszyklus und ein Druck von 26,6 µbar beim Ätzzyklus gewählt. Um die Drücke entsprechend den Angaben einzustellen, kann z. B. ein langsamer Druckregler auf 19,95 µbar Solldruck eingestellt werden. Wegen der unterschiedlichen effektiven Gasflüsse während der Depositions- und Ätzzyklen wird dann ein Druck von ungefähr 13,3 µbar im Depositionsschritt selbsttätig erreicht. Bei diesem Wert ist der Depositionsprozeß am effizientesten. Im Ätzschritt wird ein Druck von etwa 26,6 µbar selbsttätig erreicht, was für den Ätzschritt einen günstigen Wert darstellt. Es kann auch ein schneller Druckregler mit zwei unabhängigen Sollwertvorgaben eingesetzt werden, um den Depositonsschritt- und Ätzschrittdruck auf die gewählten Werte einstellen zu können.
Bei diesem bekannten Verfahren tritt jedoch folgendes Problem auf:
Die für den Ätzschritt wesentliche Reaktion zur Freisetzung von Fluorradikalen ist die Zersetzung von SF6 in SF4 gemäß folgender Gleichung:
SF6 ↔ SF4+2F
Da unter den Reaktionsbedingungen das Gleichgewicht der Reaktion auf der Seite der freien Fluorradikale liegt, steht eine große Menge dieser Radikale zur Verfügung. Deshalb kann selbst bei sehr hohen Anregungsdichten im Plasma, wobei einige 10% des zugeführten SF6 auf die beschriebene Weise umgesetzt wird, von die Rückreaktion hemmenden Additiven abgesehen werden. Es wird jedoch ein relativ geringer Anteil des SF6 über das SF4 hinaus zu noch fluorärmeren Schwefelfluoridverbindungen oder bis zu Schwefelatomen umgesetzt.
Für den beschriebenen Ätzprozeß selbst ist das Auftreten von Schwefel ohne Bedeutung, da bei den angegebenen Prozeßdrücken bei einer Substrat­ temperatur von 30°C und selbst bei tieferen Substrattemperaturen von beispielsweise -30°C keine Schwefelabscheidung auf der Waferoberfläche oder in der Prozeßkammer auftreten kann. Im Bereich der Vakuumpumpen, insbesondere bei Turbomolekularpumpen und in der Abgasleitung der Turbopumpen, wo der Gasdruck vom Prozeßdruck auf den Hinterdruck der Turbopumpe erhöht wird, tritt jedoch eine äußerst nachteilige Schwefel­ abscheidung, insbesondere an nicht beheizten Wänden, auf. Der Bildung von Schwefel liegt die übliche Reaktion von Schwefelatomen zu den bekannten schwefelförmigen Ringmolekülen, insbesondere von S8 zugrunde. Diese Kontamination der Abgasleitungen und von Teilen der Turbopumpe mit Schwefelablagerungen kann einerseits mittelfristig zum Ausfall der Turbopumpe führen und bringt andererseits die Gefahr mit sich, daß größere Mengen an losem Schwefelstaub ungewollt in die Prozeßkammer verschleppt werden, wenn beispielsweise die Vakuumpumpen abgeschaltet werden oder ausfallen.
Der Erfindung liegt daher die Aufgabe zugrunde, ein Verfahren der gattungsmäßigen Art bereitzustellen, durch das die Schwefelkontamination im Abgasbereich beim anisotropen Ätzen von Silicium vermieden werden kann.
Erfindungsgemäß wird diese Aufgabe überraschenderweise durch ein Verfahren zum anisotropen Ätzen von Silicium durch vorzugsweise mit einer Ätzmaske definierten Strukturen mittels eines Plasmas gelöst, wobei während eines Polymerisationsschrittes auf die durch die Ätzmaske definierte laterale Begrenzung der Strukturen ein Polymer aufgebracht wird, das während des nachfolgenden Ätzschrittes teilweise wieder abgetragen und auf den neu gebildeten, tiefer gelegenen Seitenwänden redeponiert wird, das dadurch gekennzeichnet ist, daß das Ätzen mit einem Ätzgas, das 3-40 Vol.-% Sauerstoff aufweist, durchgeführt wird.
Der Erfolg dieses Verfahrens war insbesondere deshalb überraschend, weil der eigentliche Ätzprozeß durch die Sauerstoffzugabe praktisch nicht beeinflußt wird.
Der besondere Vorteil des erfindungsgemäßen Verfahrens liegt einerseits darin, daß die Lebensdauer der Turbopumpen erheblich verlängert wird und andererseits, daß eine Schwefelkontamination der Prozeßkammer durch ungewollte Verschleppung von Schwefelstaub aus dem Abgasbereich ausgeschlossen ist.
Erfindungsgemäß werden diese in der Vergangenheit große Probleme bereitenden Nachteile des bekannten Ätzverfahrens nunmehr auf eine einfache Weise vollständig beseitigt.
In einer bevorzugten Ausführungsform beträgt der Sauerstoffanteil während der gesamten Dauer des Ätzschrittes 5-25 Vol.-%, in einer besonders bevorzugten Ausführungsform während der gesamten Dauer des Ätzschrittes 10-15 Vol.-% des Ätzgases.
Die Zugabemenge von Sauerstoff kann deshalb klein bleiben, da nur ein geringer Anteil der SF6-Moleküle zu Schwefelatomen zersetzt wird. Da ferner SF6 und SF4 unter den Ätzbedingungen kaum mit Sauerstoff reagieren, bleibt der Sauerstoffverlust durch Reaktionen mit diesen Molekülen vernachlässigbar klein. Dies ist auch ein Grund dafür, daß durch die Sauerstoffzugabe beim Ätzprozeß keine Erhöhung der freien Fluorradikalkonzentration auftritt, was etwa bei einem CF4-Plasma bekanntermaßen der Fall ist.
Bei dem niedrigen Druck, der in der Prozeßkammer herrscht, werden auch nur wenige Schwefelatome durch Oxidationsreaktionen abgefangen. Da die Schwefelatome dort auch nicht stören, ist dies ohne Bedeutung.
Während der Verdichtung des Abgases in der Turbomolekularpumpe bzw. in der Turbopumpe und in den Abgasleitungen tritt jedoch erfindungsgemäß nunmehr an die Stelle der Molekülbildungsreaktion zu den bekannten Schwefelringen eine Oxidation der Schwefelatome zu flüchtigem SO bzw. SO2 auf. Als Nebenreaktion kommt es auch zur Bildung von flüchtigen Schwefelfluoriden und Schwefeloxidfluoriden. Auf diese Weise findet keine störende Schwefelabscheidung mehr statt und die Turbopumpen bzw. die Turbomolekularpumpen und die Abgasleitungen bleiben vorteilhafterweise frei von Schwefelstaub.
Bei dem erfindungsgemäßen Verfahren ist wesentlich, daß die Menge des während des Ätzprozesses zugeführten Sauerstoffs relativ gering bleibt und so die Konzentration der Sauerstoffatomradikale im Plasma klein ist, so daß diese keinen negativen Einfluß auf den Gesamtprozeß des Ätzens ausüben können.
Es soll nämlich beispielsweise der Lackmaskenabtrag von Wafern nicht erhöht werden, d. h. es ist ein Ziel, daß die sehr hohe, vorteilhafte Lackmaskenselek­ tivität des Ätzprozesses von <100 : 1, z. B. 100-200 : 1 erhalten bleibt. Ferner soll auch der Seitenwandfilmtransportmechanismus, der für das Entstehen glatter Seitenwände verantwortlich ist, bestehen bleiben. Das Seitenwandpolymer soll also im wesentlichen in die Tiefe der Ätzgräben vorwärtsgesputtert werden und nicht durch Oxidation zu nicht mehr redepositionsfähigen Verbindungen umge­ wandelt werden. Die Konzentration an gebildeten Sauerstoffatomradikalen, die den Photolack der Lackmaske oder das Seitenwandpolymer irreversibel umwandeln können, muß also klein bleiben gegenüber dem ionenunterstützen­ den Abtrag durch Fluorradikale, der eine nachfolgende Redeposition erlaubt.
Bei dem Ätzprozeß ist ferner die Bildung von Siliciumoxiden aus der Reaktion von Siliciumfluoriden, dem Reaktionsprodukt der Siliciumätzung durch Fluor, mit Sauerstoffatomradikalen unerwünscht, weil es hierdurch zu einer Redepo­ sition von Siliciumdioxid auf der Waferoberfläche kommen kann. Silicium- Sauerstoff-Verbindungen sind chemisch sehr stabil und werden deshalb bei den niedrigen Ionenenergien des anisotropen Ätzverfahrens nicht mehr vollständig abgebaut, so daß es zu einer Mikromaskierung auf dem Ätzgrund kommen kann. Hierfür ist insbesondere Siliciumdioxid verantwortlich. Diese Mikromaskierung kann zur Bildung von Nadeln, Zacken, Mikrorauhigkeiten oder sogar zu dem sogenannten schwarzen Silicium (black silicon) führen. Aufgrund der für die hohe Maskenselektivität optimierten Prozeßparameter erlaubt die Prozeßführung keine zuverlässige Entfernung von Siliciumdioxidablagerungen, weil hierfür die Ionenenergie nicht ausreicht. Die Selektivität des Prozesses gegenüber SiO2 ist <200 : 1; z. B. 200-300 : 1, was SiO2 zu einer sehr wider­ standsfähigen Maskierung macht.
Ein Zusatz von Sauerstoffgas in den beanspruchten Mengenbereichen zeigt praktisch noch keine der beschriebenen nachteiligen Effekte, d. h. die Prozeß­ eigenschaften werden praktisch nicht verändert und die Ätzraten, die Selektivität und das Seitenwandprofil bleiben erhalten, wie bei einem entsprechenden Prozeß ohne die erfindungsgemäße Sauerstoffzugabe. So können beispielsweise bei einem Gasfluß von 20 sccm O2 = 20 Norm-cm3/min O2 Substrate wie 6"-Siliciumwaver sogar ganzflächig über viele Stunden abgedünnt werden, ohne daß es zur Bildung von Nadeln, Zacken oder schwarzem Silicium kommt. Gegenüber der Lackmaskenselektivität, den Ätzraten und der Seitenwandrauhigkeit verhalten sich die Sauerstoff­ konzentrationen neutral. Andererseits sind die angegebenen Konzentrationen völlig ausreichend, um Schwefelausscheidungen im Abgasbereich und in den Pumpen auszuschließen.
Der Sauerstofffluß kann während der gesamten Dauer der Ätzschritte eingeschaltet bleiben. Es ist jedoch erfindungsgemäß auch möglich, den Sauerstoff nur während kurzer Intervalle, von vorzugsweise 0,1-1 s innerhalb jeden Ätzschrittes zuzusetzen. Es ist ferner möglich, das Sauerstoff enthaltende Ätzgas nur am Anfang und/oder am Ende eines Ätzschritts einzusetzen.
Durch diese erfindungsgemäße Ausführungsform bleibt der Ätzschritt die meiste Zeit über frei von der Sauerstoffzugabe und wird nur relativ kurzzeitig beeinflußt. Hierbei ist allerdings zu beachten, daß der O2-Gasfluß so hoch gewählt werden muß, daß im Abgasbereich sicher keine Schwefel­ ausscheidung möglich ist.
Vorzugsweise wählt man für die in den Ätzschritten und Polymerisations­ schritten eingesetzten Medien Gasflüsse von 10-200 Norm-cm3/min und Prozeßdrücke von 5 bis 100 µbar. Die Plasmaerzeugung erfolgt vorzugsweise mit einer Mikrowelleneinstrahlung bei Leistungen zwischen 100 und 1500 W oder mit einer Hochfrequenzeinstrahlung bei Leistungen zwischen 100 und 2000 W in einer induktiv gekoppelten Plasmaquelle.
Als teflon®artige Polymere bildende Passiviergase können Trifluormethan CHF3, Hexafluorpropen C3F6 oder Octafluorcyclobutan C4F8 eingesetzt werden.
Hexafluorpropene C3F6 und insbesondere Octafluorcyclobutan C4F8 eignen sich insbesondere bei Anwendung eines Plasmas mit induktiver Anregung (ICP), da solche ICP-Quellen eine geringere chemische Aktivität aufweisen als die der DE 42 41 045 zugrunde liegende Mikrowellenquelle und daher passivierfreudigere Gase benötigt werden.
Es ist wesentlich, daß der Sauerstoff nur während der Ätzschritte zugesetzt wird und während der Depositionsschritte abgeschaltet wird. Sauerstoff beim Depositionsschritt würde die Bildung von teflon®artigen Polymeren auf den Strukturseitenwänden massiv beeinträchtigen, weil die teflon®bildenden Radikale F2 oder CF2) naturgemäß eine sehr hohe Affinität zu Sauerstoff­ molekülen aufweisen. Dadurch käme die Polymerisation der teflon®bildenden Radikale zum Stillstand, so daß kein Seitenwandschutz in üblicher Weise mehr erreicht werden und der Depositionsschritt ineffizient würde.
Im folgenden wird der Parametersatz für ein Ausführungsbeispiel angegeben.
1. Depositionszyklen
Druck: 13,3 µbar
Gasfluß: 100 sccm C4F8
Leistung an der hochdichten Plasmaquelle: 600-2000 W, z. B. 800 W (13,56 MHz für ICP oder 2,45 GHz für PIE)
Vorspannungsleistung: 0 W
Schrittdauer: 5 s
2. Ätzzyklen
Druck: 26,6 µbar
Gasfluß: 130 sccm SF6 + 20 sccm O2
Leistung an der hochdichten Plasmaquelle: 600-2000 W, z. B. 800 W (13,56 MHz für ICP oder 2,45 GHz für PIE)
Vorspannungsleistung: 7 W
Schrittdauer: 9 s
Substrattemperatur: +30°C

Claims (11)

1. Verfahren zum anisotropen Ätzen von Silicium von vorzugsweise mit einer Ätzmaske definierten Strukturen, mittels eines Plasmas, wobei während eines Polymerisationsschrittes auf die durch die Ätzmaske definierte laterale Begrenzung der Strukturen ein Polymer aufgebracht wird, das während des nachfolgenden Ätzschrittes teilweise wieder abgetragen und in durch die Ätzung neu gebildeten, tiefer gelegenen Teilen der Seitenwand redeponiert wird, dadurch gekennzeichnet, daß das Ätzen mit einem Ätzgas, das 3-40 Vol.-% Sauerstoff aufweist, durchgeführt wird.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß das Ätzen mit einem Ätzgas, das während der gesamten Dauer des Ätzschrittes 5-25 Vol.-% Sauerstoff aufweist, durchgeführt wird.
3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß das Ätzen mit einem Ätzgas, das während der gesamten Dauer des Ätzschrittes 10-15 Vol.-% Sauerstoff aufweist, durchgeführt wird.
4. Verfahren nach einem der vorhergehenden Ansprüche 1-3, dadurch gekennzeichnet, daß ein Sauerstoff enthaltendes Ätzgas nur während Intervallen von 0,1-1 s innerhalb eines Ätzschritts eingesetzt wird.
5. Verfahren nach einem der vorhergehenden Ansprüche 1-3, dadurch gekennzeichnet, daß ein Sauerstoff enthaltendes Ätzgas nur am Anfang und/oder am Ende eines Ätzschritts eingesetzt wird.
6. Verfahren nach einem der vorhergehenden Ansprüche 1-5, dadurch gekennzeichnet, daß man für die in den Ätzschritten und Polymerisations­ schritten eingesetzten Medien Gasflüsse von 10-200 Norm-cm3/min und Prozeßdrücke von 5 bis 100 µbar wählt.
7. Verfahren nach einem der vorhergehenden Ansprüche 1-6, dadurch gekennzeichnet, daß die Erzeugung eines hochdichten Plasmas mit Mikrowelleneinstrahlung bei Leistungen zwischen 100 und 1500 W erfolgt.
8. Verfahren nach einem der vorhergehenden Ansprüche 1-6, dadurch gekennzeichnet, daß die Erzeugung eines hochdichten Plasmas mit Hochfrequenzeinstrahlung bei einer Leistung zwischen 100 und 2000 W mit induktiver Anregung erfolgt.
9. Verfahren nach einem der vorhergehenden Ansprüche 1-8, dadurch gekennzeichnet, daß die Substrate während der gesamten Dauer des Ätzschrittes mit einer Ionenenergie von 1-50 eV, vorzugsweise von 5-30 eV beaufschlagt werden
10. Verfahren nach einem der vorhergehenden Ansprüche 1-9, dadurch gekennzeichnet, daß die Substrate während der Polymerisationsschritte mit einer Ionenenergie von 1-50 eV, vorzugsweise von 5-30 eV, insbesondere von 15 eV beaufschlagt werden.
11. Verfahren nach einem der vorhergehenden Ansprüche 1-10, dadurch gekennzeichnet, daß die Beschleunigung der Ionen zum Substrat mittels einer an die Substratelektrode angelegten Hochfrequenzleistung erfolgt.
DE19826382A 1998-06-12 1998-06-12 Verfahren zum anisotropen Ätzen von Silicium Expired - Lifetime DE19826382C2 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE19826382A DE19826382C2 (de) 1998-06-12 1998-06-12 Verfahren zum anisotropen Ätzen von Silicium
US09/328,019 US6531068B2 (en) 1998-06-12 1999-06-08 Method of anisotropic etching of silicon

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19826382A DE19826382C2 (de) 1998-06-12 1998-06-12 Verfahren zum anisotropen Ätzen von Silicium

Publications (2)

Publication Number Publication Date
DE19826382A1 true DE19826382A1 (de) 1999-12-16
DE19826382C2 DE19826382C2 (de) 2002-02-07

Family

ID=7870788

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19826382A Expired - Lifetime DE19826382C2 (de) 1998-06-12 1998-06-12 Verfahren zum anisotropen Ätzen von Silicium

Country Status (2)

Country Link
US (1) US6531068B2 (de)
DE (1) DE19826382C2 (de)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10136022A1 (de) * 2001-07-24 2003-02-13 Bosch Gmbh Robert Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage
FR2834382A1 (fr) * 2002-01-03 2003-07-04 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
US7026224B2 (en) 2003-10-27 2006-04-11 Robert Bosch Gmbh Method for dicing semiconductor chips and corresponding semiconductor chip system
US7285228B2 (en) 2002-03-05 2007-10-23 Robert Bosch Gmbh Device and method for anisotropic plasma etching of a substrate, a silicon body in particular

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4184851B2 (ja) * 2003-03-31 2008-11-19 東京エレクトロン株式会社 プラズマ処理方法
US7041226B2 (en) * 2003-11-04 2006-05-09 Lexmark International, Inc. Methods for improving flow through fluidic channels
JP4579593B2 (ja) * 2004-03-05 2010-11-10 キヤノン株式会社 標的物質認識素子、検出方法及び装置
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
KR20110097834A (ko) * 2008-11-17 2011-08-31 휴렛-팩커드 디벨롭먼트 컴퍼니, 엘.피. 표면 증강 라만 산란을 위한 기판
KR101795658B1 (ko) * 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
US8574447B2 (en) 2010-03-31 2013-11-05 Lam Research Corporation Inorganic rapid alternating process for silicon etch
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US20120211805A1 (en) 2011-02-22 2012-08-23 Bernhard Winkler Cavity structures for mems devices
DE102012206531B4 (de) 2012-04-17 2015-09-10 Infineon Technologies Ag Verfahren zur Erzeugung einer Kavität innerhalb eines Halbleitersubstrats
CN103628075A (zh) * 2012-08-23 2014-03-12 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀方法
US9136136B2 (en) 2013-09-19 2015-09-15 Infineon Technologies Dresden Gmbh Method and structure for creating cavities with extreme aspect ratios
TWI658509B (zh) 2014-06-18 2019-05-01 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude 用於tsv/mems/功率元件蝕刻的化學物質
WO2016040547A1 (en) 2014-09-11 2016-03-17 Massachusetts Institute Of Technology Processing system for small substrates
US10020264B2 (en) 2015-04-28 2018-07-10 Infineon Technologies Ag Integrated circuit substrate and method for manufacturing the same
US10056297B1 (en) 2016-06-20 2018-08-21 Paul C. Lindsey, Jr. Modified plasma dicing process to improve back metal cleaving
US11133190B2 (en) 2017-05-05 2021-09-28 Lawrence Livermore National Security, Llc Metal-based passivation-assisted plasma etching of III-v semiconductors
US11276727B1 (en) 2017-06-19 2022-03-15 Rigetti & Co, Llc Superconducting vias for routing electrical signals through substrates and their methods of manufacture
US11513108B2 (en) 2020-01-14 2022-11-29 Mks Instruments, Inc. Method and apparatus for pulse gas delivery with concentration measurement
US11358858B2 (en) 2020-01-24 2022-06-14 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method of manufacturing thereof
US11262506B1 (en) 2020-08-07 2022-03-01 Advanced Semiconductor Engineering, Inc. Recessed portion in a substrate and method of forming the same
US11361971B2 (en) 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4317623C2 (de) * 1993-05-27 2003-08-21 Bosch Gmbh Robert Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
JPH07263427A (ja) * 1994-03-25 1995-10-13 Nippon Soken Inc プラズマエッチング方法
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5767018A (en) * 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
US5767017A (en) * 1995-12-21 1998-06-16 International Business Machines Corporation Selective removal of vertical portions of a film
US5798303A (en) * 1996-09-05 1998-08-25 Micron Technology, Inc. Etching method for use in fabrication of semiconductor devices
JP3612158B2 (ja) * 1996-11-18 2005-01-19 スピードファム株式会社 プラズマエッチング方法及びその装置
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US5858847A (en) * 1997-03-28 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Method for a lightly doped drain structure
US5948701A (en) * 1997-07-30 1999-09-07 Chartered Semiconductor Manufacturing, Ltd. Self-aligned contact (SAC) etching using polymer-building chemistry
US6069091A (en) * 1997-12-29 2000-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ sequential silicon containing hard mask layer/silicon layer plasma etch method
US5935874A (en) * 1998-03-31 1999-08-10 Lam Research Corporation Techniques for forming trenches in a silicon layer of a substrate in a high density plasma processing system
US6087270A (en) * 1998-06-18 2000-07-11 Micron Technology, Inc. Method of patterning substrates

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Solid State Technol. 8/1982, 79-83 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10136022A1 (de) * 2001-07-24 2003-02-13 Bosch Gmbh Robert Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage
US6874511B2 (en) 2001-07-24 2005-04-05 Robert Bosch Gmbh Method of avoiding or eliminating deposits in the exhaust area of a vacuum system
DE10136022B4 (de) * 2001-07-24 2006-01-12 Robert Bosch Gmbh Verfahren zur Vermeidung oder Beseitigung von Ausscheidungen im Abgasbereich einer Vakuumanlage
FR2834382A1 (fr) * 2002-01-03 2003-07-04 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
WO2003060975A1 (fr) * 2002-01-03 2003-07-24 Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
US7285228B2 (en) 2002-03-05 2007-10-23 Robert Bosch Gmbh Device and method for anisotropic plasma etching of a substrate, a silicon body in particular
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
US7855150B2 (en) 2002-10-14 2010-12-21 Robert Bosch Gmbh Plasma system and method for anisotropically etching structures into a substrate
US7026224B2 (en) 2003-10-27 2006-04-11 Robert Bosch Gmbh Method for dicing semiconductor chips and corresponding semiconductor chip system
DE10350036B4 (de) * 2003-10-27 2014-01-23 Robert Bosch Gmbh Verfahren zum Vereinzeln von Halbleiterchips und entsprechende Halbleiterchipanordnung

Also Published As

Publication number Publication date
DE19826382C2 (de) 2002-02-07
US6531068B2 (en) 2003-03-11
US20020144974A1 (en) 2002-10-10

Similar Documents

Publication Publication Date Title
DE19826382C2 (de) Verfahren zum anisotropen Ätzen von Silicium
EP0943155B1 (de) Verfahren zum anisotropen ätzen von silizium
EP0894338B1 (de) Anisotropes fluorbasiertes plasmaätzverfahren für silicium
DE4241045C1 (de) Verfahren zum anisotropen Ätzen von Silicium
EP0865664B1 (de) Verfahren zum anisotropen plasmaätzen verschiedener substrate
DE69938342T2 (de) Verfahren zur herstellung von gräben in einer siliziumschicht eines substrats in einem plasmasystem hoher plasmadichte
EP0540230B1 (de) Verfahren zur Strukturierung von Wolframschichten
DE3103177C2 (de)
EP0159621A2 (de) Konisch verlaufendes Trockenätzungsverfahren
EP1095400B1 (de) Verfahren zum plasmaätzen von silizium
DE10016938C2 (de) Selektives Trockenätzen eines dielektrischen Films
US20030003748A1 (en) Method of eliminating notching when anisotropically etching small linewidth openings in silicon on insulator
DE10037957C1 (de) Verfahren zum anisotropen Trockenätzen organischer Antireflexionsschichten
EP1527011B1 (de) Schichtsystem mit einer siliziumschicht und einer passivierschicht, verfahren zur erzeugung einer passivierschicht auf einer siliziumschicht und deren verwendung
Selamoglu et al. Tapered etching of aluminum with CHF3/Cl2/BCl3 and its impact on step coverage of plasma‐deposited silicon oxide from tetraethoxysilane
US7479235B2 (en) Method for etching a workpiece
WO2002052655A1 (de) Verfahren zum aufrauhen eines halbleiterchips für die optoelektronik
DE102013100035B4 (de) Ätzverfahren für III-V Halbleitermaterialien
DE4132564C2 (de) Verfahren zum Plasmaätzen mit mikrowellenenergiegespeister Voranregung der Ätzgase bei der Herstellung integrierter Halbleiterschaltungen und Verwendung des Verfahrens
EP3526812B1 (de) Verfahren zum anisotropen drie-ätzen mit fluorgasmischung
DE10245671B4 (de) Herstellungsverfahren für eine Halbleiterstruktur durch selektives isotropes Ätzen einer Siliziumdioxidschicht auf einer Siliziumnitridschicht
DE10300197A1 (de) Verfahren zur Strukturierung von Dünnfilmen
JP4528388B2 (ja) 地球温暖化係数の低いガスを用いたシリコン酸化膜のエッチング法
Keil et al. PROFILE CONTROL OF SUB-0.3 µm CONTACT ETCH FEATURES IN A MEDIUM-DENSITY OXIDE ETCH REACTOR
DE1925057A1 (de) Verfahren zum Erzeugen von Masken bei der Herstellung von Halbleiterbauelementen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
R071 Expiry of right