DE112020003824B4 - Verfahren zur Herstellung von MRAM-Einheiten, welche ein gehärtetes Lückenfüller-Dielektrikumsmaterial enthalten - Google Patents

Verfahren zur Herstellung von MRAM-Einheiten, welche ein gehärtetes Lückenfüller-Dielektrikumsmaterial enthalten Download PDF

Info

Publication number
DE112020003824B4
DE112020003824B4 DE112020003824.6T DE112020003824T DE112020003824B4 DE 112020003824 B4 DE112020003824 B4 DE 112020003824B4 DE 112020003824 T DE112020003824 T DE 112020003824T DE 112020003824 B4 DE112020003824 B4 DE 112020003824B4
Authority
DE
Germany
Prior art keywords
dielectric material
gap filler
material layer
layer
filler dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112020003824.6T
Other languages
English (en)
Other versions
DE112020003824T5 (de
Inventor
Alexander Reznicek
Devika Sil
Oleg Gluschenkov
Yasir Sulehria
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112020003824T5 publication Critical patent/DE112020003824T5/de
Application granted granted Critical
Publication of DE112020003824B4 publication Critical patent/DE112020003824B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/80Constructional details
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Mram Or Spin Memory Techniques (AREA)
  • Hall/Mr Elements (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zum Bilden einer Speicherstruktur, wobei das Verfahren umfasst:
Bilden eines strukturierten Materialstapels (18) auf einer Fläche einer unteren Elektrode (16), wobei der strukturierte Materialstapel eine mehrschichtige Säule (18P) eines magnetischen Tunnelübergangs, MTJ, und eine obere Elektrodenstruktur (28S) aufweist;
Bilden einer Lückenfüller-Dielektrikumsmaterialschicht (32L) seitlich benachbart zu und oben auf dem strukturierten Materialstapel;
Implantieren eines bindungsspaltenden Zusatzstoffs in die Lückenfüller-Dielektrikumsmaterialschicht durch lonenimplantation, um eine modifizierte Lückenfüller-Dielektrikumsmaterialschicht (32M) bereitzustellen; und
Härten der modifizierten Lückenfüller-Dielektrikumsmaterialschicht, um eine gehärtete Lückenfüller-Dielektrikumsmaterialschicht (33) bereitzustellen, wobei das Hären UV-Härten und Laser-Tempern umfasst, wobei das Laser-Tempern vor oder nach dem UV-Härten durchgeführt wird.

Description

  • TECHNISCHES GEBIET
  • HINTERGRUND
  • Die vorliegende Anmeldung betrifft ein Verfahren zum Bilden einer Speicherstruktur. Insbesondere betrifft die vorliegende Anmeldung ein Verfahren zum Bilden einer Struktur eines magnetoresistiven Direktzugriffsspeichers (MRAM-Struktur), welche ein gehärtetes Lückenfüller-Dielektrikumsmaterial enthält, das verbesserte chemische und physikalische Eigenschaften aufweist.
  • MRAM ist eine nicht-flüchtige Direktzugriffsspeicher-Technologie, bei welcher Daten von magnetischen Speicherelementen gespeichert werden. Diese Elemente sind typischerweise aus zwei ferromagnetischen Platten ausgebildet, von denen jede eine Magnetisierung halten kann, getrennt durch eine dünne Dielektrikumsschicht (d.h. eine Tunnelbarriere). Eine der zwei Platten ist eine permanentmagnetische, die auf eine bestimmte Polarität eingestellt ist (d.h. eine magnetische Referenzschicht); die Magnetisierung der anderen Platte kann zum Speichern in einem Speicher so geändert werden, dass sie mit der eines externen Felds übereinstimmt (d.h. eine freie magnetische Schicht). Eine solche Konfiguration ist als eine Säule eines magnetischen Tunnelübergangs (Magnetic Tunnel Junction, MTJ) bekannt. In Leading-Edge- oder neuromorphen Datenverarbeitungssystemen ist eine MTJ-Säule typischerweise in eine Back-End-of-the-Line-Struktur (BEOL-Struktur) eingebettet.
  • Bei der Herstellung einer MRAM-Einheit werden auf einer leitfähigen Kontaktfläche überdeckende Schichten von MTJ-Säulenmaterialien (d.h. ein magnetisches Referenzmaterial, eine Tunnelbarriere, ein freies magnetisches Material und ein MTJ-Deckmaterial) gebildet; die leitfähige Kontaktfläche bildet eine untere Elektrode der MRAM-Einheit. Die überdeckenden Schichten werden dann durch Lithographie und Ätzen strukturiert, um einen Materialstapel aus einer mehrschichtigen MTJ-Säule (umfassend die zurückbleibenden Abschnitte des magnetischen Referenzmaterials, der Tunnelbarriere, des freien magnetischen Materials und des MTJ-Deckmaterials) und einer oberen Elektrode bereitzustellen, der auf der leitfähigen Kontaktfläche angeordnet ist. Unmittelbar nach dem Bilden dieses Materialstapels wird eine dielektrische Passivierungsschicht, wie zum Beispiel Siliciumnitrid (SiN), gebildet, um die Tunnelbarriere zu passivieren. Anschließend wird ein Lückenfüller-Dielektrikumsmaterial gebildet, welches die Lücken zwischen benachbarten MTJ-Säulen füllt.
  • In MRAM-Einheiten-Arrays muss das Lückenfüller-Dielektrikumsmaterial Selbstplanarisierungseigenschaften aufweisen. Ferner, und bei der derzeitigen MRAM-Einheiten-Verarbeitung, welche ein Abscheiden-Ätzen-Abscheiden-Verfahren umfasst, weist das Lückenfüller-Dielektrikumsmaterial Schwankungen von Wafer zu Wafer auf, welche von den Ungleichmäßigkeiten in der Höhe der MTJ-Säulen reichen. Es besteht somit ein Bedarf dafür, ein MRAM-Einheiten-Array bereitzustellen, welches ein selbstplanarisierendes Lückenfüller-Dielektrikum umfasst, das außerdem verbesserte chemische und physikalische Eigenschaften zwischen den MTJ-Säulen aufweist.
  • Die Druckschrift US 2015/0137286 A1 betrifft ein Verfahren zur Herstellung eines kleinen magnetischen Direktzugriffsspeichers durch doppelte Ionenimplantation. Die erste lonenimplantation fügt sauerstoffverstärkendes Material hinzu, das die durch die Fotomaske geöffneten Bereiche, einschließlich der Seitenwand, umgibt, gefolgt von einer Sauerstoff-Ionenimplantation, um diese sauerstoffverstärkenden implantierten Bereiche vollständig zu einer elektrisch isolierenden Schicht zu oxidieren, um Stromshunts während der Lese-/Schreibzeit des Speichers zu vermeiden und somit das Signal des magnetischen Tunnelwiderstands (TMR) zu maximieren. Mit dieser Methode kann die magnetisch tote (schwache oder nicht magnetische, aber elektrisch leitende) Schicht auf der Seitenwand wirksam repariert werden.
  • Die Druckschrift US 2005/0064698 A1 betrifft ein Verfahren zur Senkung der Dielektrizitätskonstante einer siliziumorganischen dielektrischen Schicht mit niedrigem k-Wert bei gleichzeitiger Verbesserung der Härte und thermischen Stabilität. Eine abgeschiedene Schicht aus kohlenstoffdotiertem Oxid, Wasserstoff-Silsesquioxan oder Methyl-Silsesquioxan wird gehärtet und mit einem He-Plasma behandelt, was die Härte für einen nachfolgenden CMP-Schritt verbessert und die Dielektrizitätskonstante senkt. Während der He-Behandlung geht kein H2O oder CH4 verloren. Die dielektrische Schicht mit niedrigem k-Wert wird dann mit einem H2-Plasma behandelt, das einige der Si-O- und Si-CH3-Bindungen in der Nähe der Oberfläche in Si-H-Bindungen umwandelt, wodurch die Dielektrizitätskonstante weiter gesenkt und die thermische Stabilität erhöht wird, was die Durchschlagsfestigkeit verbessert. Auch die Feuchtigkeitsaufnahme wird reduziert. Das Verfahren ist besonders nützlich für Verbindungsschemata mit Grundregeln im tiefen Submikrometerbereich. Überraschenderweise ist der k-Wert bei zwei verschiedenen Plasmabehandlungen niedriger als bei zwei He-Behandlungen oder zwei H2-Behandlungen.
  • In der Druckschrift US 6 346 488 B1 wird ein auf einem Halbleitersubstrat gebildeter Film aus dielektrischem Material mit niedrigem k-Wert behandelt, um ein Reißen des Films aus dielektrischem Material mit niedrigem k-Wert zu verhindern, wenn der Film aus dielektrischem Material mit niedrigem k-Wert anschließend erhöhten Temperaturen ausgesetzt wird, indem der Film aus dielektrischem Material mit niedrigem k-Wert mit Wasserstoffionen implantiert wird, indem eine negative Gleichstromvorspannung an das Halbleitersubstrat in Gegenwart eines Plasmas aus Wasserstoffionen angelegt wird. Das Halbleitersubstrat ist auf einem elektrisch leitenden Substratträger in einem Reaktor angebracht, und die negative Gleichstromvorspannung wird an das Halbleitersubstrat angelegt, indem der elektrisch leitende Substratträger mit einer Quelle negativer Gleichstromvorspannung verbunden wird, während Wasserstoffionen durch das Plasma in dem Reaktor erzeugt werden, um dadurch zu bewirken, dass die Wasserstoffionen in den Film aus dielektrischem Material mit niedrigem k-Wert auf dem Halbleitersubstrat implantiert werden.
  • Die Druckschrift US 2017/0053967 A1 betrifft eine integrierte Schaltung (IC). Eine Vielzahl von Metallschichten ist innerhalb eines dielektrischen Zwischenschichtmaterials (ILD) über dem Substrat angeordnet. Eine Speicherzelle ist über einer ersten Metallschicht in einem Speicherbereich angeordnet und umfasst eine untere Elektrode direkt über einer ersten Metallleitung innerhalb der ersten Metallschicht und eine obere Elektrode, die von der unteren Elektrode durch ein Widerstandsschaltelement getrennt ist. Eine Dummy-Struktur umfasst eine Dummy-Bodenelektrode, die direkt über einer zweiten Metallleitung innerhalb der ersten Metallschicht in einem an den Speicherbereich angrenzenden Logikbereich angeordnet ist.
  • KURZDARSTELLUNG
  • Es besteht somit die Aufgabe ein MRAM-Einheiten-Array herzustellen, welches ein selbstplanarisierendes Lückenfüller-Dielektrikum umfasst, das außerdem verbesserte chemische und physikalische Eigenschaften zwischen den MTJ-Säulen aufweist.
  • Die Aufgabe wird gelöst durch ein Verfahren gemäß dem Anspruch 1.
  • Weitere Ausgestaltungen ergeben sich aus den abhängigen Ansprüchen. Das Verfahren umfasst Bilden eines strukturierten Materialstapels auf einer Fläche einer unteren Elektrode, wobei der strukturierte Materialstapel eine mehrschichtige Säule eines magnetischen Tunnelübergangs (MTJ) und eine obere Elektrodenstruktur aufweist. Anschließend wird seitlich benachbart zu und oben auf dem strukturierten Materialstapel ein Lückenfüller-Dielektrikumsmaterial gebildet. Dann wird durch Ionenimplantation ein bindungsspaltender Zusatzstoff in die Lückenfüller-Dielektrikumsmaterialschicht eingeführt, um eine modifizierte Lückenfüller-Dielektrikumsmaterialschicht bereitzustellen. Danach wird die modifizierte Lückenfüller-Materialschicht gehärtet, um eine gehärtete Lückenfüller-Dielektrikumsmaterialschicht bereitzustellen.
  • In der vorliegenden Anmeldung wird das Härten unter Verwendung einer Energiequelle durchgeführt, welche elektromagnetische Strahlung liefert. Das Härten umfasst UV-Härten und Laser-Tempern. Das Laser-Tempern kann vor oder nach dem UV-Härten erfolgen. In einigen Ausführungsformen, und wenn ein Laser-Tempern als Teil des Härtungsverfahrens angewendet wird, kann das Laser-Tempern mit einer einfallenden Laser-Energiedichte kleiner oder gleich 0,4 J/cm2 und für eine Dauer von etwa 1 Nanosekunde bis etwa 500 Nanosekunden durchgeführt werden. In einigen Ausführungsformen wird vor dem Laser-Tempern auf der modifizierten Lückenfüller-Dielektrikumsmaterialschicht eine Opfer-Hilfsschicht gebildet.
  • In einigen Ausführungsformen umfasst der bindungsspaltende Zusatzstoff, der eingesetzt wird, ein leichtes Element oder Molekül (d.h. ein Element oder Molekül mit einer Ordnungszahl kleiner oder gleich der von Argon), ausgewählt aus Wasserstoff, Helium, Stickstoff, Kohlenstoff und Neon. In einem Beispiel ist der bindungsspaltende Zusatzstoff Stickstoff und die lonenimplantation wird mit einer Implantationsenergie von 1 keV bis 40 keV und einer lonendosierung von 1 × 1013 Ionen/cm2 bis 3 × 1014 Ionen/cm2 durchgeführt. In einem anderen Beispiel ist der bindungsspaltende Zusatzstoff Helium und die lonenimplantation wird mit einer Implantationsenergie von 1 keV bis 10 keV und einer lonendosierung von 5 x 1013 Ionen/cm2 bis 1 × 1015 Atome/cm2 durchgeführt. In der vorliegenden Anmeldung beeinflusst der bindungsspaltende Zusatzstoff, der in das unbearbeitete Lückenfüller-Dielektrikumsmaterial eingeführt wird, die MTJ-Säule oder die obere Elektrodenstruktur nicht negativ.
  • Eine beispielhafte Speicherstruktur wird bereitgestellt. Die Speicherstruktur umfasst eine Mehrzahl von strukturierten Materialstapeln mit einem Abstand voneinander, die auf einer Fläche einer unteren Elektrode angeordnet sind, wobei jeder strukturierte Materialstapel eine mehrschichtige Säule eines magnetischen Tunnelübergangs (MTJ) und eine obere Elektrodenstruktur aufweist. Ein gehärtetes Lückenfüller-Dielektrikumsmaterial, welches eine Dielektrizitätskonstante von weniger als 3 und einen ersten Kohlenstoffgehalt aufweist, ist seitlich benachbart zu jedem strukturierten Materialstapel und zwischen diesen angeordnet. In dem gehärteten Lückenfüller-Dielektrikumsmaterial ist eine Durchkontaktierungsstruktur angeordnet, wobei das gehärtete Lückenfüller-Dielektrikumsmaterial in Nachbarschaft zu Seitenwänden der Durchkontaktierungsstruktur einen Kohlenstoffgehalt von nicht weniger als 90 % des ersten Kohlenstoffgehalts aufweist.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
    • 1 ist eine Querschnittsansicht einer beispielhaften Struktur, wobei die beispielhafte Struktur eine Verbindungsebene umfasst, welche mindestens eine elektrisch leitfähige Struktur umfasst, die in eine Verbindungs-Dielektrikumsmaterialschicht eingebettet ist, wobei eine untere Elektrode auf einer Fläche der mindestens einen elektrisch leitfähigen Struktur angeordnet ist.
    • 2 ist eine Querschnittsansicht der beispielhaften Struktur der 1 nach Bilden eines mehrschichtigen Stapels eines magnetischen Tunnelübergangs (MTJ) und einer metallhaltigen oberen Elektrodenschicht.
    • 3 ist eine Querschnittsansicht der beispielhaften Struktur der 2 nach Strukturieren des MTJ-Stapels und der metallhaltigen oberen Elektrodenschicht, um eine MTJ-Säule bzw. eine obere Elektrodenstruktur bereitzustellen, die über der unteren Elektrode angeordnet sind.
    • 4 ist eine Querschnittsansicht der beispielhaften Struktur der 3 nach Bilden einer Verkapselungsmaterialschicht.
    • 5 ist eine Querschnittsansicht der beispielhaften Struktur der 4 nach Bilden einer Lückenfüller-Dielektrikumsmaterialschicht, welche Selbstplanarisierungseigenschaften aufweist.
    • 6 ist eine Querschnittsansicht der beispielhaften Struktur der 6 nach Einführen eines bindungsspaltenden Zusatzstoffs in die unbearbeitete Lückenfüller-Dielektrikumsmaterialschicht durch Ionenimplantation, um eine modifizierte Lückenfüller-Dielektrikumsmaterialschicht bereitzustellen.
    • 7 ist eine Querschnittsansicht der beispielhaften Struktur der 6 nach Durchführen eines Laser-Temperns als ersten Schritt eines Härtungsverfahrens gemäß einer Ausführungsform der vorliegenden Anmeldung.
    • 8 ist eine Querschnittsansicht der beispielhaften Struktur der 7 nach Durchführen eines UV-Härtens nach dem Laser-Tempern, um eine gehärtete Lückenfüller-Dielektrikumsmaterialschicht bereitzustellen.
    • 9 ist eine Querschnittsansicht der beispielhaften Struktur der 8 nach Entfernen der gehärteten Lückenfüller-Dielektrikumsmaterialschicht und der Verkapselungsmaterialschicht von der Oberseite des strukturierten Materialstapels.
    • 10 ist eine Querschnittsansicht der beispielhaften Struktur der 9 nach Bilden einer Durchkontaktierungsstruktur in dem gehärteten Lückenfüller-Dielektrikumsmaterial.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Anmeldung wird nun unter Bezugnahme auf die folgende Beschreibung und die Zeichnungen, welche der vorliegenden Anmeldung beigefügt sind, detaillierter beschrieben. Es sei angemerkt, dass die Zeichnungen der vorliegenden Anmeldung lediglich zu Veranschaulichungszwecken bereitgestellt werden und daher die Zeichnungen nicht maßstabsgetreu sind. Es sei ebenfalls angemerkt, dass gleiche und sich entsprechende Elemente durch gleiche Bezugszahlen gekennzeichnet sind.
  • In der folgenden Beschreibung werden zahlreiche spezielle Einzelheiten ausgeführt, z.B. bestimmte Strukturen, Komponenten, Materialien, Abmessungen, Verarbeitungsschritte und Techniken, um für ein Verständnis der verschiedenen Ausführungsformen der vorliegenden Anmeldung zu sorgen. Der Fachmann erkennt jedoch, dass die verschiedenen Ausführungsformen der vorliegenden Anmeldung auch ohne diese speziellen Einzelheiten ausgeführt werden können. In anderen Fällen sind gut bekannte Strukturen oder Verarbeitungsschritte nicht detailliert beschrieben worden, um zu vermeiden, dass die vorliegende Anmeldung unklar wird.
  • Es versteht sich, dass, wenn ein Element wie eine Schicht, eine Zone oder ein Substrat als „auf“ oder „über“ einem anderen Element befindlich bezeichnet wird, es sich direkt auf dem anderen Element befinden kann oder auch dazwischen angeordnete Elemente vorhanden sein können. Wenn hingegen ein Element als „direkt auf“ oder „direkt über“ einem anderen Element befindlich bezeichnet wird, sind keine dazwischen angeordneten Elemente vorhanden. Es versteht sich außerdem, dass, wenn ein Element als „unterhalb“ eines anderen Elements oder „unter“ einem anderen Element befindlich bezeichnet wird, es sich direkt unterhalb des anderen Elements oder unter dem anderen Element befinden kann oder auch dazwischen angeordnete Elemente vorhanden sein können. Wenn hingegen ein Element als „direkt unterhalb“ eines anderen Elements oder „direkt unter“ einem anderen Element befindlich bezeichnet wird, sind keine dazwischen angeordneten Elemente vorhanden.
  • In Ausführungsformen der Erfindung, wie sie beschrieben werden, wird seitlich benachbart zu einer mehrschichtigen Säule eines magnetischen Tunnelübergangs (MTJ) und einer oberen Elektrodenstruktur einer Speicherstruktur ein gehärtetes Lückenfüller-Dielektrikumsmaterial gebildet, welches verbesserte chemische und physikalische Eigenschaften aufweist. Das gehärtete Lückenfüller-Dielektrikumsmaterial kann gebildet werden durch Einführen eines bindungsspaltenden Zusatzstoffs in eine unbearbeitete Lückenfüller-Dielektrikumsmaterialschicht durch Ionenimplantation und anschließendes Härten der Lückenfüller-Dielektrikumsmaterialschicht, welche den bindungsspaltenden Zusatzstoff enthält. Das Härten umfasst UV-Härten allein oder UV-Härten in Kombination mit Laser-Tempern. Das in der vorliegenden Anmeldung eingesetzte Härten beeinflusst die MTJ-Säule oder die obere Elektrodenstruktur nicht negativ.
  • Das gehärtete Lückenfüller-Dielektrikumsmaterial, das in der vorliegenden Anmeldung bereitgestellt wird, ist ätzbeständiger als ein äquivalentes Lückenfüller-Dielektrikumsmaterial des Standes der Technik, welches keiner Modifikation und keinem Härten unterzogen wird, wie in der vorliegenden Anmeldung dargestellt. Durch das Vorliegen des gehärteten Lückenfüller-Dielektrikumsmaterials in einer Speicherstruktur, die mindestens eine MTJ-Säule und eine obere Elektrodenstruktur enthält, können in einem Integrationsfluss auf mehreren Verbindungsebenen bessere Durchkontaktierungsprofile mit verringertem oder keinem Durchkontaktierungs-Blowout auf der Unterseite der Durchkontaktierungen bereitgestellt werden.
  • Es sei angemerkt, dass die Zeichnungen der vorliegenden Anmeldung einen Bereich mit Speichereinheiten veranschaulichen, in welchem eine Speichereinheit gebildet wird, zum Beispiel eine MRAM-Einheit. Ein Bereich ohne Speichereinheiten kann seitlich benachbart zu dem Bereich mit Speichereinheiten angeordnet sein, der in den Zeichnungen der vorliegenden Anmeldung veranschaulicht ist.
  • Nun Bezug nehmend auf 1, ist dort eine beispielhafte Struktur veranschaulicht. Die beispielhafte Struktur der 1 umfasst eine Verbindungsebene, Ln, welche mindestens eine elektrisch leitfähige Struktur 14 umfasst, die in eine Verbindungs-Dielektrikumsmaterialschicht 10 eingebettet ist, wobei eine untere Elektrode 16 auf einer Fläche der mindestens einen elektrisch leitfähigen Struktur 14 angeordnet ist. In der vorliegenden Anmeldung kann das ,n' der Verbindungsebene, Ln, eine ganze Zahl größer oder gleich 1 sein.
  • Wenngleich in den Zeichnungen nicht dargestellt, kann unterhalb der Verbindungsebene, Ln, eine Metallebene, Ln-1, angeordnet sein. In einigen Ausführungsformen, und wenn n 1 ist, ist die Metallebene, Ln-1, eine Middle-of-the-Line-Ebene (MOL-Ebene). In anderen Ausführungsformen, und wenn n 2, 3, 4 usw. ist, ist die Metallebene, Ln-1, eine untere Verbindungsebene, welche unterhalb der Verbindungsebene, Ln, angeordnet ist. In jeder Ausführungsform umfasst die Metallebene, Ln-1, eine Dielektrikumsmaterialschicht, welche mindestens eine darin eingebettete elektrisch leitfähige Struktur der Metallebene enthält, die entweder direkt oder indirekt mit einer darunter liegenden CMOS-Einheit (nicht dargestellt) verbunden ist, welche auf einer Front-End-of-the-Line-Ebene (FEOL-Ebene, nicht dargestellt) vorliegt. Die FEOL-Ebene, die Metallebene, Ln-1, und die Verbindungsebene, Ln, können unter Anwendung von Verfahren gebildet werden, welche dem Fachmann gut bekannt sind.
  • Wenn n 1 ist, kann die Dielektrikumsmaterialschicht, Ln-1, aus einem MOL-Dielektrikumsmaterial wie zum Beispiel Siliciumdioxid, undotiertem Silikatglas (USG), Fluorsilikatglas (FSG), Borphosphorsilikatglas (BPSG), einer durch Schleuderbeschichtung aufgebrachten Low-k-Dielektrikumsschicht, einer durch chemische Abscheidung aus der Gasphase (Chemical Vapor Deposition, CVD) aufgebrachten Low-k-Dielektrikumsschicht oder einer beliebigen Kombination davon aufgebaut sein. Der Begriff „Low-k“, wie er überall in der vorliegenden Anmeldung verwendet wird, bezeichnet ein Dielektrikumsmaterial, welches eine Dielektrizitätskonstante von weniger als 4,0 aufweist (alle hierin ausgedrückten Dielektrizitätskonstanten sind in einem Vakuum gemessen). Außerdem, und in einer solchen Ausführungsform (d.h., wenn n 1 ist), ist die mindestens eine elektrisch leitfähige Struktur der Metallebene eine Kontaktstruktur, welche ein Kontaktmetall oder eine Kontaktmetalllegierung umfasst, wie zum Beispiel Wolfram (W), Kobalt (Co), Platin (Pt), Nickel (Ni) oder Legierungen davon.
  • Wenn n größer als 1 ist, kann die Dielektrikumsmaterialschicht der Metallebene, Ln-1, aus einem Verbindungs-Dielektrikumsmaterial aufgebaut sein, welches eine Dielektrizitätskonstante kleiner als 4,0 aufweist. Beispielhafte Verbindungs-Dielektrikumsmaterialien, die verwendet werden können, umfassen, ohne darauf beschränkt zu sein, Silsesquioxane, C-dotierte Oxide (d.h. Organosilikate), welche Si-, C-, O- und H-Atome umfassen, wärmehärtende Polyarylenether oder Multischichten davon. Der Begriff „Polyarylen“ wird in dieser Anmeldung verwendet, um Aryleinheiten oder inert substituierte Aryleinheiten zu bezeichnen, welche durch Bindungen, anellierte Ringe oder inerte Verbindungsgruppen wie zum Beispiel Sauerstoff, Schwefel, Sulfon, Sulfoxid, Carbonyl und Ähnliches miteinander verbunden sind. Außerdem, und in einer solchen Ausführungsform (d.h., wenn n größer als 1 ist), ist die mindestens eine elektrisch leitfähige Struktur der Metallebene aus einem elektrisch leitfähigen Metall oder einer elektrisch leitfähigen Metalllegierung aufgebaut. Beispiele für elektrisch leitfähige Materialien, welche in der vorliegenden Anmeldung verwendet werden können, umfassen Kupfer (Cu), Aluminium (Al) oder Wolfram (W), während ein Beispiel für eine elektrisch leitfähige Metalllegierung eine Cu-Al-Legierung ist.
  • Die Verbindungs-Dielektrikumsmaterialschicht 10 der Verbindungsebene, Ln, kann aus einem der Verbindungs-Dielektrikumsmaterialien aufgebaut sein, die oben für die Dielektrikumsmaterialschicht der Metallebene, Ln-1, angeführt sind. Die Verbindungs-Dielektrikumsmaterialschicht 10 kann durch Abscheiden eines Verbindungs-Dielektrikumsmaterials und gegebenenfalls Härten desselben unter Anwendung bekannter Härtungstechniken gebildet werden, die mit der Back-End-of-the-Line-Verarbeitung (BEOL-Verarbeitung) vereinbar sind.
  • Das Abscheiden des Verbindungs-Dielektrikumsmaterials, wodurch die Verbindungs-Dielektrikumsmaterialschicht 10 bereitgestellt wird, kann chemische Abscheidung aus der Gasphase (CVD), plasmaunterstützte chemische Abscheidung aus der Gasphase (Plasma Enhanced CVD, PECVD), oder Schleuderbeschichten umfassen. Die Verbindungs-Dielektrikumsmaterialschicht 10 kann eine Dicke von 30 nm bis 150 nm aufweisen. Andere Dicken sind möglich und können als die Dicke der Verbindungs-Dielektrikumsmaterialschicht 10 verwendet werden.
  • Die restlichen Komponenten, die in der Verbindungsebene, Ln, vorhanden sind, können über Verfahren gebildet werden, welche dem Fachmann gut bekannt sind. In einer Ausführungsform kann in der Verbindungs-Dielektrikumsmaterialschicht 10 durch Lithographie und Ätzen mindestens eine Öffnung gebildet werden. Lithographie umfasst Bilden eines Photoresistmaterials über einem Material oder Materialstapel, das/der strukturiert werden muss, Bestrahlen des Photoresistmaterials mit einem Bestrahlungsmuster und anschließend Entwickeln des bestrahlten Photoresistmaterials.
  • In der mindestens einen Öffnung, die in der Verbindungs-Dielektrikumsmaterialschicht 10 gebildet wird, kann eine elektrisch leitfähige Struktur 14 gebildet werden. Die elektrisch leitfähige Struktur 14 umfasst ein elektrisch leitfähiges Metall oder eine elektrisch leitfähige Metalllegierung, wie oben definiert. In einigen Ausführungsformen (nicht dargestellt) weist die elektrisch leitfähige Struktur 14 eine oberste Fläche auf, welche mit der obersten Fläche der Verbindungs-Dielektrikumsmaterialschicht 10 coplanar ist. In anderen Ausführungsformen, und wie in 1 dargestellt, weist die elektrisch leitfähige Struktur 14 eine oberste Fläche auf, welche unterhalb der obersten Fläche der Verbindungs-Dielektrikumsmaterialschicht 10 angeordnet ist.
  • In anderen Ausführungsformen, und wie in 1 dargestellt, kann entlang der Seitenwand und einer unteren Wand der mindestens einen Öffnung eine Diffusionsbarrierenauskleidung 12 vorhanden sein. In einigen Ausführungsformen ist keine Diffusionsbarrierenauskleidung vorhanden. Die Diffusionsbarrierenauskleidung 12 ist aus einem Diffusionsbarrierenmaterial aufgebaut (d.h. aus einem Material, welches als eine Barriere dient, um zu verhindern, dass ein leitfähiges Material wie Kupfer durch sie hindurch diffundiert). Beispiele für Diffusionsbarrierenmaterialien, die verwendet werden können, um die Diffusionsbarrierenauskleidung bereitzustellen, umfassen, ohne darauf beschränkt zu sein, Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, W oder WN. In einigen Ausführungsformen kann das Diffusionsbarrierenmaterial einen Materialstapel von Diffusionsbarrierenmaterialien umfassen. In einem Beispiel kann das Diffusionsbarrierenmaterial aus einem Ta/TaN-Stapel aufgebaut sein.
  • Wie in der vorliegenden Anmeldung veranschaulicht, liegt die untere Elektrode 16 auf einer Fläche jeder elektrisch leitfähigen Struktur 14 vor. In einigen Ausführungsformen (und wie dargestellt) ist die untere Elektrode 16 auf einer ausgesparten Fläche der elektrisch leitfähigen Struktur 14 angeordnet. In anderen Ausführungsformen (nicht dargestellt) ist die untere Elektrode 16 auf einer nicht-ausgesparten Fläche der elektrisch leitfähigen Struktur 14 ausgebildet. In einer solchen Ausführungsform kann seitlich benachbart zu der unteren Elektrode 16 und auf einer obersten Fläche der Verbindungs-Dielektrikumsmaterialschicht 10 eine dielektrische Deckschicht (nicht dargestellt) angeordnet sein.
  • Die untere Elektrode 16 kann aus Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, Co, CoWP, CoN, W, WN oder einer beliebigen Kombination davon aufgebaut sein. Die untere Elektrode 16 kann eine Dicke von 2 nm bis 25 nm aufweisen; andere Dicken sind möglich und können in der vorliegenden Anmeldung als die Dicke der unteren Elektrode 16 verwendet werden. Die untere Elektrode 16 kann durch ein Abscheidungsverfahren wie zum Beispiel Sputtern, Atomschichtabscheidung (Atomic Layer Deposition, ALD), chemische Abscheidung aus der Gasphase (CVD), plasmaunterstützte chemische Abscheidung aus der Gasphase (PECVD) oder physikalische Abscheidung aus der Gasphase (PVD) gebildet werden. Der Abscheidung des leitfähigen Materials, durch welches die untere Elektrode 16 bereitgestellt wird, kann ein Verfahren des Zurückätzens, ein Planarisierungsverfahren (wie zum Beispiel chemisch-mechanisches Polieren) oder ein Strukturierungsverfahren (wie zum Beispiel Lithographie und Ätzen) folgen.
  • In einigen Ausführungsformen (nicht dargestellt) weist die untere Elektrode 16 eine oberste Fläche auf, welche mit einer obersten Fläche einer dielektrischen Deckschicht (nicht dargestellt) coplanar ist, die seitlich benachbart zu der unteren Elektrode 16 und auf einer obersten Fläche der Verbindungs-Dielektrikumsmaterialschicht 10 vorhanden sein kann. In anderen Ausführungsformen (wie in 1 dargestellt) weist die untere Elektrode 16 eine oberste Fläche auf, welche mit einer obersten Fläche der Verbindungs-Dielektrikumsmaterialschicht 10 coplanar ist.
  • Nun Bezug nehmend auf 2, ist dort die beispielhafte Struktur der 1 nach Bilden eines mehrschichtigen Stapels eines magnetischen Tunnelübergangs (MTJ) 18 und einer metallhaltigen oberen Elektrodenschicht 28 dargestellt. Der MTJ-Materialstapel 18 umfasst zumindest eine gepinnte magnetische Schicht, eine Tunnelbarrierenschicht und eine freie magnetische Schicht. In einigen Ausführungsformen, und wie in 2 dargestellt, ist der MTJ-Materialstapel 18 ein unten gepinnter MTJ-Materialstapel, welcher von unten nach oben eine gepinnte magnetische Schicht 20, eine Tunnelbarrierenschicht 22 und eine freie magnetische Schicht 24 umfasst. Eine optionale Metallkeimschicht (nicht dargestellt) kann ebenfalls in dem unten gepinnten MTJ-Materialstapel vorhanden sein. In dem unten gepinnten MTJ-Materialstapel ist die optionale Metallkeimschicht unterhalb der gepinnten magnetischen Schicht 20 ausgebildet. Der unten gepinnte MTJ-Materialstapel kann außerdem eine nicht-magnetische Abstandhalterschicht (nicht dargestellt), die auf der freien magnetischen Schicht 24 angeordnet ist, eine zweite freie magnetische Schicht (nicht dargestellt), die auf der nicht-magnetischen Abstandhalterschicht angeordnet ist, und/oder eine MTJ-Deckschicht 26 umfassen, die auf der freien magnetischen Schicht 24 oder der zweiten freien magnetischen Schicht angeordnet ist.
  • In anderen Ausführungsformen (nicht dargestellt) ist der MTJ-Materialstapel 18 ein oben gepinnter MTJ-Materialstapel, welcher von unten nach oben eine freie magnetische Schicht, eine Tunnelbarrierenschicht und eine gepinnte magnetische Schicht umfasst; in dieser Ausführungsform ist die Reihenfolge der Elemente 20 und 24 gegenüber jenen in 2 dargestellten umgekehrt. In einer solchen Ausführungsform kann der oben gepinnte MTJ-Materialstapel außerdem eine optionale Metallkeimschicht, die unterhalb der freien magnetischen Schicht angeordnet ist, eine nicht-magnetische Abstandhalterschicht, die auf der freien magnetischen Schicht angeordnet ist, eine zweite freie magnetische Schicht, die auf der nicht-magnetischen Abstandhalterschicht angeordnet ist, und/oder eine MTJ-Deckschicht umfassen, die auf der gepinnten magnetischen Schicht angeordnet ist.
  • Die verschiedenen Materialschichten des MTJ-Materialstapels 18 können unter Anwendung eines oder mehrerer Abscheidungsverfahren gebildet werden, wie zum Beispiel Sputtern, plasmaunterstützter Atomschichtabscheidung (PEALD), plasmaunterstützter chemischer Abscheidung aus der Gasphase (PECVD) oder physikalischer Abscheidung aus der Gasphase (PVD).
  • Die optionale Metallkeimschicht kann aus Platin (Pt), Palladium (Pd), Nickel (Ni), Rhodium (Rh), Iridium (Ir), Rhenium (Re) oder Legierungen und Multischichten davon aufgebaut sein. In einem Beispiel ist die optionale Metallkeimschicht aus Platin (Pt) aufgebaut.
  • Die gepinnte magnetische Schicht 20 weist eine feste Magnetisierung auf. Die gepinnte magnetische Schicht 20 kann aus einem Metall oder einer Metalllegierung (oder einem Stapel davon) aufgebaut sein, welche ein oder mehrere Metalle umfasst, die eine hohe Spin-Polarisation aufweisen. In alternativen Ausführungsformen umfassen beispielhafte Metalle zum Bilden der gepinnten magnetischen Schicht 20 Eisen, Nickel, Kobalt, Chrom, Bor oder Mangan. Beispielhafte Metalllegierungen können die oben beispielhaft angegebenen Metalle umfassen. In einer anderen Ausführungsform kann die gepinnte magnetische Schicht 20 eine mehrschichtige Anordnung sein, welche (1) eine Zone mit hoher Spin-Polarisation, die aus einem Metall und/oder einer Metalllegierung ausgebildet ist, wobei die oben angegebenen Metalle verwendet werden, und (2) eine Zone aufweist, die aus einem Material oder Materialien aufgebaut ist, die eine starke senkrechte magnetische Anisotropie (eine starke PMA, Perpendicular Magnetic Anisotropy) aufweisen. Beispielhafte Materialien mit starker PMA, die verwendet werden können, umfassen ein Metall wie Kobalt, Nickel, Platin, Palladium, Iridium oder Ruthenium und können als abwechselnde Schichten angeordnet sein. Die Zone mit starker PMA kann auch Legierungen umfassen, welche eine starke PMA aufweisen, wobei beispielhafte Legierungen Kobalt-Eisen-Terbium, Kobalt-Eisen-Gadolinium, Kobalt-Platin, Kobalt-Chrom-Platin, Kobalt-Palladium, Eisen-Platin und/oder Eisen-Palladium umfassen. Die Legierungen können als abwechselnde Schichten angeordnet sein. In einer Ausführungsform können auch Kombinationen dieser Materialien und Zonen als die gepinnte magnetische Schicht 20 eingesetzt werden.
  • Die Tunnelbarrierenschicht 22 ist aus einem Isolatormaterial aufgebaut und in einer solchen Dicke ausgebildet, dass ein geeigneter Tunnelwiderstand bereitgestellt wird. Beispielhafte Materialien für die Tunnelbarrierenschicht 22 umfassen Magnesiumoxid, Aluminiumoxid und Titanoxid oder Materialien höherer elektrischer Tunnelleitfähigkeit, wie z.B. Halbleiter oder Isolatoren mit schmaler Bandlücke.
  • Die freie magnetische Schicht 24 kann aus einem magnetischen Material (oder einem Stapel magnetischer Materialien) mit einer Magnetisierung aufgebaut sein, die in der Orientierung relativ zu der Magnetisierungsorientierung der gepinnten magnetischen Schicht 20 geändert werden kann. Beispielhafte magnetische Materialien für die freie magnetische Schicht 24 umfassen Legierungen und/oder Multischichten von Kobalt, Eisen, Kobalt-Eisen-Legierungen, Nickel, Nickel-Eisen-Legierungen und Kobalt-Eisen-Bor-Legierungen.
  • Falls vorhanden, ist die nicht-magnetische metallische Abstandhalterschicht aus einem nicht-magnetischen Metall oder einer nicht-magnetischen Metalllegierung aufgebaut, welche ermöglicht, dass magnetische Informationen durch sie hindurch übertragen werden, und außerdem ermöglicht, dass die zwei freien magnetischen Schichten derart magnetisch miteinander gekoppelt werden, dass die erste und die zweite freie magnetische Schicht im Gleichgewicht immer parallel sind. Die nicht-magnetische metallische Abstandhalterschicht ermöglicht ein Spin-Drehmoment-Umschalten zwischen der ersten und der zweiten freien magnetischen Schicht.
  • Falls vorhanden, kann die zweite freie magnetische Schicht eines der oben für die freie magnetische Schicht 24 angegebenen magnetischen Materialien umfassen. In einer Ausführungsform ist die zweite freie magnetische Schicht aus dem gleichen magnetischen Material aufgebaut wie die freie magnetische Schicht 24. In einer anderen Ausführungsform ist die zweite freie magnetische Schicht aus einem magnetischen Material aufgebaut, welches eine andere Zusammensetzung aufweist als die freie magnetische Schicht 24.
  • Falls vorhanden, kann die MTJ-Deckschicht 26 aus Nb, NbN, W, WN, Ta, TaN, Ti, TiN, Ru, Mo, Cr, V, Pd, Pt, Rh, Sc, Al oder anderen Metallen mit hohem Schmelzpunkt oder leitfähigen Metallnitriden aufgebaut sein. Die MTJ-Deckschicht 26 kann eine Dicke von 2 nm bis 25 nm aufweisen; andere Dicken sind möglich und können in der vorliegenden Anmeldung als die Dicke der MTJ-Deckschicht 26 verwendet werden.
  • Die metallhaltige obere Elektrodenschicht 28 kann aus einem leitfähigen Material wie zum Beispiel Ta, TaN, Ti, TiN, Ru, RuN, RuTa, RuTaN, Co, CoWP, CoN, W, WN oder einer beliebigen Kombination davon aufgebaut sein. In einer Ausführungsform der vorliegenden Anmeldung ist die metallhaltige obere Elektrodenschicht 28 aus Ti/TiN aufgebaut. Das leitfähige Material, welches die metallhaltige obere Elektrodenschicht 28 bereitstellt, kann die gleiche Zusammensetzung oder eine andere Zusammensetzung aufweisen wie das leitfähige Material, welches die untere Elektrode 16 bereitstellt.
  • In der vorliegenden Anmeldung kann die metallhaltige obere Elektrodenschicht 28 eine Dicke von 100 nm bis 500 nm aufweisen; wenngleich andere Dicken möglich sind und als die Dicke der metallhaltigen oberen Elektrodenschicht 28 verwendet werden können. Die metallhaltige obere Elektrodenschicht 28 kann durch ein Abscheidungsverfahren wie zum Beispiel Sputtern, plasmaunterstützte Atomschichtabscheidung (PEALD), plasmaunterstützte chemische Abscheidung aus der Gasphase (PECVD) oder physikalische Abscheidung aus der Gasphase (PVD) gebildet werden.
  • Nun Bezug nehmend auf 3, ist dort die beispielhafte Struktur der 2 nach Strukturieren des MTJ-Stapels 18 und der metallhaltigen oberen Elektrodenschicht 28 veranschaulicht, um eine MTJ-Säule 18P bzw. eine obere Elektrodenstruktur 28S bereitzustellen, die über der unteren Elektrode 16 angeordnet sind.
  • Das Strukturieren der metallhaltigen oberen Elektrodenschicht 28 und des MTJ-Stapels 18 kann zuerst Bilden einer strukturierten Maske (nicht dargestellt) auf einer physisch frei liegenden Fläche der metallhaltigen oberen Elektrodenschicht 28 umfassen. In einigen Ausführungsformen kann die strukturierte Maske aus einem photolithographischen Resist-Stapel aufgebaut sein. In einer Ausführungsform kann der photolithographische Resist-Stapel, der die strukturierte Maske bereitstellt, eine untere organische Schicht, eine mittlere anorganische Schicht und eine obere Resist-Schicht umfassen. Die untere organische Schicht des photolithographischen Resist-Stapels kann eine organische Planarisierungsschicht (Organic Planarization Layer, OPL) umfassen. Die untere organische Schicht des photolithographischen Resist-Stapels kann eine durch Schleuderbeschichtung aufgebrachte organische Schicht wie zum Beispiel einen Near Frictionless Carbon (NFC), einen diamantartigen Kohlenstoff, einen wärmehärtenden Polyarylenether oder Polyimid umfassen. Die mittlere anorganische Schicht des photolithographischen Resist-Stapels kann eine beliebige Oxidschicht umfassen, wie zum Beispiel ein Niedrigtemperatur-CVD-Oxid (z.B. kleiner oder gleich 250 °C), ein von TEOS (Tetraethylorthosilikat) abgeleitetes Oxid, ein Siliciumoxid, ein Silanoxid oder ein Si-haltiges Antireflex-Beschichtungsmaterial (SiARC). Die obere Resist-Schicht des photolithographischen Resist-Stapels kann aus einem Resist-Material aufgebaut sein, welches für eine hochauflösende Lithographie-Strukturierung sorgt. Der photolithographische Resist-Stapel kann gebildet werden, indem zuerst eine Reihe von Abscheidungsverfahren angewendet werden, umfassend eine erste Schleuderbeschichtung mit der unteren organischen Schicht, eine zweite Schleuderbeschichtung mit der mittleren anorganischen Schicht und eine dritte Schleuderbeschichtung mit der oberen Resist-Schicht. Nach dem Bereitstellen des photolithographischen Resist-Stapels wird die obere Resist-Schicht durch Photolithographie (d.h. Bestrahlen der oberen Resist-Schicht mit einem Bestrahlungsmuster und anschließendes Entwickeln der bestrahlten oberen Resist-Schicht) strukturiert und anschließend wird die der oberen Resist-Schicht bereitgestellte Struktur in die darunter liegenden Schichten des photolithographischen Resist-Stapels übertragen, der die strukturierte Maske bereitstellt. Das Übertragen kann ein oder mehrere Ätzverfahren umfassen.
  • In einigen Ausführungsformen kann das Strukturieren zuerst Strukturieren der metallhaltigen oberen Elektrodenschicht 28 über ein erstes Ätzverfahren wie zum Beispiel ein reaktives lonenätzen unter Verwendung der strukturierten Maske als eine Ätzmaske umfassen. Der verbleibende, d.h. nicht-strukturierte, Abschnitt der metallhaltigen oberen Elektrodenschicht 28 liefert die obere Elektrodenstruktur 28S. Die obere Elektrodenstruktur 28S kann eine zylindrische Form aufweisen; wenngleich andere asymmetrische Formen möglich sind und in der vorliegenden Anmeldung als die Form der oberen Elektrodenstruktur 28S verwendet werden können. Die kritische Abmessung (Critical Dimension, CD) der oberen Elektrodenstruktur 28S kann variieren und ist in der vorliegenden Anmeldung nicht entscheidend. Die obere Elektrodenstruktur 28 weist typischerweise eine Breite auf, die größer ist als die Breite eines oberen Abschnitts der unteren Elektrode 16. In einem solchen Fall kann eine unerwünschte Wiederabscheidung metallischer Teilchen von der unteren Elektrode 16 auf die MTJ-Säule 18P vermieden werden.
  • Nach dem Strukturieren der metallhaltigen oberen Elektrodenschicht 28 wird die strukturierte Maske oben von der oberen Elektrodenstruktur 28S entfernt, die über herkömmliche Verfahren gebildet wird, die dem Fachmann gut bekannt sind. Anschließend wird das Strukturieren des MTJ-Materialstapels 18 durch ein lonenstrahl-Ätzen (Ion Beam Etching, IBE) durchgeführt, wobei die obere Elektrodenstruktur 28S als eine strukturierte Maske eingesetzt wird. Der verbleibende, d.h. nicht-strukturierte, Abschnitt des MTJ-Stapels liefert die MTJ-Säule 18P. In einem Beispiel, und wie in 3 dargestellt, ist jede MTJ-Säule 18P eine unten gepinnte MTJ-Materialstruktur, welche von unten nach oben einen gepinnten magnetischen Materialschichtabschnitt 20P (d.h. einen verbleibenden ungeätzten Abschnitt der gepinnten magnetischen Materialschicht 20), einen Tunnelbarrieren-Schichtabschnitt 22P (d.h. einen verbleibenden ungeätzten Abschnitt der Tunnelbarrierenschicht 22) und einen freien magnetischen Schichtabschnitt 24P (d.h. einen verbleibenden ungeätzten Abschnitt der freien magnetischen Schicht 24) umfasst.
  • In einem anderen Beispiel (nicht dargestellt) ist die MTJ-Säule 18P eine oben gepinnte MTJ-Struktur, welche von unten nach oben einen freien magnetischen Schichtabschnitt 24P, einen Tunnelbarrieren-Schichtabschnitt 22P und einen gepinnten magnetischen Schichtabschnitt 20P umfasst. Die MTJ-Säule 18P kann verbleibende Abschnitte jeder anderen Schicht umfassen, die in dem MTJ-Stapel 18 vorhanden ist. Beispielsweise veranschaulicht 3 eine MTJ-Säule 18P, welche einen MTJ-Deckabschnitt 26P (d.h. einen verbleibenden ungeätzten Abschnitt der MTJ-Deckschicht 26) umfasst. Die MTJ-Säule 18P kann eine zylindrische Form aufweisen; wenngleich andere asymmetrische Formen möglich sind und in der vorliegenden Anmeldung als die Form der MTJ-Säule 18P verwendet werden können; die MTJ-Säule 18P und die obere Elektrodenstruktur 28S weisen die gleiche Form auf. Die kritische Abmessung (CD) der MTJ-Säule 18P kann variieren und ist in der vorliegenden Anwendung nicht entscheidend. Die CD der MTJ-Säule 18P ist typischerweise die gleiche wie die CD der oberen Elektrodenstruktur 28S.
  • Zusammen bilden der MTJ-Stapel 18P und die obere Elektrodenstruktur 28S eine Struktur mit hohem Seitenverhältnis, wobei die Gesamthöhe 200 nm übersteigt. Eine kontinuierliche Verkleinerung von Speicherzellen, um eine erhöhte Speicherdichte zu erhalten, bewirkt, dass der Abstand zwischen benachbarten MTJ-Säulen kleiner wird, was zu Lücken mit hohem Seitenverhältnis führt. Die MTJ-Säule 18P enthält mehrere funktionelle Schichten, wie oben beschrieben. Ein richtiger Betrieb der Einheiten auf MTJ-Basis hängt stark von der genauen Konstruktion verschiedener Grenzflächen zwischen diesen funktionellen Schichten ab. Die erforderliche räumliche Steuerung der Grenzflächen soll besser sein als eine Atomschicht oder etwa 0,3 nm. Ferner ist auch die strukturelle Materialsteuerung (z.B. Korngefüge, Materialzusammensetzung usw.) dieser funktionellen Schichten in der MTJ-Säule 18P von äußerster Wichtigkeit, um einen richtigen Betrieb der Einheiten auf MTJ-Basis zu erreichen. Dementsprechend muss, sobald der MTJ-Stapel 18 gebildet ist, große Sorgfalt aufgewendet werden, um die Materialeigenschaften des MTJ-Stapels zu bewahren. Im Allgemeinen werden energiereiche Behandlungen des so gebildeten MTJ-Stapels 18 und der MTJ-Säule 18P vermieden.
  • Nun Bezug nehmend auf 4, ist dort die beispielhafte Struktur der 3 nach Bilden einer Verkapselungsmaterialschicht 30 veranschaulicht. Die Verkapselungsmaterialschicht 30 ist an den Seitenwänden jeder MTJ-Säule 18P und an Seitenwänden jeder oberen Elektrode 28S und oben auf jeder oberen Elektrode 28S angeordnet. In einigen Ausführungsformen kann die Bildung der Verkapselungsmaterialschicht 30 weggelassen werden.
  • Die Verkapselungsmaterialschicht 30 ist aus einem Dielektrikumsmaterial aufgebaut, welches eine andere Zusammensetzung aufweist als das Verbindungs-Dielektrikumsmaterial, das die Verbindungs-Dielektrikumsmaterialschicht 10 bereitstellt. Das Dielektrikumsmaterial, welches die Verkapselungsmaterialschicht 30 bereitstellt, kann für eine Passivierung der oberen Elektrodenstruktur 28S und der MTJ-Säule 18P sorgen. In einer Ausführungsform ist die Verkapselungsmaterialschicht 30 aus Siliciumnitrid aufgebaut. In einer anderen Ausführungsform kann die Verkapselungsmaterialschicht 30 aus einem Dielektrikumsmaterial aufgebaut sein, welches Silicium-, Kohlenstoff- und Wasserstoffatome enthält. In einigen Ausführungsformen und zusätzlich zu Kohlenstoff- und Wasserstoffatomen kann das Dielektrikumsmaterial, welches die Verkapselungsmaterialschicht 30 bereitstellt, mindestens eines aus Stickstoff- und Sauerstoffatomen umfassen. In anderen Ausführungsformen und zusätzlich zu Silicium-, Stickstoff-, Kohlenstoff- und Wasserstoffatomen kann das Dielektrikumsmaterial, welches die Verkapselungsmaterialschicht 30 bereitstellt, Boratome umfassen. In einem Beispiel kann die Verkapselungsmaterialschicht 30 aus einem nBLOK-Dielektrikumsmaterial aufgebaut sein, welches Silicium-, Kohlenstoff- und Wasserstoff-, Stickstoff- und Sauerstoffatome enthält. In einem alternativen Beispiel kann die Verkapselungsmaterialschicht 30 aus einem SiBCN-Dielektrikumsmaterial aufgebaut sein, welches Silicium-, Bor-, Kohlenstoff-, Wasserstoff- und Stickstoffatome enthält.
  • Die Verkapselungsmaterialschicht 30 ist eine kontinuierliche formangepasste Schicht, welche durch ein Abscheidungsverfahren wie zum Beispiel chemische Abscheidung aus der Gasphase (CVD), plasmaunterstützte chemische Abscheidung aus der Gasphase (PECVD), physikalische Abscheidung aus der Gasphase (PVD) oder Atomschichtabscheidung (ALD) gebildet werden kann. Der Begriff „formangepasst“ bedeutet, dass eine Materialschicht eine vertikale Dicke entlang horizontalen Flächen aufweist, die im Wesentlichen die gleiche (d.h. innerhalb von ±5 %) ist wie die seitliche Dicke entlang vertikalen Flächen. Die Verkapselungsmaterialschicht 30 kann eine Dicke von 10 nm bis 200 nm aufweisen. Andere Dicken sind möglich und können als die Dicke der Verkapselungsmaterialschicht 30 verwendet werden. Das Vorliegen der Verkapselungsmaterialschicht 30 lässt die Lücke zwischen benachbarten MTJ-Säulen 18P schrumpfen, wodurch das Seitenverhältnis der Lücke höher wird.
  • In einigen Ausführungsformen wird die Verkapselungsmaterialschicht 30 durch ein Niedrigtemperatur-Abscheidungsverfahren gebildet. Der Begriff „Niedrigtemperatur-Abscheidungsverfahren“ bezeichnet ein Abscheidungsverfahren, welches bei einer Temperatur von 400 °C oder weniger durchgeführt wird. In einer Ausführungsform wird die Abscheidung der Verkapselungsmaterialschicht 30 bei einer Temperatur von 200 °C bis 400 °C durchgeführt. In einer alternativen Ausführungsform wird die Abscheidung der Verkapselungsmaterialschicht 30 bei einer Temperatur von 200 °C bis 350 °C durchgeführt. Eine Niedrigtemperaturabscheidung verhindert eine Oberflächendiffusion von Metallteilchen durch die Tunnelbarrierenschicht der mehrschichtigen MTJ-Säule 18P, welche zu einem Kurzschluss der Einheit führen kann. Die Metallteilchen werden während des Ätzens der überdeckenden Schichten gebildet, welche die mehrschichtige MTJ-Säule 18P bereitstellen.
  • In einigen Ausführungsformen kann die Verkapselungsmaterialschicht 30 eine niedrige Qualität aufweisen. Anders ausgedrückt, die Verkapselungsmaterialschicht 30 kann damit verbundene schlechte mechanische und chemische Eigenschaften aufweisen, die vor einer weiteren Verarbeitung der MTJ-Einheit verbessert werden müssen. Mit „niedriger Qualität“ ist gemeint, dass die Verkapselungsmaterialschicht 30 fehlerhaft ist (einen hohen Anteil an Kohlenstoff und Wasserstoff-Verunreinigungen aufweist), eine schlechte Dichtigkeit, einen niedrigen Elastizitätsmodul und eine relativ hohe Menge an bindungsabschließenden Resten wie Wasserstoff, Kohlenwasserstoffgruppen und Aminogruppen aufweist, welche ein Gerüst von chemisch starken -Si-O-, -Si-N-, -C-N- und -B-N-Bindungsketten unterbrechen. Demzufolge kann die Verkapselungsmaterialschicht 30 eine niedrige Ätzbeständigkeit und eine hohe Ätz- oder Erosionsgeschwindigkeit aufweisen.
  • Nun Bezug nehmend auf 5, ist dort die beispielhafte Struktur der 4 nach Bilden einer Lückenfüller-Dielektrikumsmaterialschicht 32L veranschaulicht, welche Selbstplanarisierungseigenschaften aufweist. Die Lückenfüller-Dielektrikumsmaterialschicht ist seitlich benachbart zu und oben auf jeder strukturierten Struktur (d.h. den MTJ-Säulen 18P und den oberen Elektrodenstrukturen 28S) ausgebildet. Die Lückenfüller-Dielektrikumsmaterialschicht 32L ist lückenlos. Wie dargestellt, ist die Lückenfüller-Dielektrikumsmaterialschicht 32L auf der Verkapselungsmaterialschicht 30 ausgebildet. Die Lückenfüller-Dielektrikumsmaterialschicht 32L ist aus einem Dielektrikumsmaterial aufgebaut, welches eine andere Zusammensetzung aufweist als das Dielektrikumsmaterial der Verkapselungsmaterialschicht 30. In einer Ausführungsform ist die Lückenfüller-Dielektrikumsmaterialschicht 32L aus einem durch Flowable-CVD oder durch Schleuderbeschichten aufgebrachten Dielektrikumsmaterial aufgebaut, wie zum Beispiel aus einem Dielektrikumsmaterial, welches Si-, C-, O- und H-Atome enthält. In einigen Ausführungsformen ist das fließfähige Low-k-Dielektrikum nanoporös. Die Nanoporen können in dem Lückenfüller-Dielektrikumsmaterial 32L in späteren Schritten durch Aktivieren von organischen Porenbildner-Zusatzstoffen erzeugt werden, welche in einem unbearbeiteten Lückenfüller-Dielektrikumsmaterial vorhanden sein können. In einigen Ausführungsformen werden bei dem Flowable-Abscheidungsverfahren die organischen Vorstufen zum Erhalten einer angestrebten Fließfähigkeit und Opfer-Porenbildner (z.B. Cyclohexen) und die Matrixvorstufe für eine Hauptkettenstruktur auf Siloxan-Basis (z.B. Decamethylcyclopentasiloxan, Diethoxymethylsilan, Dimethyldimethoxysilan, Tetramethylcyclotetrasilan, Octamethylcyclotetrasilan) vermischt. Ein durch Flowable-CVD oder Schleuderbeschichtung aufgebrachtes Dielektrikumsmaterial kann in sehr kleine Lücken zwischen Strukturen, welche eine Topographie aufweisen, fließen und diese füllen, ohne Lücken oder Nähte zu bilden. In einigen Ausführungsformen wird die Fließfähigkeit durch Einsetzen von Polymeren auf Siloxan-Basis erreicht, wobei zwei Silicium-Bindungen durch Reste wie Wasserstoff und/oder Methyl abgeschlossen werden und zwei andere Bindungen an der Siloxan-Hauptkette teilnehmen. Die Fließfähigkeit kann durch die Länge der Polymerketten auf Siloxan-Basis und durch die Menge und die Art der organischen Zusatzstoffe oder Lösungsmittel beeinflusst werden. Längere Polymerketten und ein höherer Gehalt an organischem Lösungsmittel führen zu einer erhöhten Fließfähigkeit. Unbearbeitete fließfähige Dielektrikumsmaterialien sind chemisch schwach und können eine relativ hohe Dielektrizitätskonstante (z.B. um 4,0 herum) aufweisen und weisen typischerweise keine Nanoporen auf. Nach dem Abscheiden benötigt ein fließfähiges Dielektrikumsmaterial ein Härtungsverfahren, durch welches dieses Material in seinen Low-k-Zustand (d.h. eine Dielektrizitätskonstante von weniger als 4,0) umgewandelt wird, Nanoporen gebildet werden und seine chemische Beständigkeit verbessert wird. Die Lückenfüller-Dielektrikumsmaterialschicht 32L wird durch CVD oder Schleuderbeschichtungsverfahren gebildet; obwohl beim Bilden der Lückenfüller-Dielektrikumsmaterialschicht 32L, wie in 5 dargestellt, kein Planarisierungsverfahren angewendet wird, kann ein optionales CMP-Verfahren angewendet werden, um das Lückenfüller-Dielektrikumsmaterial 32L vor dem Härten zu planarisieren.
  • Ein beispielhaftes Härtungsverfahren von fließfähigen Dielektrikumsmaterialien kann Erwärmen auf bis zu 400 °C in Gegenwart einer intensiven UV-Licht enthaltenden Strahlung einer Wellenlänge unterhalb von etwa 250 nm umfassen. Das Härtungsverfahren führt zu einer Abspaltung schwach gebundener organischer Gruppen (z.B. CH3, CH2, H) und zu einem Vernetzen frei gewordener hängender Bindungen zu einem starken chemischen Hauptketten-Netzwerk (z.B. einer vernetzten -Si-O-Hauptkette auf Siloxan-Basis), während eine angestrebte Menge an Nanoporen gebildet wird. In einigen Ausführungsformen umfasst das Vernetzen Abspalten einer der organischen Restgruppen von einigen Si-Atomen in der Polymerkette und Bilden von drei Si-O-Bindungen für diese Atome, während die vierte Silicium-Bindung weiter durch eine Restgruppe wie Wasserstoff (H) und/oder Methyl (CH3) abgeschlossen bleibt. Es versteht sich, dass eine bestimmte Menge dieser organischen Restgruppen benötigt wird, um eine niedrige Dielektrizitätskonstante k zu erhalten, während eine bestimmte Menge an Vernetzung benötigt wird, um ein bestimmtes Maß an chemischer und mechanischer Festigkeit zu erhalten. Die Bindungsspaltung wird hauptsächlich durch photochemische Reaktionen angetrieben, die durch reichlich vorhandene energetische UV-Photonen ausgelöst werden, während die Entfernung abgetrennter organischer Gruppen hauptsächlich durch ein Diffusionsverfahren angetrieben wird, das bei einer erhöhten Substrattemperatur durchgeführt wird. Eine herkömmlich gehärtete Lückenfüller-Dielektrikumsmaterialschicht kann aufgrund von Ineffizienzen beim Härtungsverfahren eine niedrige Qualität aufweisen.
  • Die Lückenfüller-Dielektrikumsmaterialschicht 32L der vorliegenden Anmeldung kann damit verbundene schlechte mechanische und chemische Eigenschaften aufweisen, die vor einer weiteren Verarbeitung der MTJ-Einheit verbessert werden müssen. Mit „niedriger Qualität“ ist gemeint, dass die Lückenfüller-Dielektrikumsmaterialschicht 32L fehlerhaft ist (einen hohen Anteil an Kohlenstoff und Wasserstoff-Verunreinigungen aufweist), eine schlechte Dichtigkeit, einen niedrigen Elastizitätsmodul und eine relativ hohe Menge an bindungsabschließenden Resten wie Wasserstoff, Kohlenwasserstoffgruppen und Aminogruppen aufweist, welche ein Gerüst von chemisch starken -Si-O-, -Si-CH2, und -C-O-Bindungsketten unterbrechen, die durch chemisch starke Bindungen desselben Typs vernetzt sind. In einigen Ausführungsformen bezieht sich die niedrige Qualität auf die Beständigkeit der Lückenfüller-Dielektrikumsmaterialschicht 32L gegen ein sauerstoffhaltiges Plasma, das oft in RIE-Verfahren eingesetzt wird. Sauerstoff-Radikale und -Ionen, die in einem solchen Plasma erzeugt werden, greifen organische Restgruppen an, die in der Lückenfüller-Dielektrikumsmaterialschicht 32L im Übermaß vorhanden sind, und ersetzen sie mit einer Hydroxygruppe (-OH). Dies offenbart sich durch eine Verringerung der Mengen an Si-CH3- und Si-H-Bindungen und einer Zunahme der Menge an Si-OH-Bindungen. Demzufolge kann die Lückenfüller-Dielektrikumsmaterialschicht 32L, die einem sauerstoffhaltigen Plasma ausgesetzt wird, eine niedrige Ätzbeständigkeit und eine hohe Ätz- oder Erosionsgeschwindigkeit aufweisen.
  • Nun Bezug nehmend auf 6, ist dort die beispielhafte Struktur der 5 nach Einführen eines bindungsspaltenden Zusatzstoffs in die Lückenfüller-Dielektrikumsmaterialschicht 32L durch Ionenimplantation veranschaulicht, um eine modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M bereitzustellen. Das Einführen des bindungsspaltenden Zusatzstoffs erfolgt vor dem Härten. In 6 veranschaulichen die Pfeile die Richtung des lonenimplantationsverfahrens.
  • In der vorliegenden Anmeldung umfasst die Modifikation des Lückenfüller-Dielektrikumsmaterials 32L, welche vor dem Härten erfolgt, Implantieren eines bindungsspaltenden Zusatzstoffs in die Lückenfüller-Dielektrikumsmaterialschicht durch Ionenimplantation. Der bindungsspaltende Zusatzstoff umfasst ein leichtes Element oder Molekül. Mit „leichtem Element“ ist ein Element gemeint, welches eine Ordnungszahl Z aufweist, die kleiner oder gleich der von Argon (Z = 18) ist. Veranschaulichende Beispiele für leichte Elemente, die als der bindungsspaltende Zusatzstoff verwendet werden können, umfassen, ohne darauf beschränkt zu sein, Wasserstoff (H), Helium (He), Stickstoff (N), Kohlenstoff (C) oder Neon (Ne). Die leichten Moleküle sind leichte Elemente wie molekularer Wasserstoff (H2) und molekularer Stickstoff (N2). Die Implantation wird in die unbehandelte Lückenfüller-Dielektrikumsmaterialschicht 32L über ihre gesamte Dicke durchgeführt, um die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M bereitzustellen. Die Implantationsenergie bestimmt die Tiefe des Eindringens in die Lückenfüller-Dielektrikumsmaterialschicht 32L. Durch Vorbestimmen der lonenimplantationsenergie können variierende Eindringniveaus erreicht werden. Mehrere Implantationsenergien können eingesetzt werden, um die implantierten Ionen gleichmäßig über die Lückenfüller-Dielektrikumsmaterialschicht 32L zu verteilen oder die Implantationsbeschädigung gleichmäßig über die Lückenfüller-Dielektrikumsmaterialschicht 32L zu verteilen. Die lonenimplantationsdosis wird so gewählt, dass implantierte Elemente nicht 0,5 Atomprozent der Bestandteile des Lückenfüller-Dielektrikumsmaterials übersteigen.
  • In einer Ausführungsform ist das modifizierende bindungsspaltende leichte Element ein Stickstoffatom. In dieser Ausführungsform kann Stickstoff unter Verwendung einer lonenimplantationsenergie von 1 keV bis 40 keV und einer Implantationsdosis von 1 × 1013 Ionen/cm2 bis 3 × 1014 Ionen/cm2 durch lonenimplantation implantiert werden. Bei Verwendung der vorstehend angegebenen lonenimplantationsbedingungen beträgt die Konzentration an Stickstoff, der der Lückenfüller-Dielektrikumsmaterialschicht 32L zugegeben wird, 0,01 Atomprozent bis 0,1 Atomprozent (anders ausgedrückt, die Konzentration an Stickstoff, der der Lückenfüller-Dielektrikumsmaterialschicht 32L zugegeben wird, beträgt 5 × 1018 Atome/cm3 bis 5 × 1019 Atome/cm3). Außerdem und bei Verwendung der vorstehend angegebenen lonenimplantationsbedingungen dringt der Stickstoff bis zu einer Tiefe von 200 ,4 bis 2000 Ä in die Lückenfüller-Dielektrikumsmaterialschicht 32L ein. Die lonenimplantation zur Verwendung von C und Ne ähnelt der oben für Stickstoff beschriebenen. Der Stickstoff kann auch in seiner molekularen Form (N2 +) implantiert werden. In diesem Fall wird der Bereich der Implantationsenergie um einen Faktor Zwei erhöht und der Bereich der lonenimplantationsdosis wird um einen Faktor Zwei verringert.
  • In einer anderen Ausführungsform der vorliegenden Anmeldung ist das modifizierende bindungsspaltende leichte Element ein Heliumatom. In dieser Ausführungsform kann Helium unter Verwendung einer lonenimplantationsenergie von 1 keV bis 10 keV und einer Implantationsdosis von 5 × 1013 Ionen/cm2 bis 1 × 1015 Ionen/cm2 durch lonenimplantation implantiert werden. Bei Verwendung der vorstehend angegebenen lonenimplantationsbedingungen beträgt die Konzentration an Helium, das dem Lückenfüller-Dielektrikumsmaterial 32L zugegeben wird, 0,05 Atomprozent bis 0,5 Atomprozent (anders ausgedrückt, die Konzentration an Helium, das der Lückenfüller-Dielektrikumsmaterialschicht 32L zugegeben wird, beträgt 2,5 × 1019 Atome/cm3 bis 2,5 × 1020 Atome/cm3). Außerdem und bei Verwendung der vorstehend angegebenen lonenimplantationsbedingungen dringt das Helium bis zu einer Tiefe von 200 Å bis 2000 Å in die Lückenfüller-Dielektrikumsmaterialschicht 32L ein. Die lonenimplantationsbedingungen zur Verwendung von H+ oder H2 + ähneln den oben für Helium beschriebenen.
  • Der Implantationsschritt kann unter Verwendung eines herkömmlichen Beamline-Ionenimplantierers oder einer Plasmaimmersions-Implantationsanlage ausgeführt werden. Im Fall einer Plasmaimmersionsimplantation wird die Implantationsenergie durch elektrische Vorspannung des Substrats in Bezug auf das Plasmapotential gesteuert. Um eine gleichmäßige Verteilung implantierter Ionen und/oder eine gleichmäßige Verteilung der implantationsinduzierten Bindungsspaltungen (Beschädigungen) zu erhalten, kann der Implantationsschritt unter Verwendung mehrerer Implantationsenergien ausgeführt werden, wie oben angeführt.
  • Der Implantationsschritt beeinflusst aufgrund der niedrigen Dosierung der Implantation, welche anorganische metallische Dünnschichten der Elektrodenstruktur 28S und der MTJ-Säule 18P nicht bedeutend modifiziert, die MTJ-Säule 18P oder die obere Elektrodenstruktur 28S nicht negativ. Die niedrige Implantationsdosierung bewirkt jedoch bedeutende Änderungen in organischen Komponenten der Lückenfüller-Dielektrikumsmaterialschicht 32L. Ferner beeinflusst die Zugabe des bindungsspaltenden Zusatzstoffs zu der Verkapselungsmaterialauskleidung 30L hauptsächlich anorganische Verkapselungsmaterialschichten nicht negativ.
  • Anschließend wird die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M mit Hilfe einer Energiequelle in Form von elektromagnetischer Strahlung gehärtet (wie es hierin nachstehend noch detaillierter beschrieben wird). Das Härten kann UV-Härten allein oder UV-Härten in Kombination mit einem Laser-Tempern umfassen. Das Laser-Tempern kann vor oder nach dem UV-Härten durchgeführt werden. In einer bevorzugten Ausführungsform, und wie in 7 und 8 dargestellt, wird das Laser-Tempern vor dem UV-Härten durchgeführt. Während des Härtungsverfahrens der vorliegenden Anmeldung wird die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M auf einer erhöhten Temperatur gehalten. Aufgrund der temperaturempfindlichen Strukturen, die bei diesem Schritt in dem Substrat vorliegen (z.B. der MTJ-Säule 18P), ist die Substrattemperatur für Erwärmungen, die länger als mehrere Sekunden dauern, auf 400 °C begrenzt, für Erwärmungen, die kürzer als eine zweistellige Anzahl an Millisekunden, aber länger als mehrere Mikrosekunden dauern, auf 800 °C begrenzt, und für Erwärmungen, die kürzer als mehrere Mikrosekunden dauern, auf 1200 °C begrenzt.
  • Nun Bezug nehmend auf 7, ist dort die beispielhafte Struktur der 6 nach Durchführen eines Laser-Temperns als ersten Schritt eines Härtungsverfahrens gemäß einer Ausführungsform der vorliegenden Anmeldung veranschaulicht. In diesem Fall ist die Energiequelle zum Härten die elektromagnetische Strahlung, Electromagnetic Radiation, ER, des einfallenden Laserstrahls. In einigen Ausführungsformen wird das Laser-Tempern weggelassen und das Härten wird durch ein UV-Härten durchgeführt, wie nachstehend hierin definiert. In wiederum anderen Ausführungsformen kann das Härten ein UV-Härten umfassen, gefolgt von einem Laser-Tempern. In 7 ist mit dem Element 32C eine laser-getemperte modifizierte Lückenfüller-Dielektrikumsmaterialschicht bezeichnet.
  • In einigen Ausführungsformen kann auf der modifizierten Lückenfüller-Dielektrikumsmaterialschicht 32M vor dem Laser-Tempern eine Opfer-Hilfsschicht 34 abgeschieden werden. Der Zweck der Opfer-Hilfsschicht 34 ist es, die elektromagnetische Strahlung, ER, in das Substrat zu koppeln und dabei zu helfen, die Lückenfüller-Dielektrikumsmaterialschicht 32M auf die Zieltemperatur zu erwärmen. Die Opfer-Hilfsschicht 34 ist eine kontinuierliche Schicht, welche über der gesamten Struktur ausgebildet ist, die in 7 dargestellt ist. In einer Ausführungsform ist die Opfer-Hilfsschicht 34 eine Absorptionsschicht. Das Material der Absorptionsschicht wird so gewählt, dass es die elektromagnetische Strahlung, ER, des einfallenden Laserstrahls absorbiert. In einer Ausführungsform ist die Absorptionsschicht ein TaN, welches einen Extinktionskoeffizienten von 1,27 bei 308 nm aufweist.
  • Die Opfer-Hilfsschicht 34 kann über ein herkömmliches Abscheidungsverfahren gebildet werden, wie zum Beispiel chemische Abscheidung aus der Gasphase (CVD), plasmaunterstützte chemische Abscheidung aus der Gasphase (PECVD), Atomschichtabscheidung (ALD) oder Sputtern. Die Opfer-Hilfsschicht 34 kann eine Dicke von 1 nm bis 20 nm aufweisen. Andere Dicken sind für die Opfer-Hilfsschicht 34 möglich, sofern die Dicke ausreicht, um das meiste der elektromagnetischen Strahlung, ER, zu absorbieren. Das Laser-Tempern, das bei diesem Schritt eingesetzt wird, beeinflusst die MTJ-Säulen 18P nicht negativ.
  • Das Laser-Tempern, das als ein erster Schritt des Härtungsverfahrens der vorliegenden Anmeldung angewendet wird, ist ein Kurzzeit-Laser-Tempern (innerhalb eines Nanosekundenbereichs), wie hierin definiert. Ein solches Laser-Tempern kann angewendet werden, um die (chemischen und mechanischen) Eigenschaften eines Dielektrikumsmaterials wie der modifizierten Lückenfüller-Dielektrikumsmaterialschicht 32M zu verbessern. Durch das Laser-Tempern kann die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M gehärtet werden, was zu der laser-getemperten modifizierten Lückenfüller-Dielektrikumsmaterialschicht 32C führt.
  • Wie hierin verwendet, wird mit dem Begriff „Laser-Tempern“ ein Temper-Verfahren bezeichnet, bei welchem ein Laser eingesetzt wird, um in der zu behandelnden Fläche Wärme zu erzeugen. Ein Laser ist eine elektrooptische Einheit, welche kohärente Strahlung emittiert. In einigen Ausführungsformen emittiert ein typischer Laser Licht in einem schmalen Strahl mit geringer Divergenz und mit einer definierten Wellenlänge. In einigen Fällen sind die Vorteile des Einsetzens eines Lasers für Temper-Verfahren, dass dessen Licht einfach geformt und auf einen speziellen Bereich der Temper-Fläche fokussiert werden kann, um mit kurzen Bestrahlungszeiten eine sehr hohe Strahlungsintensität zu erhalten.
  • In einigen Ausführungsformen wird die kurze Bestrahlungszeit durch RasterAbtastung mit einem fokussierten Laserstrahl über einer Fläche eines Substrats erhalten, welches unbearbeitete Dielektrikumsmaterialien umfasst, d.h. ein Verbindungs-Dielektrikumsmaterial, ein Verkapselungsmaterial oder ein modifiziertes Lückenfüller-Dielektrikumsmaterial. In diesem Fall ist die Bestrahlungszeit, gemessen bei der FWHM der einfallenden Intensität, die Strahlbreite in der Abtastrichtung, geteilt durch die Abtastgeschwindigkeit. In alternativen Ausführungsformen wird die kurze Bestrahlungszeit durch den Einsatz eines gepulsten Lasers erreicht. In diesem Fall ist der Laserstrahl so geformt, dass eine erforderliche Spitzenintensität über einem ausgewählten Substratbereich erhalten wird, z.B. über einem gesamten Produkt-Die, und der Laser wird in einem gepulsten Modus betrieben, z.B. im Fall eines Güteschalter-Lasers. Die Impulsdauer des Güteschalter-Lasers mit der FWHM-Intensität (d.h. Full Width at Half Maximum, Halbwertsbreite) bestimmt die Bestrahlungszeit des Substrats. Das Bestrahlungsverfahren wird für die gesamte Wafer-Fläche in einem Step-and-Repeat-Ansatz wiederholt. In einigen Ausführungsformen umfasst die Bestrahlung mit Licht, d.h. durch Laser-Tempern, z.B. die Anwendung des Laser-Strahls, 1 bis 100 Belichtungsimpulse.
  • In einigen Ausführungsformen wird der Laser-Typ, der beim Laser-Temper-Verfahren der vorliegenden Anmeldung eingesetzt wird, aus einem Festkörper-Nd:YAG-Laser, der bei 1064 nm emittiert und frequenzverdoppelt oder -verdreifacht bei 532 nm bzw. 355 nm emittiert, oder einem Excimer-Laser ausgewählt, der unterhalb von 400 nm emittiert. Excimer-Laser können durch eine chemische Reaktion angetrieben werden, an der ein angeregtes Dimer, oder Excimer, beteiligt ist, welches ein kurzlebiges dimeres oder heterodimeres Molekül ist, das aus zwei Teilchen (Atomen) gebildet wird, von denen sich mindestens eines in einem angeregten Elektronenzustand befindet. Gewöhnlich verwendete Excimer-Moleküle umfassen F2 (Fluor, emittiert bei 157 nm) und Edelgasverbindungen wie ArF (193 nm), KrCl (222 nm), KrF (248 nm), XeCl (308 nm) und XeF (351 nm). Excimer-Laser werden gewöhnlich in einem gepulsten gütegeschalteten Modus betrieben, der für eine gepulste Step-and-Repeat-Wafer-Bestrahlung geeignet ist. Festkörper-Nd:YAG-Laser bieten aufgrund ihrer stabilen Hochleistungsausgabe bei 1064 nm, die effizient frequenzverdoppelt oder -verdreifacht werden kann, wobei eine Strahlung bei 532 nm oder 355 nm emittiert wird, eine Alternative zu Excimer-Lasern. Festkörper-Laser können in kontinuierlichen, gepulsten oder gütegeschalteten Modi konfiguriert sein, die sowohl für eine Rasterabtastung als auch für einen gepulsten Step-and-Repeat-Betrieb geeignet sind. Die Auswahl der Laser-Wellenlänge ist wichtig für ein Koppeln der Laserstrahlung in geeignete absorbierende Materialien. Gewöhnliche Dielektrikumsmaterialien sind entweder nicht-absorbierend oder nur schwach absorbierend (absorbieren z.B. weniger als 5 % der eingekoppelten Strahlung), sogar bei kurzen Wellenlängen von etwa 350 nm bis etwa 250 nm; der Begriff „etwa“ wird hierin so verwendet, dass er ±10 % von einem angegebenen Wert bezeichnet. Metalle und metallische Verbindungen hingegen absorbieren Strahlung bei einer Wellenlänge von etwa 600 nm oder kürzer, wodurch für Strukturen mit metallischen Schichten Laser mit kürzerer Wellenlänge stärker bevorzugt werden. In einigen Ausführungsformen kann ein XeCI-Laser (308 nm) eingesetzt werden, welcher etwa 35 % seiner einfallenden Strahlung in die obere Elektrode 28S und die MTJ-Säule 18P koppelt, wodurch die benachbarte modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M von unten erwärmt wird. In alternativen Ausführungsformen kann ein XeCI-Laser (308 nm) eingesetzt werden, welcher etwa 60 % seiner einfallenden Strahlung in die Opfer-Hilfsschicht 34 koppelt, wodurch die darunter liegende modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M von oben erwärmt wird.
  • Sobald das Substrat, welches die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M umfasst, entweder durch Rasterabtastung oder durch einen Laserimpuls der Laserstrahlung ausgesetzt wird, beginnt die Temperatur der metallhaltigen Strukturen, die in dem Substrat vorhanden sind, von einem Grundwert zu steigen, und sinkt kurz danach. Das Erhöhen der Temperatur der metallhaltigen Strukturen in der Metallebene bewirkt, dass thermische Energie oder Wärme in benachbarte Strukturen, einschließlich der modifizierten Lückenfüller-Dielektrikumsmaterialschicht 32M, strömt, wodurch deren Temperatur im Gleichtakt mit der der metallhaltigen Strukturen erhöht wird, die in dem Substrat vorhanden sind. Eine repräsentative Temperatur-Zeit-Kurve eines Nanosekunden-Laser-Temper-Verfahrens umfasst vier (4) unterscheidbare Temperaturzonen: anfängliche oder Grund-Substrattemperatur, Erwärmungsabschnitt, Spitzentemperaturabschnitt und Abkühlungsabschnitt. Die anfängliche oder Grund-Substrattemperatur liegt im Bereich von 23 °C (Raumtemperatur) bis 400 °C. Diese Temperatur wird typischerweise durch eine Heizplatte eingestellt, auf welcher sich das Substrat befindet. Alternative Heizeinheiten können ebenfalls benutzt werden, um das Substrat auf einer Grundtemperatur zu halten. Solche alternativen oder zusätzlichen Heizeinheiten können Rückseiten- oder Vorderseiten-Lampen-Tempern, Mikrowellenerwärmung und sekundäre Vorheiz-Laserstrahlen im Millisekunden-Maßstab umfassen. Der Laserstrahl, der eine Wellenlänge in der Nähe von 308 nm aufweist, erhöht die Oberflächentemperatur des Substrats, welches die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M umfasst, mit der Erwärmungsgeschwindigkeit von etwa 1 000 000 000 °C/sek. bis etwa 100 000 000 000 °C/sek. von der Grundtemperatur auf die Spitzentemperatur. Nach der Laserbestrahlung fällt die Oberflächentemperatur, umfassend die der modifizierten Lückenfüller-Dielektrikumsmaterialschicht 32M, mit der Abkühlgeschwindigkeit von etwa 300 000 000 °C/sek. bis etwa 30 000 000 000 °C/sek. schnell wieder zurück auf die Grundtemperatur ab. Die Temper-Dauer, gemessen um den Spitzentemperaturpunkt herum, typischerweise auf einer Höhe von 50 °C unter dem Spitzenpunkt, liegt in einem Bereich von etwa 1 Nanosekunde bis zu etwa 500 Nanosekunden, aber von etwa 10 Nanosekunden bis etwa 100 Nanosekunden ist typischer. Es ist üblich, die Verfahrensdauer des Laser-Temperns in Form der Bestrahlungsdauer bei FWHM zu spezifizieren, anstatt in Form der Temper-Dauer auf Höhe der Temperatur von 50 °C unterhalb der Spitzentemperatur. Diese Dauern stehen in Beziehung zueinander und in einigen Ausführungsformen ist die Temper-Dauer ein Bruchteil (z.B. etwa ein Drittel) der Bestrahlungsdauer.
  • Der laserinduzierte Anstieg der Oberflächentemperatur wird durch die Intensität der einfallenden Laserstrahlung, den Laserimpuls oder die Bestrahlungsdauer und optische und thermische Eigenschaften des unbearbeiteten Verbindungs-Dielektrikumsmaterials und des darunter liegenden Substrats eingestellt. Eine kurze Laserbestrahlung von vorn bewirkt eine ungleichmäßige Erwärmung des Substrats, das die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M umfasst. Die Wärmeeindringtiefe liegt im Fall von Laserimpulsen im Nanosekunden-Maßstab im Bereich von etwa 1 Mikrometer bis etwa 5 Mikrometer in metallischen Strukturen wie Kupfer und von etwa 100 Nanometer bis etwa 500 Nanometer in typischen Verbindungs-Dielektrika. Dementsprechend kann ein relativ dickes Substrat, welches die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M umfasst, über seine gesamte Dicke relativ gleichmäßig erwärmt werden, mit einem geringen Temperaturgradienten von oben nach unten von ungefähr 0,1 °C/nm bis 3 °C/nm. Dadurch wird auch sichergestellt, dass die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M durch ihre Dicke von weniger als 100 nm auf eine ähnliche Temperatur erwärmt wird.
  • Es versteht sich, dass die erforderliche Strahlungsintensität, bei welcher das Substrat, welches das unbearbeitete Dielektrikumsmaterial umfasst, einen angestrebten Tempertemperaturbereich von etwa 1000 °C bis etwa 1300 °C erreicht, von speziellen Materialien, die in dem Substrat vorhanden sind, von der Dauer des Laserimpulses und von der ausgewählten Laser-Wellenlänge abhängt. Die erforderliche Intensität der einfallenden Strahlung kann jedoch für einen speziellen Aufbau des Substrats, das die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M umfasst, und für spezielle Temperparameter experimentell bestimmt werden, indem Kupfermaterial in die Metallebene eingebaut wird und sein Schmelzpunkt bei etwa 1090 °C überwacht wird. In einigen Ausführungsformen beträgt die Nanosekunden-Laser-Wellenlänge 308 nm, die Substrat-Grundtemperatur beträgt 250 °C, die Impulsdauer bei FWHM beträgt 160 Nanosekunden und die eingekoppelte Laser-Energiedichte, bei welcher die Kupferschicht in der Metallebene zu schmelzen beginnt, beträgt 0,13 J/cm2 (dies entspricht 0,2 J/cm2 einfallender Laser-Energiedichte). Dieser Kalibrierungspunkt kann für eine bestimmt Auswahl von Metall, das in der Metallebene vorhanden ist, in die erforderliche einfallende Laser-Energiedichte umgewandelt werden, indem er in richtiger Weise auf die optische Reflexion des Metalls bei 308 nm normiert wird.
  • In einigen Ausführungsformen wird das Laser-Tempern des Substrats, welches die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M umfasst, bei einer einfallenden Laser-Energiedichte kleiner oder gleich 0,4 J/cm2 durchgeführt. In einem Beispiel wird das Laser-Tempern des Substrats, welches das unbearbeitete Dielektrikumsmaterial umfasst, bei einer einfallenden Laser-Energiedichte von 0,03 J/cm2 bis 0,38 J/cm2 durchgeführt. In einer alternativen Ausführungsform kann das Laser-Tempern bei einer einfallenden Laser-Energiedichte von 0,03 J/cm2 bis 0,46 J/cm2 durchgeführt werden. In einigen Ausführungsformen macht der angestrebte Temper-Temperaturbereich von etwa 1000 °C bis etwa 1300 °C erforderlich, dass die einfallende Laser-Energiedichte kleiner als 0,4 J/cm2 ist.
  • Das Substrat kann metallhaltige Strukturen (umfassend eine Absorptionsschicht 34) mit einem relativ niedrigen Schmelzpunkt von unter etwa 1300 °C enthalten. In diesem Fall reicht der gewünschte Temper-Temperaturbereich von etwa 1000 °C bis unterhalb des Schmelzpunkts der metallhaltigen Strukturen, die in dem Substrat vorhanden sind. Der Schmelzpunkt der metallhaltigen Strukturen und ein entsprechender Schwellenwert für die einfallende Laser-Energiedichte können für eine bestimmte Auswahl der Materialien, welche das Substrat bereitstellen, umfassend das unbearbeitete Dielektrikumsmaterial, und Laser-Temperparameter experimentell bestimmt werden, indem die elektrische Funktionsfähigkeit der metallhaltigen Strukturen vor und nach dem Laser-Tempern überwacht wird.
  • Nun Bezug nehmend auf 8, ist dort die beispielhafte Struktur der 7 nach Durchführen eines UV-Lampen-Härtens (d.h. einem UV-Härten) als ein zweiter Schritt des Härtungsverfahrens der vorliegenden Anmeldung veranschaulicht. In dem Schritt ist die Energiequelle zum Härten die elektromagnetische Strahlung, ER', der UV-Lampe. In einigen Ausführungsformen liegt die Wellenlänge der elektromagnetischen Strahlung im UV-Spektralbereich und ist vorzugsweise kürzer als 250 nm, die Substrattemperatur beträgt 200 °C bis 400 °C und die Verfahrensdauer beträgt 1 Minute bis 10 Minuten. In einigen Ausführungsformen kann das UV-Härten an der beispielhaften Struktur, die in 6 dargestellt ist, durchgeführt werden, ohne ein Laser-Tempern als Teil des Härtungsverfahrens anzuwenden.
  • Die elektromagnetische Strahlung, ER', die durch die modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32M, die auf einer erhöhten Temperatur gehalten wird, oder durch die laser-getemperte modifizierte Lückenfüller-Dielektrikumsmaterialschicht 32C gelangt, die auf einer erhöhten Temperatur gehalten wird, wandelt diese Lückenfüller-Dielektrikumsmaterialien in eine gehärtete Lückenfüller-Dielektrikumsmaterialschicht 33 um. Die gehärtete Lückenfüller-Dielektrikumsmaterialschicht 33 ist lückenlos, weist eine Dielektrizitätskonstante von weniger als 3 und einen ersten Kohlenstoffgehalt auf.
  • Nach dieser Umwandlung besitzt die gehärtete Lückenfüller-Dielektrikumsmaterialschicht 33 einzigartige Materialeigenschaften, derart, dass ihre Dielektrizitätskonstante unter 3 und typischerweise in einem Bereich von 2,7 bis 2,9 liegt und ihre Nassätzgeschwindigkeit von Plasma-lonen-beschädigtem Material um das 2- bis 4-fache besser (niedriger) ist als die einer fließfähigen Low-k-Dünnschicht, die allein durch ein herkömmliches UV-Härtungsverfahren gehärtet wurde. Die gehärtete Lückenfüller-Dielektrikumsmaterialschicht 33 weist im Vergleich zu der Dielektrizitätskonstante des herkömmlich gehärteten Lückenfüller-Dielektrikumsmaterials eine geringfügig (um weniger als 5 %) erhöhte Dielektrizitätskonstante auf. Wichtig ist, dass die Implantation mit niedriger Dosis, das Nanosekunden-Laser-Tempern und das herkömmliche UV-Härtungsverfahren, das in diesem Schritt angewendet wird, die MTJ-Säulen 18P und die oberen Elektrodenstrukturen 28S nicht negativ beeinflussen.
  • Obwohl 7 und 8 eine bevorzugte Reihenfolge des Härtens (zuerst Laser-Tempern und dann UV-Lampen-Härten) zeigen, sind andere Härtungssequenzen ebenfalls durchführbar und bringen ähnliche Vorteile. Das kann Laser-Tempern ausgelassen werden. In einer anderen Ausführungsform kann das herkömmliche UV-Härten vor dem Laser-Tempern durchgeführt werden. In noch einer anderen Ausführungsform kann der Härtungssequenz, die in 7 und 8 dargestellt ist, ein zusätzlicher Laser-Temper-Schritt folgen. In jeder der oben erwähnten Ausführungsformen und nach der Durchführung des Laser-Temperns kann die Opfer-Hilfsschicht 34 selektiv entfernt werden, wobei ein beliebiges herkömmliches Materialentfernungsverfahren angewendet wird, z.B., ohne darauf beschränkt zu sein, Planarisieren oder Ätzen.
  • Nun Bezug nehmend auf 9, ist dort die beispielhafte Struktur der 8 nach Durchführen eines Planarisierungsverfahrens zum Entfernen der gehärteten Lückenfüller-Dielektrikumsmaterialschicht 33 und der Verkapselungsmaterialschicht 30 veranschaulicht, die oberhalb einer obersten Fläche der oberen Elektrodenstruktur 28S angeordnet ist. Ein gehärtetes Lückenfüller-Dielektrikumsmaterial 33 bleibt seitlich benachbart zu jeder MTJ-Säule 18P und jeder oberen Elektrodenstruktur 28S zurück. Außerdem bleibt ein Restabschnitt, d.h. die Verkapselungsmaterialauskleidung 30L, der Verkapselungsmaterialschicht 30 an den Seitenwänden jeder MTJ-Säule 18P und jeder oberen Elektrodenstruktur 28S zurück. In einigen Ausführungsformen kann die Verkapselungsauskleidung 30L über der oberen Elektrodenstruktur 28S verbleiben (nicht dargestellt). Das Planarisierungsverfahren kann chemisch-mechanisches Polieren und/oder Schleifen umfassen.
  • Das gehärtete Lückenfüller-Dielektrikumsmaterial 33 weist eine oberste Fläche auf, die mit einer obersten Fläche der Verkapselungsauskleidung 30L und/oder der obersten Fläche der oberen Elektrodenstruktur 28S coplanar ist. Das gehärtete Lückenfüller-Dielektrikumsmaterial 33 weist verbesserte chemische und physikalische Eigenschaften auf. In einigen Ausführungsformen weist die Verkapselungsmaterialauskleidung 30L ebenfalls verbesserte chemische und physikalische Eigenschaften auf.
  • Nun Bezug nehmend auf 10, ist dort die beispielhafte Struktur der 9 nach Bilden einer Durchkontaktierungsstruktur 14V in dem gehärteten Lückenfüller-Dielektrikumsmaterial 33 zum Herstellen einer elektrischen Verbindung zu einer der unteren Elektroden 16 und/oder einer der elektrisch leitfähigen Strukturen 14 veranschaulicht. Es sei angemerkt, dass in der Zeichnung die Durchkontaktierungsstruktur 14V in einem Abschnitt des gehärteten Lückenfüller-Dielektrikumsmaterials 33 ausgebildet ist, der sich vor der mittleren MTJ-Säule 18P und der oberen Elektrodenstruktur 28S befindet, die in 9 dargestellt sind. Eine Mehrzahl solcher Durchkontaktierungsstrukturen 14V kann in einer Anzahl an geometrischen Konfigurationen angeordnet sein, z.B. in einer Schachbrettstruktur in Bezug auf die MTJ-Säulen 18P und die oberen Elektrodenstrukturen 28S, wie in 10 dargestellt, oder an Rändern von MTJ-Säulen-Arrays. Die Struktur der 9 kann gegebenenfalls vor dem Bilden der Durchkontaktierungsstruktur 14V mit einer ILD-Dünnschicht 10T überdeckt werden. Die ILD-Dünnschicht 10T ähnelt der ILD-Dünnschicht 10 und wird über ähnliche Techniken gebildet. Die Durchkontaktierungsöffnungen, die beim Bereitstellen der entsprechenden Durchkontaktierungsstrukturen 14V verwendet werden, werden an gewünschten Stellen entfernt von den MTJ-Säulen 18P und den oberen Elektrodenstrukturen 28S durch geeignete Lithographietechniken strukturiert. Das gehärtete Lückenfüller-Dielektrikumsmaterial 33 wird durch reaktives lonenätzen (RIE) durch die Durchkontaktierungsöffnungen hindurch entfernt. Die RIE-Chemie kann so gewechselt werden, dass nach dem Entfernen des gehärteten Lückenfüller-Dielektrikumsmaterials 33 die Verkapselungsauskleidung 30L durchbrochen wird. Die gesamte frei liegende untere Elektrode 16 oder ein Teil davon kann ebenfalls entfernt werden. Die frei liegende Fläche der leitfähigen Strukturen 16/14 wird gereinigt und typischerweise wird eine leitfähige Auskleidung 12V in die Durchkontaktierungsöffnung abgeschieden. Die leitfähige Auskleidung 12V ähnelt der Auskleidung 12 und wird über ähnliche Techniken gebildet. Die Durchkontaktierungsöffnung einschließlich der leitfähigen Auskleidung 12V wird dann mit einem metallischen Leiter gefüllt und planarisiert, um die Durchkontaktierungsstruktur 14V bereitzustellen. Der metallische Leiter, der beim Bereitstellen der Durchkontaktierungsstruktur 14V verwendet wird, ähnelt dem der leitfähigen Struktur 14 und wird über ähnliche Techniken gebildet. Die gleichen Durchkontaktierungsstrukturen können verwendet werden, um die oberen Elektrodenstrukturen 28S zu kontaktieren und MTJ-Säulen 18P zu Speicher-Arrays zu verdrahten. Die RIE-Chemie, die zum Bilden der Durchkontaktierungsstrukturen eingesetzt wird, kann Sauerstoff enthalten, der beschädigte Zonen 33D des gehärteten Lückenfüller-Dielektrikumsmaterials in Nachbarschaft zu Durchkontaktierungs-Seitenwänden erzeugt. In den beschädigten Zonen 33D des gehärteten Lückenfüller-Dielektrikumsmaterials greifen die Sauerstoff-Radikale und -Ionen organische Restgruppen an und ersetzen sie mit einer Hydroxygruppe (-OH). Es sei angemerkt, dass das gehärtete Lückenfüller-Dielektrikumsmaterial 33 für eine bessere Widerstandsfähigkeit gegen diese chemischen Prozesse sorgt und eine Verarmung an Si-CH3-Bindungen und Si-H-Bindungen und einen Aufbau von -OH-Bindungen in den beschädigte Zonen 33D des gehärteten Lückenfüller-Dielektrikumsmaterials verringert, verglichen mit dem gehärteten Lückenfüller-Dielektrikumsmaterial 33. Als ein Ergebnis werden die Durchkontaktierungsprofile stärker vertikal, mit verringertem oder gar keinem Durchkontaktierungs-Blowout, wodurch ein dichterer Speicher ermöglicht wird.
  • Ohne darauf beschränkt zu sein, führt der oben angegebene Implantationsschritt zu einer Bindungsspaltung (d.h. zu einem Bindungsbruch) des Lückenfüller-Dielektrikumsmaterials. Ein moderates Maß an Bindungsspaltung bewirkt, dass die langen Polymerketten in kleinere Teile gespalten werden und die bindungsabschließenden Reste wie Wasserstoff, Kohlenwasserstoffgruppen oder Aminogruppen abgespalten werden, wobei benachbarte hängende Gruppen dafür frei werden, sich an chemisch starken Bindungsketten und vernetzenden Bindungen zu beteiligen, die während anschließender Härtungsschritte gebildet werden. Es versteht sich, dass eine bestimmte Menge an bindungsabschließenden organischen Restgruppen benötigt wird, um eine niedrige Dielektrizitätskonstante k zu erhalten. Dementsprechend wird die Implantationsdosis so gewählt, dass die resultierende Dielektrizitätskonstante k unter 3 gehalten wird.
  • Ohne darauf beschränkt zu sein, wird das Laser-Tempern des modifizierten Lückenfüller-Dielektrikumsmaterials und des Verkapselungsmaterials bei einer Temperatur größer oder gleich etwa 1000 °C durchgeführt und bewirkt außerdem, dass die bindungsabschließenden Reste wie Wasserstoff, Kohlenwasserstoffgruppen oder Aminogruppen abgespalten werden, wobei benachbarte hängende Gruppen dafür frei werden, sich an chemisch starken vernetzenden Bindungen zu beteiligen. Abgespaltene Reste können aus der Dünnschicht herausdiffundieren und lange nach dem laserinduzierten Temperaturimpuls von freien Flächen desorbieren, aber einige von diesen können sich selbst wieder an ähnlichen Bindungsabschlussstellen befestigen, wodurch der positive Effekt des laserinduzierten Dünnschichthärtens teilweise aufgehoben wird. Außerdem kann das Hochtemperatur-Laser-Tempern die Wahrscheinlichkeit erhöhen, benachbarte hängende Bindungen zu fesseln, bewirkt entweder durch Ionenimplantation oder durch das Laser-Tempern selbst. Eine längere Temper-Dauer erhöht außerdem die Wahrscheinlichkeit, bindungsabschließende Reste abzuspalten und benachbarte hängende Bindungen zu fesseln. Vorteilhafterweise können anstelle einer längeren Temper-Dauer mehrere Kurzzeit-Laser-Temper-Schritte angewendet werden. Dementsprechend können 2 bis 30 aufeinanderfolgende Laser-Temper-Schritte angewendet werden, um eine kumulative Bestrahlungsdauer oder, gleichbedeutend, eine kumulative Temper-Dauer um das 2- bis 30-fache gegenüber einem einzelnen Laser-Tempern zu erhöhen. Es versteht sich, dass eine bestimmte Menge an bindungsabschließenden organischen Restgruppen benötigt wird, um eine niedrige Dielektrizitätskonstante k zu erhalten. Dementsprechend werden die Spitzentemperatur und die Dauer des Laser-Temperns so gewählt, dass die resultierende Dielektrizitätskonstante k unter 3 gehalten wird.
  • Ohne darauf beschränkt zu sein, führt der herkömmliche UV-Härtungsschritt bei einer erhöhten Substrattemperatur des Dielektrikumsmaterials, d.h. des Lückenfüller-Dielektrikumsmaterials, zur Entfernung abgespaltener abschließender Reste und ferner zu einer Vernetzung der hängenden Bindungen zu chemisch starken -Si-O-, -Si-CH2-, -C-O- und -C-N-Vernetzungsbindungen und -Ketten. Das resultierende Material besitzt eine erhöhte Widerstandsfähigkeit gegen sauerstoffhaltiges Plasma. Dies offenbart sich in einer verringerten Geschwindigkeit der Ersetzung von zurückbleibenden bindungsabschließenden organischen Restgruppen durch Hydroxygruppen.
  • In einigen Ausführungsformen weist ein herkömmlich gehärtetes Lückenfüller-Dielektrikumsmaterial (d.h. ein unbearbeitetes Lückenfüller-Dielektrikumsmaterial, welches einem UV-Härten unterzogen worden ist) eine erste Widerstandsfähigkeit gegen eine plasmainduzierte Beschädigung auf und das gehärtete Lückenfüller-Dielektrikumsmaterial 33 weist eine zweite Widerstandsfähigkeit gegen eine plasmainduzierte Beschädigung auf und die zweite Widerstandsfähigkeit ist größer als die erste Widerstandsfähigkeit. Die plasmainduzierte Beschädigung umfasst, einem sauerstoffhaltigen Plasma ausgesetzt zu werden. Das herkömmlich gehärtete Lückenfüller-Dielektrikumsmaterial, das einem sauerstoffhaltigem Plasma ausgesetzt wurde, weist einen ersten Hydroxyl-Gehalt auf und das gehärtete Lückenfüller-Dielektrikumsmaterial 33, das einem sauerstoffhaltigem Plasma ausgesetzt wurde, weist einen zweiten Hydroxyl-Gehalt auf und der erste Hydroxyl-Gehalt ist größer als der zweite Hydroxyl-Gehalt. Das herkömmlich gehärtete Lückenfüller-Dielektrikumsmaterial, das einem sauerstoffhaltigem Plasma ausgesetzt wurde, weist einen ersten Wasserstoffgehalt und einen ersten Kohlenwasserstoffgehalt auf und das gehärtete Lückenfüller-Dielektrikumsmaterial 33, das einem sauerstoffhaltigem Plasma ausgesetzt wurde, weist einen zweiten Wasserstoffgehalt und einen zweiten Kohlenwasserstoffgehalt auf und der erste Wasserstoffgehalt ist niedriger als der zweite Wasserstoffgehalt und der erste Kohlenwasserstoffgehalt ist niedriger als der zweite Kohlenwasserstoffgehalt. Das herkömmlich gehärtete Lückenfüller-Dielektrikumsmaterial, das einem sauerstoffhaltigem Plasma ausgesetzt wurde, weist eine erste Ätzgeschwindigkeit auf und das gehärtete Lückenfüller-Dielektrikumsmaterial 33, das einem sauerstoffhaltigem Plasma ausgesetzt wurde, weist eine zweite Ätzgeschwindigkeit auf, die niedriger ist als die erste Ätzgeschwindigkeit.
  • In einigen Ausführungsformen beträgt die Menge an Si-CH3-Bindungen oder, gleichbedeutend, die Menge an Kohlenstoff in den beschädigten Zonen 33D des gehärteten Lückenfüller-Dielektrikumsmaterials nicht weniger als 90 % der entsprechenden Mengen in dem gehärteten Lückenfüller-Dielektrikumsmaterial 33. In einigen Ausführungsformen ist die Menge an Si-CH3-Bindungen oder, gleichbedeutend, die Menge an Kohlenstoff in den beschädigten Zonen 33D des gehärteten Lückenfüller-Dielektrikumsmaterials im Wesentlichen die gleiche wie in dem gehärteten Lückenfüller-Dielektrikumsmaterial 33. In einer Ausführungsform weist der Kohlenstoffgehalt in den beschädigten Zonen 33D des gehärteten Lückenfüller-Dielektrikumsmaterials einen Überschuss von 10 Atom-% und vorzugsweise von etwa 12 Atom-% auf. In einigen Ausführungsformen beträgt die Menge an Si-H-Bindungen in den beschädigten Zonen 33D des gehärteten Lückenfüller-Dielektrikumsmaterials nicht weniger als die Hälfte der Mengen in dem gehärteten Lückenfüller-Dielektrikumsmaterial 33.
  • In anderen Ausführungsformen weist das herkömmlich gehärtete Lückenfüller-Dielektrikumsmaterial einen ersten Elastizitätsmodul auf und das gehärtete Lückenfüller-Dielektrikumsmaterial 33 weist einen zweiten Elastizitätsmodul auf, der höher als der erste Elastizitätsmodul ist. In einigen Ausführungsformen weist das gehärtete Lückenfüller-Dielektrikumsmaterial 33 verbesserte chemische Bindungen auf, d.h. eine geringere Menge an bindungsabschließenden Resten wie Wasserstoff, Kohlenwasserstoffgruppen und Aminogruppen, wodurch ein stärkeres Gerüst an -Si-O-, -SiCH2-, -C-O- und -C-N-Bindungsketten bei einer gegebenen niedrigen Dielektrizitätskonstante k unter 3 ermöglicht wird.
  • In einigen Ausführungsformen ist das gehärtete Lückenfüller-Dielektrikumsmaterial 33 aus einem Low-k-Dielektrikumsmaterial aufgebaut, welches Si-, C-, O- und H-Atome enthält. In anderen Ausführungsformen ist das gehärtete Lückenfüller-Dielektrikumsmaterial 33 aus einem Low-k-Dielektrikumsmaterial aufgebaut, welches Si-, C-, O-, N- und H-Atome enthält.
  • In einigen Ausführungsformen weist die unbearbeitete Verkapselungsmaterialschicht 30 einen ersten Wasserstoffgehalt und einen ersten Stickstoff-Wasserstoff-Bindungsgehalt und einen ersten Kohlenstoff-Wasserstoff-Bindungsgehalt auf und die laser-getemperte Verkapselungsmaterialauskleidung 30L weist einen zweiten Wasserstoffgehalt, der niedriger als der erste Wasserstoffgehalt ist, und einen zweiten Stickstoff-Wasserstoff-Bindungsgehalt, der niedriger als der erste Stickstoff-Wasserstoff-Bindungsgehalt ist, und einen zweiten Kohlenstoff-Wasserstoff-Bindungsgehalt auf, der niedriger als der erste Kohlenstoff-Wasserstoff-Bindungsgehalt ist. Die unbearbeitete Verkapselungsmaterialschicht 30 weist eine erste Ätzgeschwindigkeit auf und die laser-getemperte Verkapselungsmaterialauskleidung 30L weist eine zweite Ätzgeschwindigkeit auf, die niedriger als die erste Ätzgeschwindigkeit ist.
  • In anderen Ausführungsformen weist die unbearbeitete Verkapselungsmaterialschicht 30 einen ersten Elastizitätsmodul auf und die laser-getemperte Verkapselungsmaterialauskleidung 30L weist einen zweiten Elastizitätsmodul auf, der höher als der erste Elastizitätsmodul ist. In einigen Ausführungsformen weist die laser-getemperte Verkapselungsmaterialauskleidung 30L verbesserte chemische Bindungen auf, d.h. eine geringere Menge an bindungsabschließenden Resten wie Wasserstoff, Kohlenwasserstoffgruppen und Aminogruppen, wodurch ein stärkeres Gerüst an -Si-O-, -Si-N-, -C-N- und -B-N-Bindungsketten ermöglicht wird.

Claims (13)

  1. Verfahren zum Bilden einer Speicherstruktur, wobei das Verfahren umfasst: Bilden eines strukturierten Materialstapels (18) auf einer Fläche einer unteren Elektrode (16), wobei der strukturierte Materialstapel eine mehrschichtige Säule (18P) eines magnetischen Tunnelübergangs, MTJ, und eine obere Elektrodenstruktur (28S) aufweist; Bilden einer Lückenfüller-Dielektrikumsmaterialschicht (32L) seitlich benachbart zu und oben auf dem strukturierten Materialstapel; Implantieren eines bindungsspaltenden Zusatzstoffs in die Lückenfüller-Dielektrikumsmaterialschicht durch lonenimplantation, um eine modifizierte Lückenfüller-Dielektrikumsmaterialschicht (32M) bereitzustellen; und Härten der modifizierten Lückenfüller-Dielektrikumsmaterialschicht, um eine gehärtete Lückenfüller-Dielektrikumsmaterialschicht (33) bereitzustellen, wobei das Hären UV-Härten und Laser-Tempern umfasst, wobei das Laser-Tempern vor oder nach dem UV-Härten durchgeführt wird.
  2. Verfahren nach Anspruch 1, wobei das Laser-Tempern mit einer einfallenden Laser-Energiedichte kleiner oder gleich 0,4 J/cm2 und für eine Dauer von etwa 1 Nanosekunde bis etwa 500 Nanosekunden durchgeführt wird.
  3. Verfahren nach Anspruch 1, ferner umfassend das Bilden einer Opfer-Hilfsschicht (34) auf der modifizierten Lückenfüller-Dielektrikumsmaterialschicht vor dem Laser-Tempern.
  4. Verfahren nach Anspruch 1, wobei der bindungsspaltende Zusatzstoff Bindungen in der Lückenfüller-Dielektrikumsmaterialschicht spaltet und die MTJ-Säule und die obere Elektrodenstruktur nicht negativ beeinflusst.
  5. Verfahren nach Anspruch 4, wobei der bindungsspaltende Zusatzstoff ein leichtes Element oder Molekül umfasst, ausgewählt aus Wasserstoff, Helium, Stickstoff, Kohlenstoff und Neon.
  6. Verfahren nach Anspruch 4, wobei der bindungsspaltende Zusatzstoff Stickstoff umfasst und die lonenimplantation mit einer Implantationsenergie von 1 keV bis 40 keV und unter Verwendung einer lonendosierung von 1 × 1013 Ionen/cm2 bis 3 × 1014 Ionen/cm2 durchgeführt wird.
  7. Verfahren nach Anspruch 4, wobei der bindungsspaltende Zusatzstoff Helium umfasst und die lonenimplantation mit einer Implantationsenergie von 1 keV bis 10 keV und unter Verwendung einer lonendosierung von 5 × 1013 Ionen/cm2 bis 1 × 1015 Ionen/cm2 durchgeführt wird.
  8. Verfahren nach Anspruch 1, wobei die untere Elektrode auf einer Fläche einer elektrisch leitfähigen Struktur (14) vorliegt, die in eine Verbindungs-Dielektrikumsmaterialschicht (10) eingebettet ist.
  9. Verfahren nach Anspruch 1, ferner umfassend das Bilden einer Verkapselungsmaterialschicht (30) zwischen dem strukturierten Materialstapel und der Lückenfüller-Dielektrikumsmaterialschicht.
  10. Verfahren nach Anspruch 1, ferner umfassend das Entfernen der gehärteten Lückenfüller-Dielektrikumsmaterialschicht und der Verkapselungsmaterialschicht, die oben auf dem strukturierten Materialstapel vorhanden ist.
  11. Verfahren nach Anspruch 1, ferner umfassend das Bilden einer Durchkontaktierungsstruktur (14V) in dem gehärteten Lückenfüller-Dielektrikumsmaterial, wobei das Bilden der Durchkontaktierungsstruktur ein reaktives lonenätzen umfasst.
  12. Verfahren nach Anspruch 11, wobei das reaktive lonenätzen das gehärtete Lückenfüller-Dielektrikumsmaterial (33) beschädigt (33D), welches seitlich benachbart zu der Durchkontaktierungsstruktur angeordnet ist.
  13. Verfahren nach Anspruch 12, wobei das beschädigte gehärtete Lückenfüller-Dielektrikumsmaterial einen Kohlenstoffgehalt von nicht weniger als 90 % des Kohlenstoffgehalts des gehärteten Lückenfüller-Dielektrikumsmaterials aufweist.
DE112020003824.6T 2019-09-23 2020-09-22 Verfahren zur Herstellung von MRAM-Einheiten, welche ein gehärtetes Lückenfüller-Dielektrikumsmaterial enthalten Active DE112020003824B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/579,300 US11114606B2 (en) 2019-09-23 2019-09-23 MRAM devices containing a harden gap fill dielectric material
US16/579,300 2019-09-23
PCT/IB2020/058813 WO2021059114A1 (en) 2019-09-23 2020-09-22 Mram devices containing a hardened gap fill dielectric material

Publications (2)

Publication Number Publication Date
DE112020003824T5 DE112020003824T5 (de) 2022-04-28
DE112020003824B4 true DE112020003824B4 (de) 2024-03-28

Family

ID=74880168

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112020003824.6T Active DE112020003824B4 (de) 2019-09-23 2020-09-22 Verfahren zur Herstellung von MRAM-Einheiten, welche ein gehärtetes Lückenfüller-Dielektrikumsmaterial enthalten

Country Status (6)

Country Link
US (1) US11114606B2 (de)
JP (1) JP2022548932A (de)
CN (1) CN114424354A (de)
DE (1) DE112020003824B4 (de)
GB (1) GB2603684A (de)
WO (1) WO2021059114A1 (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11521915B2 (en) * 2020-02-26 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Front-end-of-line (FEOL) through semiconductor-on-substrate via (TSV)
US11495532B2 (en) * 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques to inhibit delamination from flowable gap-fill dielectric
US11937514B2 (en) 2021-05-06 2024-03-19 International Business Machines Corporation High-density memory devices using oxide gap fill
US11955152B2 (en) * 2021-12-03 2024-04-09 International Business Machines Corporation Dielectric fill for tight pitch MRAM pillar array
US12002498B2 (en) 2022-06-14 2024-06-04 International Business Machines Corporation Coaxial top MRAM electrode

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US20050064698A1 (en) 2003-09-19 2005-03-24 Hui-Lin Chang Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
CN1822334A (zh) 2005-02-17 2006-08-23 财团法人工业技术研究院 多晶硅薄膜晶体管的制作方法
US20140134852A1 (en) 2012-11-15 2014-05-15 Tokyo Electron Limited Method and apparatus for forming dielectric film of low-dielectric constant and method for detaching porogen
US20150137286A1 (en) 2013-05-31 2015-05-21 T3Memory, Inc. Method to form mram by dual ion implantation
DE102015112259A1 (de) 2014-10-17 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Metall-Gate mit Seitenwandabstandhaltern aus Silizium
US20170053967A1 (en) 2015-07-28 2017-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy bottom electrode in interconnect to reduce cmp dishing
US20180351081A1 (en) 2016-01-29 2018-12-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6900121B1 (en) 2002-03-14 2005-05-31 Advanced Micro Devices, Inc. Laser thermal annealing to eliminate oxide voiding
TWI325613B (en) 2006-07-20 2010-06-01 Ind Tech Res Inst Memory cell and fabricating method thereof
US7692230B2 (en) 2006-12-06 2010-04-06 Taiwan Semiconductor Manufacturing Co. Ltd. MRAM cell structure
US7857907B2 (en) 2007-01-25 2010-12-28 Au Optronics Corporation Methods of forming silicon nanocrystals by laser annealing
US9070854B2 (en) * 2012-04-27 2015-06-30 Varian Semiconductor Equipment Associates, Inc. Techniques for patterning multilayer magnetic memory devices using ion implantation
US9236257B2 (en) * 2013-03-13 2016-01-12 Varian Semiconductor Equipment Associates, Inc. Techniques to mitigate straggle damage to sensitive structures
US11271034B2 (en) 2013-05-06 2022-03-08 Yimin Guo Method of manufacturing magnetic memory devices
US10680108B2 (en) 2015-12-04 2020-06-09 Imec Vzw Field-effect transistor comprising germanium and manufacturing method thereof
US9711713B1 (en) 2016-01-15 2017-07-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure, electrode structure and method of forming the same
CN108735896A (zh) 2017-04-17 2018-11-02 中电海康集团有限公司 Mram的制作方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346488B1 (en) 2000-06-27 2002-02-12 Lsi Logic Corporation Process to provide enhanced resistance to cracking and to further reduce the dielectric constant of a low dielectric constant dielectric film of an integrated circuit structure by implantation with hydrogen ions
US20050064698A1 (en) 2003-09-19 2005-03-24 Hui-Lin Chang Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
CN1822334A (zh) 2005-02-17 2006-08-23 财团法人工业技术研究院 多晶硅薄膜晶体管的制作方法
US20140134852A1 (en) 2012-11-15 2014-05-15 Tokyo Electron Limited Method and apparatus for forming dielectric film of low-dielectric constant and method for detaching porogen
US20150137286A1 (en) 2013-05-31 2015-05-21 T3Memory, Inc. Method to form mram by dual ion implantation
DE102015112259A1 (de) 2014-10-17 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Metall-Gate mit Seitenwandabstandhaltern aus Silizium
US20170053967A1 (en) 2015-07-28 2017-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy bottom electrode in interconnect to reduce cmp dishing
US20180351081A1 (en) 2016-01-29 2018-12-06 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same

Also Published As

Publication number Publication date
JP2022548932A (ja) 2022-11-22
US20210091302A1 (en) 2021-03-25
GB2603684A (en) 2022-08-10
WO2021059114A1 (en) 2021-04-01
DE112020003824T5 (de) 2022-04-28
US11114606B2 (en) 2021-09-07
GB202204972D0 (en) 2022-05-18
CN114424354A (zh) 2022-04-29

Similar Documents

Publication Publication Date Title
DE112020003824B4 (de) Verfahren zur Herstellung von MRAM-Einheiten, welche ein gehärtetes Lückenfüller-Dielektrikumsmaterial enthalten
DE102018107374B4 (de) Physikalischer Dampfabscheidungsprozess für Halbleiterverbindungsstrukturen
DE112012003749B4 (de) Kohlenstoffreiche Carbobornitriddielektrikum-Dünnschicht für die Verwendung in elektronischen Einheiten und Verfahren zur Herstellung der kohlenstoffreichen Carbobornitriddielektrikum-Dünnschicht
DE69836313T2 (de) Verfahren zum selektiven Füllen von Gräben mit leitendem Metall
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE112011103146B4 (de) Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln
DE102019104529A1 (de) Herstellung einer metallischen Oberseitenelektrode mit grosser Höhe für unter 60 nm messende magnetoresistive Random-Access-Memory-(MRAM) Vorrichtungen
DE112004001958T5 (de) Verfahren zum Sortieren von Kohlenstoffnanoröhren
DE112020002984B4 (de) Mram-struktur mit t-förmiger unterer elektrode zur überwindung des galvanischen effekts
DE102009010845B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
DE112004002976T5 (de) Organisches lichtemittierendes Element, Herstellungsverfahren hierfür und Anzeigevorrichtung
DE112009000518T5 (de) Verfahren zum Aushärten eines porösen dielektrischen Films mit niedriger Dielektrizitätskonstante
DE112021000187T5 (de) Zwischenverbindungsleitungen aus grobkörnigem kupfer für einen mram
DE102021111424A1 (de) Speichervorrichtung und Verfahren zu deren Herstellung
DE112020003407T5 (de) Mehrschichtige untere elektrode für mtk-enthaltende einheiten
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE102019124193A1 (de) Mram-herstellung und -bauelement
DE102006051496B4 (de) Halbleiterbauelement mit einem porösen Materialschichtstapel mit kleinem ε mit reduzierter UV-Empfindlichkeit und Verfahren zu dessen Herstellung
DE112021000348T5 (de) Einheit mit doppeltem magnetischen tunnelübergang mit invertierter breiter basis
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE112020004072T5 (de) Verstärkte untere Elektrode aus einem einzelnen Element für MTJ enthaltende Einheiten
DE10339990A1 (de) Metalleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
DE102021113058A1 (de) Nachbehandlungsprozesse für eine ionenstrahlätzung eines magnetischen tunnelkontakts und damit hergestellte strukturen
DE102009021488A1 (de) Verbessertes Elektromigrationsverhalten von Kupferleitungen in Metallisierungssystemen von Halbleiterbauelementen durch Legierung von Oberflächen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027220000

Ipc: H10B0061000000

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R084 Declaration of willingness to licence