DE112011105972T5 - III-V layers for N-type and P-type MOS source / drain contacts - Google Patents
III-V layers for N-type and P-type MOS source / drain contacts Download PDFInfo
- Publication number
- DE112011105972T5 DE112011105972T5 DE112011105972.8T DE112011105972T DE112011105972T5 DE 112011105972 T5 DE112011105972 T5 DE 112011105972T5 DE 112011105972 T DE112011105972 T DE 112011105972T DE 112011105972 T5 DE112011105972 T5 DE 112011105972T5
- Authority
- DE
- Germany
- Prior art keywords
- iii
- drain regions
- material layer
- semiconductor material
- type source
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000463 material Substances 0.000 claims abstract description 132
- 239000004065 semiconductor Substances 0.000 claims abstract description 70
- 239000002070 nanowire Substances 0.000 claims abstract description 28
- 229910052751 metal Inorganic materials 0.000 claims abstract description 26
- 239000002184 metal Substances 0.000 claims abstract description 26
- 238000000034 method Methods 0.000 claims description 58
- 239000000758 substrate Substances 0.000 claims description 53
- 229910052710 silicon Inorganic materials 0.000 claims description 31
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 29
- 239000010703 silicon Substances 0.000 claims description 29
- 229910052732 germanium Inorganic materials 0.000 claims description 20
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 19
- 238000004891 communication Methods 0.000 claims description 18
- 239000002019 doping agent Substances 0.000 claims description 14
- 229910045601 alloy Inorganic materials 0.000 claims description 13
- 239000000956 alloy Substances 0.000 claims description 13
- 239000002657 fibrous material Substances 0.000 claims 1
- 238000005516 engineering process Methods 0.000 abstract description 18
- 230000015572 biosynthetic process Effects 0.000 abstract description 12
- 230000003071 parasitic effect Effects 0.000 abstract description 3
- 239000010410 layer Substances 0.000 description 109
- 238000000151 deposition Methods 0.000 description 34
- 230000008569 process Effects 0.000 description 34
- 230000008021 deposition Effects 0.000 description 30
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 19
- 239000000615 nonconductor Substances 0.000 description 9
- 238000005530 etching Methods 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 229910052782 aluminium Inorganic materials 0.000 description 7
- 229910052785 arsenic Inorganic materials 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 238000011065 in-situ storage Methods 0.000 description 7
- 238000012545 processing Methods 0.000 description 7
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 229910052698 phosphorus Inorganic materials 0.000 description 6
- 125000006850 spacer group Chemical group 0.000 description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 5
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 238000013461 design Methods 0.000 description 5
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 5
- 238000002955 isolation Methods 0.000 description 5
- 150000002739 metals Chemical class 0.000 description 5
- 239000002243 precursor Substances 0.000 description 5
- 229910021332 silicide Inorganic materials 0.000 description 5
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 4
- 229910004298 SiO 2 Inorganic materials 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 238000009792 diffusion process Methods 0.000 description 4
- 239000007772 electrode material Substances 0.000 description 4
- 229910052733 gallium Inorganic materials 0.000 description 4
- 229910052738 indium Inorganic materials 0.000 description 4
- 239000012811 non-conductive material Substances 0.000 description 4
- 239000011574 phosphorus Substances 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 3
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 229910052787 antimony Inorganic materials 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 238000011066 ex-situ storage Methods 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 230000035876 healing Effects 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 229910052709 silver Inorganic materials 0.000 description 3
- 239000004332 silver Substances 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- NPXOKRUENSOPAO-UHFFFAOYSA-N Raney nickel Chemical compound [Al].[Ni] NPXOKRUENSOPAO-UHFFFAOYSA-N 0.000 description 2
- 229910000676 Si alloy Inorganic materials 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- NHWNVPNZGGXQQV-UHFFFAOYSA-J [Si+4].[O-]N=O.[O-]N=O.[O-]N=O.[O-]N=O Chemical compound [Si+4].[O-]N=O.[O-]N=O.[O-]N=O.[O-]N=O NHWNVPNZGGXQQV-UHFFFAOYSA-J 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 238000000171 gas-source molecular beam epitaxy Methods 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- 230000012447 hatching Effects 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- PCLURTMBFDTLSK-UHFFFAOYSA-N nickel platinum Chemical compound [Ni].[Pt] PCLURTMBFDTLSK-UHFFFAOYSA-N 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- -1 tantala Inorganic materials 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- 229910052725 zinc Inorganic materials 0.000 description 2
- 239000011701 zinc Substances 0.000 description 2
- 201000002569 3-methylglutaconic aciduria type 5 Diseases 0.000 description 1
- VCBRBUKGTWLJOB-UHFFFAOYSA-N Chloranocryl Chemical compound CC(=C)C(=O)NC1=CC=C(Cl)C(Cl)=C1 VCBRBUKGTWLJOB-UHFFFAOYSA-N 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- IOVCWXUNBOPUCH-UHFFFAOYSA-M Nitrite anion Chemical compound [O-]N=O IOVCWXUNBOPUCH-UHFFFAOYSA-M 0.000 description 1
- 241001310793 Podium Species 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- BYDQGSVXQDOSJJ-UHFFFAOYSA-N [Ge].[Au] Chemical compound [Ge].[Au] BYDQGSVXQDOSJJ-UHFFFAOYSA-N 0.000 description 1
- 239000011149 active material Substances 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052793 cadmium Inorganic materials 0.000 description 1
- BDOSMKKIYDKNTQ-UHFFFAOYSA-N cadmium atom Chemical compound [Cd] BDOSMKKIYDKNTQ-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 239000002800 charge carrier Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- 238000005755 formation reaction Methods 0.000 description 1
- SCCCLDWUZODEKG-UHFFFAOYSA-N germanide Chemical compound [GeH3-] SCCCLDWUZODEKG-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- JQJCSZOEVBFDKO-UHFFFAOYSA-N lead zinc Chemical compound [Zn].[Pb] JQJCSZOEVBFDKO-UHFFFAOYSA-N 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- PCDFLSRUQXRXJI-UHFFFAOYSA-N oxygen(2-) scandium(3+) titanium(4+) Chemical compound [Ti+4].[O-2].[Sc+3] PCDFLSRUQXRXJI-UHFFFAOYSA-N 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000004088 simulation Methods 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 239000003826 tablet Substances 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910052714 tellurium Inorganic materials 0.000 description 1
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/7801—DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
- H01L29/7802—Vertical DMOS transistors, i.e. VDMOS transistors
- H01L29/7809—Vertical DMOS transistors, i.e. VDMOS transistors having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78606—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
- H01L29/78618—Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823814—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823871—Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/0657—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
- H01L29/0665—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
- H01L29/0669—Nanowires or nanotubes
- H01L29/0673—Nanowires or nanotubes oriented parallel to a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/08—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/0843—Source or drain regions of field-effect devices
- H01L29/0847—Source or drain regions of field-effect devices of field-effect transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/06—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
- H01L29/10—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
- H01L29/1025—Channel region of field-effect devices
- H01L29/1029—Channel region of field-effect devices of field-effect transistors
- H01L29/1033—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
- H01L29/1037—Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/02—Semiconductor bodies ; Multistep manufacturing processes therefor
- H01L29/12—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/26—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
- H01L29/267—Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41791—Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78651—Silicon transistors
- H01L29/78654—Monocrystalline silicon transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78684—Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
Abstract
Es werden Technologien für die Ausbildung von Transistorbauteilen offenbart, welche einen verringerten parasitären Kontaktwiderstand im Vergleich zu herkömmlichen Bauteilen aufweisen. Bei manchen beispielhaften Ausführungsformen können diese Technologieren dazu verwendet werden, um die Kontakte von MOS-Transistoren eines CMOS-Bauteils umzusetzen, wobei eine Zwischen-III-V-Halbleitermaterialschicht zwischen den p-Typ- und den n-Typ-Source/Drain-Bereichen sowie deren zugehörigen Metallkontakten bereitgestellt wird, um den Kontaktwiderstand deutlich zu verringern. Die zwischen III-V-Halbleitermaterialschicht kann eine kleine Bandlücke aufweisen (zum Beispiel weniger als 0,5 eV), und/oder anderweitig dotiert sein, um die benötigte Leitfähigkeit bereitzustellen. Diese Technologien können auf vielfältige Transistorarchitekturen angewendet werden (z. B. auf Planare Transistoren, gerippte Transistoren sowie Nanodraht-Transistoren), einschließlich auf gespannte und ungespannte Kanalstrukturen.Technologies for the formation of transistor components are disclosed which have a reduced parasitic contact resistance compared to conventional components. In some exemplary embodiments, these technologies may be used to implement the contacts of MOS transistors of a CMOS device, with an intermediate III-V semiconductor material layer between the p-type and n-type source / drain regions and their associated metal contacts is provided in order to significantly reduce the contact resistance. The intermediate III-V semiconductor material layer can have a small band gap (for example less than 0.5 eV) and / or be doped in some other way in order to provide the required conductivity. These technologies can be applied to a wide variety of transistor architectures (e.g., planar transistors, finned transistors, and nanowire transistors), including strained and unstressed channel structures.
Description
HINTERGRUNDBACKGROUND
Die gesteigerte Leistungsfähigkeit von Schaltkreisbauteilen einschließlich Transistoren, Dioden, Widerstanden, Kondensatoren sowie anderen passiven und aktiven elektronischen Bauteilen, welche auf einem Halbleitersubstrat ausgebildet werden, ist typischerweise bei der Entwicklung, der Herstellung sowie beim Betrieb derartiger Bauteile ein wesentlicher Faktor. Beispielsweise wird bei der Entwicklung sowie bei der Herstellung oder Ausbildung von Metalloxidhalbleiter(MOS)-Transistorhalbleiterbauteilen, etwa solchen, welche in einem komplementären Metalloxidhalbleiter (CMOS) verwendet werden, häufig angestrebt, den mit den Kontakten verbundenen parasitären Widerstand zu minimieren, welcher auch als externer Widerstand „Rext” bekannt ist. Ein verringerter Rext ermöglicht einen höheren Strom bei gleicher Transistorgeometrie.The increased performance of circuit components including transistors, diodes, resistors, capacitors, and other passive and active electronic components formed on a semiconductor substrate is typically a significant factor in the design, manufacture, and operation of such devices. For example, in the development and fabrication or formation of metal oxide semiconductor (MOS) transistor semiconductor devices, such as those used in a complementary metal-oxide semiconductor (CMOS), it is often desirable to minimize the parasitic resistance associated with the contacts, which may also be external Resistance "Rext" is known. A reduced Rext allows a higher current with the same transistor geometry.
KURZBESCHREIBUNG DER FIGURENBRIEF DESCRIPTION OF THE FIGURES
Die
Die
Die
Die
Die
Die
Wie zu erkennen ist, sind die Figuren nicht notwendigerweise maßstabsgetreu gezeichnet, oder dazu vorgesehen, die beanspruchte Erfindung auf die dargestellten, besonderen Anordnungen zu beschränken. Beispielsweise kann vorgesehen sein, obwohl manche Figuren grundsätzlich gerade Linien, rechte Winkel und glatte Oberflächen zeigen, dass bei einer tatsächlichen Umsetzung eine Transistorstruktur weniger perfekte, gerade Linien und rechte Winkel aufweist, wobei manche Elemente eine Oberflächentopologie oder anderweitig unglatte Oberfläche aufweisen können, entsprechend den gegebenen Limitierungen der verwendeten Verarbeitungsgeräte und -technologien. Kurz gesagt dienen die Figuren lediglich dazu, beispielhafte Strukturen zu veranschaulichen.As can be appreciated, the figures are not necessarily drawn to scale, or intended to limit the claimed invention to the particular arrangements shown. For example, although some figures generally show straight lines, right angles, and smooth surfaces, in actual implementation, a transistor structure may have less perfect, straight lines and right angles, with some elements having a surface topology or otherwise unsmooth surface, as appropriate given limitations of the processing equipment and technologies used. In short, the figures are merely illustrative of exemplary structures.
Es werden Technologien für die Ausbildung von Transistorbauteilen offenbart, welche im Vergleich zu konventionellen Bauteilen einen verringerten parasitären Kontaktwiderstand aufweisen. Diese Technologien können beispielsweise an dem Punkt im Halbleiterverarbeitungsvorgang umgesetzt werden, wo die herkömmliche Kontaktverarbeitung ein Silizid unmittelbar auf einen Silizium-Source/Drain-Bereich vorsehen würde, unter Verwendung eines Standardkontaktstapels, etwa einer Abfolge von Metallen auf Silizium(Si)-, Silizium-Germanium(SiGe)- oder Germanium(Ge)-Source/Drain-Bereichen. Bei manchen beispielhaften Ausführungsformen können diese Technologien dazu verwendet werden, um die Kontakte von MOS-Transistoren eines CMOS-Bauteils auszubilden, wobei eine Zwischen-III-V-Halbleitermaterialschicht zwischen den p-Typ- und den n-Typ-Source/Drain-Bereichen und deren entsprechenden Kontaktmetallen bereitgestellt wird, um den Kontaktwiderstand wesentlich zu verringern. Die Zwischen-III-V-Halbleitermaterialschicht kann eine kleine Bandlücke (zum Beispiel weniger als 0,5 eV) aufweisen und/oder dotiert sein, um die gewünschte Leitfähigkeit aufzuweisen. Diese Technologien können auf vielzählige Transistorarchitekturen (zum Beispiel planare, gerippte sowie Nanodraht-Transistoren) angewendet werden, einschließlich auf gespannte und ungespannte Kanalstrukturen.Technologies for the formation of transistor devices are disclosed which have a reduced parasitic contact resistance compared to conventional devices. These technologies may, for example, be implemented at the point in the semiconductor processing operation where conventional contact processing would provide silicide directly on a silicon source / drain region using a standard contact stack, such as a sequence of metals on silicon (Si), silicon Germanium (SiGe) or germanium (Ge) source / drain regions. In some example embodiments, these technologies may be used to form the contacts of MOS transistors of a CMOS device, with an inter III-V semiconductor material layer between the p-type and n-type source / drain regions and their respective contact metals are provided to substantially reduce the contact resistance. The intermediate III-V semiconductor material layer may have a small bandgap (for example, less than 0.5 eV) and / or be doped to have the desired conductivity. These technologies can be applied to a variety of transistor architectures (eg, planar, ridge, and nanowire transistors), including strained and unstressed channel structures.
Allgemeiner ÜberblickGeneral overview
Wie zuvor beschrieben wurde, kann in dem Transistor eine erhöhte Steuerstromstärke durch eine Verringerung des Bauteilwiderstandes erreicht werden. Der Kontaktwiderstand ist ein Komponente des Gesamtwiderstands eines Bauteils. Ein typischer Transistorkontaktstapel umfasst beispielsweise eine Silizium- oder SiGe-Source/Drain-Schicht, eine Silizid-Germanid-Schicht, eine Titan-Nitrit-Haftschicht und einen Wolframkontakt/-stecker. Silizide und Germanid von Metallen wie Nickel, Platin, Titan, Kobalt usw. können vor der Abscheidung des Wolframsteckers auf den Source/Drain-Bereichen ausgebildet werden. Bei derartigen Anordnungen ist der Kontaktwiderstand vergleichsweise hoch und letztlich begrenzt durch die Silizium- oder SiGe-Valenzbandausrichtung an das Pinningniveau in dem Metall. In der Praxis umfassen typische Ansätze für das Ausbilden von Kontakten grundsätzlich Legierungen mit Bandlücken zwischen 0,5–1,5 eV oder mehr. Während manche dieser Ansätze für die n-Typ-Transistorstrukturen geeignet sein mögen, sind sie jedoch für p-Typ-Transistorstrukturen ungeeignet.As described above, in the transistor, increased control current can be achieved by reducing the component resistance. The contact resistance is a component of the total resistance of a component. A typical transistor contact stack includes, for example, a silicon or SiGe source / drain layer, a silicide germanide layer, a titanium nitride adhesion layer, and a tungsten contact / plug. Silicides and germanides of metals such as nickel, platinum, titanium, cobalt, etc., can be formed on the source / drain regions prior to deposition of the tungsten plug. In such arrangements, the contact resistance is comparatively high and ultimately limited by the silicon or SiGe valence band alignment to the pinning level in the metal. In practice, typical approaches to this include Forming contacts basically alloys with band gaps between 0.5-1.5 eV or more. While some of these approaches may be suitable for the n-type transistor structures, they are unsuitable for p-type transistor structures.
Demgemäß wird gemäß einer Ausführungsform der vorliegenden Erfindung eine Zwischen-III-V-Halbleitermaterialschicht nach der Source/Drain-Ausbildung, jedoch vor der Metallkontaktabscheidung abgeschieden. Es ist zu beachten, dass dieselbe Zwischen-III-V-Halbleitermaterialschicht sowohl über den p-Typ- als auch über den n-Typ-Source/Drain-Bereichen abgeschieden werden kann. Bei manchen Ausführungsformen ist die III-V-Materialschicht danach ausgewählt, eine enge Bandlücke aufzuweisen, beispielsweise Indiumantimonid (InSb) oder andere verwandte Verbindungen mit Bandlücken unterhalb von 0,5 eV, einschließlich verschiedener Kombinationen von Aluminium (Al), Gallium (Ga), Indium (In), Phosphor (P), Arsen (As) und/oder Antimon (Sb). Solche III-V-Materialschichten mit kleiner Bandlücke können beispielsweise dazu verwendet werden, um MOS-Transistor-Source/Drain-Bereichen, wie p-Typ- und n-Typ-Si-, oder -SiGe-Legierungen sowie Ge-Source/Drain-Bereichen gute Kontakteigenschaften zu verschaffen. Bei anderen Ausführungsformen können III-V-Materialien mit beliebiger Bandlücke abgeschieden und dotiert werden, wodurch deren Leitfähigkeit auf ein Niveau angehoben wird, dass vergleichbar mit dem von III-V-Materialien mit kleiner Bandlücke ist, oder auf ein für die gegebene Anwendung anderweitig akzeptables Leitfähigkeitsniveaus.Accordingly, according to one embodiment of the present invention, an intermediate III-V semiconductor material layer is deposited after the source / drain formation, but before the metal contact deposition. It should be noted that the same intermediate III-V semiconductor material layer can be deposited over both the p-type and n-type source / drain regions. In some embodiments, the III-V material layer is selected to have a narrow band gap, such as indium antimonide (InSb) or other related compounds with bandgaps below 0.5 eV, including various combinations of aluminum (Al), gallium (Ga), Indium (In), phosphorus (P), arsenic (As) and / or antimony (Sb). Such narrow bandgap III-V material layers may be used, for example, to provide MOS transistor source / drain regions, such as p-type and n-type Si or SiGe alloys, and Ge source / drain Areas to provide good contact properties. In other embodiments, any bandgap III-V materials may be deposited and doped, thereby raising their conductivity to a level comparable to that of III-V narrow bandgap materials, or otherwise acceptable for the given application conductivity levels.
Es ist festzuhalten, dass bei manchen Ausführungsformen das III-V-Halbleitermaterial undotiert bleiben kann, insbesondere bei III-V-Materialien mit Bandlücken von weniger als 0,5 eV, da die thermische Ladungsträgerausbildung in Materialien mit niedriger Bandlücke bereits bei Zimmertemperatur ausreichend ist, um eine hohe Leitfähigkeit zu erzielen. Bei anderen Ausführungsformen, bei denen eine Dotierung verwendet wird, etwa bei denjenigen, die III-V-Materialien mit beliebiger Bandlücke verwenden, kann das Dotieren auf eine Vielzahl von Art und Weisen ausgeführt werden, einschließlich mit Hilfe von in-situ- als und/oder ex-situ-Dotierungstechnologien. Manche dieser Ausführungsformen umfassen die Verwendung von III-V-Materialien, welche ausreichend hohe Dotierungsniveaus eines Spalte-IV-Dotanden wie Kohlenstoff, Silizium, Germanium oder Zink aufweisen. Bei sehr hohen Dotierungsniveaus (zum Beispiel mit einer Substitutionskonzentration von mehr als 1E18 Atome/cm3), fügen diese amphoteren Dotanden Ladungsträger sowohl im Valenz- als auch im Leitungsband hinzu, wodurch die Ladungsträgerkonzentration für beide Ladungsträgerarten erhöht wird. In machen dieser Fälle wird die Dotierung in-situ durchgeführt. Bei anderen Ausführungsformen wird eine intrinsische III-V-Materialschicht abgeschieden, gefolgt von einem ex-situ-Dotierungsprozess, etwas von Ionenimplantation oder Diffusionsdotierung, um die benötigte Leitfähigkeit bereitzustellen (zum Beispiel eine Leitfähigkeit mit Werten von beispielsweisen 100 bis 500 S/cm3). In manchen beispielhaften Fällen kann die III-V-Materialschicht derart dotiert werden, dass die p-Typ-Bereiche ein erstes Dotierungschema und die n-Typ-Bereiche ein zweites Dotierungsschema aufweisen. Beispielsweise können die n-Typ-Source/Drain-Bereiche beispielsweise mit Silizium, Germanium, Tellur und die p-Typ-Source/Drain-Bereiche mit Zink oder Cadmium dotiert werden. Wie es im Lichte der Offenbarung zu erkennen ist, umfassen solche Ausführungsformen mit mehreren Dotierungsschemen grundsätzlich zusätzliche Strukturierungsschritte.Note that in some embodiments, the III-V semiconductor material may remain undoped, especially for III-V materials with bandgaps less than 0.5 eV, since thermal carrier formation in low-band-gap materials is sufficient even at room temperature, to achieve a high conductivity. In other embodiments where doping is used, such as those using arbitrary bandgap III-V materials, doping can be performed in a variety of ways, including with the aid of in-situ and / or or ex-situ doping technologies. Some of these embodiments involve the use of III-V materials that have sufficiently high doping levels of a column IV dopant such as carbon, silicon, germanium, or zinc. At very high doping levels (for example, with a substitution concentration greater than 1E18 atoms / cm 3), these amphoteric dopants add carriers in both the valence and the conduction band added, making the carrier concentration is increased for both types of charge carriers. In these cases, the doping is carried out in-situ. In other embodiments, an intrinsic III-V material layer is deposited, followed by an ex-situ doping process, some of ion implantation or diffusion doping to provide the required conductivity (eg, conductivity with values of, for example, 100 to 500 S / cm 3 ). , In some exemplary cases, the III-V material layer may be doped such that the p-type regions have a first doping scheme and the n-type regions have a second doping scheme. For example, the n-type source / drain regions may be doped with, for example, silicon, germanium, tellurium, and the p-type source / drain regions with zinc or cadmium. As can be seen in the light of the disclosure, such embodiments with multiple doping schemes basically include additional structuring steps.
Es wird weiterhin festgehalten, dass die III-V-Materialschicht dazu verwendet werden kann, um den Kontaktwiderstand in einer beliebigen Anzahl von Transistorstrukturen sowie anderen Konfigurationen zu verbessern, einschließen in Planaren Strukturen, Strukturen mit erhöhtem Source/Drain-Bereich, in nichtplanaren Strukturen (zum Bespiel in Nanodrahttransistoren und gerippten Transistoren wie DoppelGate- und Dreifach-Gate-Transistor-Strukturen) als auch in gespannten und unverspannten Kanalstrukturen. Darüber hinaus können die Transistorstrukturen Source- und Drain-Spitzenbereiche umfassen, die darauf ausgelegt sind, um beispielsweise den Gesamtwiderstand des Transistors zu verringern, bei gleichzeitiger Verbesserung des Kurzkanaleffektes (SCE), wie es manchmal vorgesehen ist. Eine Vielzahl strukturierter Elemente kann in Verbindung mit einer zuvor beschriebenen III-V-Halbleitermaterialschicht verwendet werden.It is further noted that the III-V material layer can be used to enhance contact resistance in any number of transistor structures as well as other configurations, including planar structures, raised source / drain structures, nonplanar structures (US Pat. for example in nanowire transistors and ripple transistors such as double-gate and triple-gate transistor structures) as well as in stressed and unstressed channel structures. Moreover, the transistor structures may include source and drain tip regions configured to, for example, reduce the overall resistance of the transistor while enhancing the short channel effect (SCE), as sometimes envisioned. A variety of patterned elements may be used in conjunction with a previously described III-V semiconductor material layer.
Die Transistorstruktur kann p-Typ-Source/Drain-Bereiche, n-Typ-Source/Drain-Bereiche, oder sowohl n-Typ- als auch p-Typ-Source/Drain-Bereiche umfassen. Bei manchen beispielhaften Ausführungsformen umfasst die Transistorstruktur dotandenimplantierte Source/Drain-Bereiche oder epitaktische (oder polykristalline) Austausch-Source/Drain-Bereiche aus Silizium, SiGe-Legierungen oder nominell reine Germaniumschichten (beispielsweise solche mit weniger als 10% Silizium) in einer MOS-Struktur. Bei jeder derartigen Ausführungsform kann gemäß einer Ausführungsform der vorliegenden Erfindung eine Schicht oder ein Deckel aus III-V-Halbleitermaterial direkt über den Source/Drain-Bereichen ausgebildet werden. Die III-V-Materialschicht kann auch direkt über anderen Teilen der Transistorstruktur ausgebildet werden. Etwa über PolyGates und/oder Erdungskontaktbereichen, oder über anderen derartigen Bereichen, bei denen ein niedriger Kontaktwiderstand erforderlich ist, sofern dies gewünscht ist.The transistor structure may include p-type source / drain regions, n-type source / drain regions, or both n-type and p-type source / drain regions. In some example embodiments, the transistor structure comprises doped-implanted source / drain regions or epitaxial (or polycrystalline) exchange source / drain regions of silicon, SiGe alloys, or nominally pure germanium layers (eg, those with less than 10% silicon) in a MOS. Structure. In any such embodiment, according to one embodiment of the present invention, a layer or cap of III-V semiconductor material may be formed directly over the source / drain regions. The III-V material layer can also be formed directly over other parts of the transistor structure. For example, over PolyGates and / or ground contact areas, or over other such areas where low contact resistance is required, if desired.
Untersuchungen (zum Beispiel Raster-Elektronenmikroskopie und/oder Zusammensetzungsanalysen) haben gezeigt, dass eine gemäß einer Ausführungsform der vorliegenden Erfindung ausgebildete Strukturzusammensetzung eine zusätzliche III-V-Halbleitermaterialschicht aufweisen wird, die beispielsweise Zusammensetzungen von Al, Ga, In, P, As und/oder Sb (neben irgendwelchen Dotanden, welche die Leitfähigkeit auf ein annehmbares Niveau anheben, falls notwendig) aufweisen, und einen Kontaktwiderstand ausbilden, der geringer als der Kontaktwiderstand von Bauteilen ist, welche mit konventionellen Silizid- und Germanitkontaktprozessen ausgebildet sind. Es sollte anerkannt werden, dass jegliche Anzahl von Halbleiterbauteilen oder Schaltkreisen, bei denen der Bedarf nach Kontakten mit hoher Leistungsfähigkeit besteht, von den hier beschriebenen Technologien zur Bereitstellung von Kontakten mit niedrigem Widerstand profitieren können. Studies (for example, scanning electron microscopy and / or compositional analyzes) have shown that a structural composition formed according to one embodiment of the present invention will have an additional III-V semiconductor material layer comprising, for example, Al, Ga, In, P, As and / or compositions. or Sb (besides any dopants which raise the conductivity to an acceptable level, if necessary) and form a contact resistance that is less than the contact resistance of devices formed with conventional silicide and germanite contact processes. It should be appreciated that any number of semiconductor devices or circuits where there is a need for high performance contacts can benefit from the low resistance contact technology described herein.
Daher bieten die Transistorstrukturen, welche gemäß Ausführungsformen der vorliegenden Erfindung ausgebildet sind, eine Verbesserung gegenüber konventionellen Strukturen hinsichtlich eines niedrigeren Kontaktwiderstandes. Verschiedene Prozessänderungen können sich im Lichte der Offenbarung ergeben. Beispielsweise kann das III-V-Halbleitermaterial auf den Source/Drain-Bereichen abgeschieden werden, bevor eine Nichtleiterschicht über der Source/Drain-Schicht abgeschieden wird. Alternativ kann das III-V-Halbleitermaterial auf den Source/Drain-Bereichen abgeschieden werden, nachdem eine Nichtleiterschicht über den Source/Drain-Schichtbereichen abgeschieden worden ist und nachdem Kontaktgräben in die Source/Drain-Schicht geätzt worden sind.Therefore, the transistor structures formed in accordance with embodiments of the present invention offer an improvement over conventional structures in terms of lower contact resistance. Various process changes may arise in the light of the disclosure. For example, the III-V semiconductor material may be deposited on the source / drain regions before depositing a dielectric layer over the source / drain layer. Alternatively, the III-V semiconductor material may be deposited on the source / drain regions after a dielectric layer has been deposited over the source / drain layer regions and after contact trenches have been etched into the source / drain layer.
Methodik und AufbauMethodology and structure
Die
Das beispielhafte Verfahren umfasst das Ausbilden
Das Gatedielektrikum
Das Gateelektrodenmaterial
Die optionale Gate-Hartmaskenschicht
Der Gatestapel kann wie üblich, oder unter Verwendung einer geeigneten Sondertechnologie (zum Beispiel mit einem konventioneller Strukturierungsprozess, um Anteile der Gateelektrode und der Gatedielektrikumsschicht wegzuätzen, um den Gatestapel auszubilden, wie es in
Die Abstandshalter
Jede Anzahl geeigneter Substrate kann dazu verwendet werden, um das Substrat
Weiter mit Bezug auf die
Bei der in den
Wie es im Lichte der Offenbarung zu erkennen ist, kann auch jede Anzahl anderer Transistorelemente in Verbindung mit einer Ausführungsform der vorliegenden Erfindung umgesetzt werden. Beispielsweise kann der Kanal gespannt oder ungespannt sein und die Source/Drain-Bereiche können Spitzenbereiche umfassen oder nicht, welche in dem Bereich zwischen dem entsprechenden Source/Drain-Bereich und dem Kanalbereich ausgebildet sind. In diesem Sinne ist es nicht von besonderer Relevanz für die verschiedenen Ausführungsformen der vorliegenden Erfindung, ob eine Transistorstruktur gespannte oder ungespannte Kanäle aufweist, oder Source/Drain-Spitzenbereiche, oder keine Source/Drain-Spitzenbereiche, wobei derartige Ausführungsformen nicht dazu vorgesehen sind, auf irgendwelche bestimmten strukturellen Elemente beschränkt zu werden. Vielmehr soll diese Anzahl von Transistorstrukturen und -typen und insbesondere sollen derartige Strukturen, die sowohl n-Typ- als auch p-Typ-Source/Drain-Transistorbereiche aufweisen, von der Anwendung einer III-V-Materialschicht mit einer Bandlücke und/oder einer solchen Schicht, die anderweitig dotiert ist, über dem Source/Drain-Bereich, wie zuvor beschrieben, profitieren. Grundsätzlich ist bei Zimmertemperatur dann keine Dotierung notwendig, wenn die Bandlücke klein genug ist (obwohl eine Dotierung verwendet werden kann, falls dies gewünscht ist). In einem besonderen Beispielfall bedient InSb sowohl p- als auch n-Typ-Source/Drain-Bereiche ohne jegliche Dotierung. Für III-V-Materialien mit größerer Bandlücke (> 0,5 eV), kann das Dotieren dazu verwendet werden, um die gewünschte Leitfähigkeit bereitzustellen.As will be appreciated in the light of the disclosure, any number of other transistor elements may be implemented in conjunction with one embodiment of the present invention. For example, the channel may be strained or unstressed, and the source / drain regions may or may not include peak regions formed in the region between the corresponding source / drain region and the channel region. In this sense, it is not of particular relevance to the various embodiments of the present invention whether a transistor structure has strained or relaxed channels, or source / drain tip regions, or no source / drain tip regions, such embodiments are not intended to be confined to any particular structural elements. Rather, this number of transistor structures and types and, in particular, such structures having both n-type and p-type source / drain transistor regions should be subject to the application of a III-V material layer having a band gap and / or a Such layer, which is otherwise doped, will benefit over the source / drain region as previously described. Basically, no doping is necessary at room temperature if the bandgap is small enough (although doping may be used if desired). In a particular example case, InSb serves both p- and n-type source / drain regions without any doping. For larger band gap III-V materials (> 0.5 eV), doping may be used to provide the desired conductivity.
Weiter mit Bezug auf die
Wie es im Lichte der Offenbarung zu erkennen und gemäß manchen Ausführungsformen der vorliegenden Erfindung vorgesehen ist, bei denen ein AustauschmetallGateprozess (RMG) verwendet wird, kann das Verfahren weiterhin das Entfernen des Gatestapels (einschließlich der Gatedielektrikumsschicht
Weiter wird Bezug auf
Das Verfahren setzt mit dem Abscheiden
Bei manchen beispielhaften Ausführungsformen wird die III-V-Materialschicht
Der Fachmann wird im Lichte der Offenbarung erkennen, dass die Selektivität, mit der die III-V-Materialschicht
Das Verfahren setzt daraufhin mit der Abscheidung
Die
Nicht-planare KonfigurationNon-planar configuration
Eine nicht-planare Architektur kann beispielsweise unter Verwendung von FinFETs oder Nanodrahtfigurationen umgesetzt werden. Ein FinFET ist ein Transistor, welcher um einen dünnen Streifen Halbleitermaterial (dieser wird grundsätzlich als eine Finne bezeichnet) aufgebaut ist. Der Transistor umfasst den Knoten eines Standardfeldeffekttransistors (FET), einschließlich einer Gate, einem Gatedielektrikum, einem Source-Bereich sowie einem Drain-Bereich. Der leitfähige Kanal des Bauteils verbleibt auf/innerhalb den/der äußeren Seiten der Finne unterhalb des Gatedielektrikums. Insbesondere fließt ein Strom entlang beider Seitenwände innerhalb der Finne (die Seiten, die sich rechtwinklig zu der Substratoberfläche erstrecken), als auch entlang der Oberseite der Finne (die Seite, sich parallel zu der Substratoberfläche erstreckt). Da der leitfähige Kanal derartiger Konfigurationen im Wesentlichen entlang der drei unterschiedlichen äußeren, planaren Bereiche der Finne angeordnet ist, wird ein derartiges FinFET-Design manchmal auch als ein dreifach-Gate-FinFET bezeichnet. Andere Arten von FinFET-Konfigurationen sind ebenfalls bekannt. Beispielsweise sogenannte Doppel-Gate-FinFETs, bei welchen der leitfähige Kanal grundsätzlich lediglich entlang der zwei Seitenwände der Firme angeordnet ist (jedoch nicht entlang der Oberseite der Finne).A non-planar architecture may be implemented using, for example, FinFETs or Nanowire configurations are implemented. A FinFET is a transistor constructed around a thin strip of semiconductor material (this is basically called a fin). The transistor includes the node of a standard field effect transistor (FET), including a gate, a gate dielectric, a source region and a drain region. The conductive channel of the device remains on / within the outer side (s) of the fin below the gate dielectric. In particular, current flows along both sidewalls within the fin (the sides extending at right angles to the substrate surface) as well as along the top of the fin (the side extending parallel to the substrate surface). Since the conductive channel of such configurations is disposed substantially along the three different outer planar portions of the fin, such FinFET design is sometimes referred to as a triple gate FinFET. Other types of FinFET configurations are also known. For example, so-called double-gate FinFETs, in which the conductive channel is basically arranged only along the two side walls of the company (but not along the top of the fin).
Ein Nanodrahttransistor (der manchmal auch als Gate-all-around-FinFET bezeichnet wird) ist sehr ähnlich aufgebaut, wobei er jedoch anstelle einer Finne einen Nanodraht verwendet (zum Beispiel einen Silizium- oder einen SiGe- oder einen Ge-Nanodraht), wobei das Gate-Material grundsätzlich den Kanalbereich an allen Seiten umgibt. Abhängig von dem jeweiligen Design besitzen Nanodrahttransistoren beispielsweise vier effektive Gates.A nanowire transistor (sometimes referred to as a gate-all-around FinFET) is very similar, but instead of a fin, it uses a nanowire (for example, a silicon or a SiGe or a Ge nanowire) Gate material basically surrounds the channel area on all sides. For example, depending on the particular design, nanowire transistors have four effective gates.
Die
Wie zu erkennen ist, ist die beispielhafte nicht-planare Konfiguration, die in
Die vorangegangene Diskussion mit Bezug auf das Substrat
Es ist anzuerkennen, dass die Source/Drain-Bereiche
Eine weitere Alternative ist die Nanodrahtkanalarchitektur, welche beispielsweise ein Podest aus Substratmaterial
Beispielsystemeexample systems
Die
Der Kommunikationschip
Der Prozessor
Der Kommunikationschip
Bei verschiedenen Ausführungsformen kann das Computersystem
Verschiedene Ausführungsformen sind denkbar und die hierin beschriebenen Merkmale können in jeder denkbaren Zusammensetzung kombiniert werden. Eine beispielhafte Ausführungsform der vorliegenden Erfindung bildet einen integrierten Halbleiterschaltkreis. Der integrierte Schaltkreis umfasst ein Substrat, welches eine Mehrzahl Kanalbereiche aufweist, sowie eine Gateelektrode über jedem Kanalbereich, wobei eine Gate-Dielektrikumsschicht zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereitgestellt ist. Der integrierte Schaltkreis umfasst weiterhin p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, und n-Typ-Source/Drain-Bereiche in dem Substrat sowie angrenzend an einen entsprechenden Kanalbereich. Der integrierte Schaltkreis umfasst weiterhin eine III-V-Halbleitermaterialschicht auf zumindest einem Anteil der p-Typ-Source/Drain-Bereiche sowie einem Anteil der n-Typ-Source/Drain-Bereiche. Der integrierte Schaltkreis umfasst weiterhin einen Metallkontakt auf der III-V-Halbleitermaterialschicht. In machen Fällen ist die III-V-Halbleitermaterialschicht undotiert. In manchen Beispielfällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,5 eV auf. In anderen Beispielfällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,2 eV auf. In machen Fällen ist die III-V-Halbleitermaterialschicht dotiert. In machen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein Dopingschema auf, welches Dasselbe für sowohl die p-Typ- als auch die n-Typ-Source/Drain-Bereiche ist. In anderen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und ein zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche auf. Die III-V-Halbleitermaterialschicht kann dotiert sein, beispielsweise mit einem oder mehreren amphoteren Dotanden (C, Si, Ge und/oder Sn). In einem solchen Fall ist die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden dotiert, mit einer Austauschkonzentration von 1E18 Atomen/cm3. Das Bauteil kann beispielsweise mit Hilfe einer planaren Transistorarchitektur oder mit einer nicht-planaren Transistorarchitektur umgesetzt sein. In einem solchen Fall weist die nicht-planare Transistorarchitektur zumindest einen FinFET-Transistor und/oder einen Nanodraht-Transistor auf. In manchen Fällen weisen die p-Typ- und die n-Typ-Soure/Drain-Bereiche Silizium oder Germanium oder eine Legierung dieser auf. Eine andere Ausführungsform der vorliegenden Erfindung stellt ein elektronisches Bauteil bereit, welches eine bedruckte Leiterkarte umfasst, die einen oder mehrere integrierte Schaltkreise aufweist, die auf verschiedene Weise in diesem Absatz beschrieben worden sind. In einem derartigen Fall weist der andere oder weisen die mehreren integrierten Schaltkreise zumindest einen Kommunikationschip und/oder einen Prozessor auf. Das Gerät kann beispielsweise ein Computer sein.Various embodiments are conceivable and the features described herein may be combined in any conceivable composition. An exemplary embodiment of the present invention forms a semiconductor integrated circuit. The integrated circuit includes a substrate having a plurality of channel regions and a gate electrode over each channel region, wherein a gate dielectric layer is provided between each gate electrode and a corresponding channel region. The integrated circuit further includes p-type source / drain regions in the substrate and adjacent to a corresponding channel region, and n-type source / drain regions in the substrate and adjacent to a corresponding channel region. The integrated circuit further comprises a III-V semiconductor material layer on at least a portion of the p-type source / drain regions and a portion of the n-type source / drain regions. The integrated circuit further includes metal contact on the III-V semiconductor material layer. In some cases, the III-V semiconductor material layer is undoped. In some example cases, the III-V semiconductor material layer has a band gap of less than 0.5 eV. In other example cases, the III-V semiconductor material layer has a band gap of less than 0.2 eV. In some cases, the III-V semiconductor material layer is doped. In such cases, the III-V semiconductor material layer has a doping scheme which is the same for both the p-type and n-type source / drain regions. In other such cases, the III-V semiconductor material layer has a first doping scheme for the p-type source / drain regions and a second doping scheme for the n-type source / drain regions. The III-V semiconductor material layer may be doped, for example with one or more amphoteric dopants (C, Si, Ge and / or Sn). In such a case, the III-V semiconductor material layer is doped with one or more amphoteric dopants, with an exchange concentration of 1E18 atoms / cm 3 . The component can be implemented, for example, by means of a planar transistor architecture or with a non-planar transistor architecture. In such a case, the non-planar transistor architecture has at least one FinFET transistor and / or one nanowire transistor. In some cases, the p-type and n-type soure / drain regions include silicon or germanium or an alloy thereof. Another embodiment of the present invention provides an electronic component that includes a printed circuit board having one or more integrated circuits that have been described in various ways in this paragraph. In such a case, the other or the plurality of integrated circuits has at least one communication chip and / or one processor. The device may be, for example, a computer.
Andere Ausführungsformen der vorliegenden Erfindung stellen ein Bauteil oder Gerät bereit, welches ein Silizium-enthaltendes Substrat aufweist, mit einer Mehrzahl von Kanalbereichen, sowie mit einer Gateelektrode über jedem Kanalbereich, wobei eine Gate-Dielektrikumsschicht zwischen jeder Gateelektrode und/oder einem entsprechenden Kanalbereich bereitgestellt ist. Das Bauteil umfasst weiterhin p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, wobei die p-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen, und wobei n-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich vorliegen, wobei die n-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen. Das Bauteil oder Gerät umfasst weiterhin eine III-V-Halbleitermaterialschicht auf zumindest einem Anteil der p-Typ-Source/Drain-Bereiche und auf einem Anteil der n-Typ-Source/Drain-Bereiche, sowie einen Metallkontakt auf der III-V-Halbleitermaterialschicht für jeden der p-Typ- und der n-Typ-Source/Drain-Bereiche. Gemäß einer besonderen beispielhaften Ausführungsform wird eine III-V-Materialabscheidung von InSb auf Si, einer SiGe-Legierung sowie Ge-Source/Drain-Bereichen mittels Simulation vorausberechnet, um der Leitfähigkeit eine möglichst geringe Barriere entgegenzusetzen. Andere geeignete III-V-Materialschichten werden sich im Lichte der Offenbarung dem Fachmann ergeben. In machen Fällen ist die III-V-Halbleitermaterialschicht undotiert. In machen Fällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,5 eV auf. In manchen Fällen ist die III-V-Halbleitermaterialschicht dotiert. In manchen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein Dotierungsschema auf, welches für die p-Typ- und die n-Typ-Source/Drain-Bereiche dasselbe ist. In anderen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und eine zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche auf. In manchen Fällen ist die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden wie Ge (z. B. mit einer Austauschkonzentration von mehr als 1E18 Atome/cm3) dotiert.Other embodiments of the present invention provide a device comprising a silicon-containing substrate having a plurality of channel regions, and a gate electrode over each channel region, wherein a gate dielectric layer is provided between each gate electrode and / or a corresponding channel region , The device further includes p-type source / drain regions in the substrate and adjacent a corresponding channel region, the p-type source / drain regions comprising silicon, germanium, or an alloy thereof, and wherein n-type source / Drain regions in the substrate and adjacent to a corresponding channel region, wherein the n-type source / drain regions silicon, germanium or an alloy thereof. The device further comprises a III-V semiconductor material layer on at least a portion of the p-type source / drain regions and on a portion of the n-type source / drain regions, and a metal contact on the III-V Semiconductor material layer for each of the p-type and n-type source / drain regions. According to a particular exemplary embodiment, a III-V material deposition of InSb on Si, a SiGe alloy and Ge source / drain regions is predicted by simulation in order to counteract the conductivity as low as possible a barrier. Other suitable III-V material layers will be apparent to those skilled in the art in light of the disclosure. In some cases, the III-V semiconductor material layer is undoped. In some cases, the III-V semiconductor material layer has a band gap of less than 0.5 eV. In some cases, the III-V semiconductor material layer is doped. In some such cases, the III-V semiconductor material layer has a doping scheme which is the same for the p-type and n-type source / drain regions. In other such cases, the III-V semiconductor material layer has a first doping scheme for the p-type source / drain regions and a second doping scheme for the n-type source / drain regions. In some cases, the III-V semiconductor material layer is doped with one or more amphoteric dopant such as Ge (z. B. exchange with a concentration greater than 1E18 atoms / cm 3).
Eine andere Ausführungsform der vorliegenden Erfindung stellt ein Verfahren für die Ausbildung eines Halbleiterbauteils bereit. Das Verfahren umfasst das Bereitstellen eines Substrates, welches eine Mehrzahl von Kanalbereichen aufweist, sowie das Bereitstellen einer Gateelektrode oberhalb jedes Kanalbereiches, wobei eine Gatedielektrikumsschicht zwischen der Gateelektrode und einem entsprechendem Kanalbereich bereitgestellt wird. Das Verfahren umfasst weiterhin das Bereitstellen von p-Typ-Source/Drain-Bereichen, in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, sowie das Bereitstellen von n-Typ-Source/Drain-Bereichen in dem Substrat und angrenzend an einen entsprechenden Kanalbereich. Das Verfahren umfasst weiterhin das Bereitstellen einer III-V-Halbleitermaterialschicht auf zumindest einem Teil der p-Typ-Source/Drain-Bereiche sowie einem Teil der n-Typ-Source/Drain-Bereiche. Das Verfahren umfasst weiterhin das Bereitstellen eines Metallkontaktes auf der III-V-Halbleitermaterialschicht.Another embodiment of the present invention provides a method of forming a semiconductor device. The method includes providing a substrate having a plurality of channel regions, and providing a gate electrode above each channel region, wherein a gate dielectric layer is provided between the gate electrode and a corresponding channel region. The method further includes providing p-type source / drain regions in the substrate and adjacent to a corresponding channel region, and providing n-type source / drain regions in the substrate and adjacent to a corresponding channel region. The method further comprises providing a III-V semiconductor material layer on at least a portion of the p-type source / drain regions and a portion of the n-type source / drain regions. The method further comprises providing a metal contact on the III-V semiconductor material layer.
Die vorangegangene Beschreibung der Ausführungsformen der Erfindung dient zum Zwecke der Veranschaulichung und Beschreibung. Diese ist nicht dazu vorgesehen, erschöpfend zu sein oder die Erfindung auf die exakten, dargestellten Ausführungsformen zu beschränken. Viele unterschiedliche Abwandlungen und Variationen sind im Lichte der Offenbarung denkbar. Es ist vorgesehen, dass der Umfang der Erfindung nicht durch die genaue Beschreibung beschränkt wird, sondern vielmehr durch die anhängenden Ansprüche.The foregoing description of the embodiments of the invention has been presented for purposes of illustration and description. This is not intended to be exhaustive or to limit the invention to the exact embodiments illustrated. Many different variations and variations are conceivable in light of the revelation. It is intended that the scope of the invention be limited not by the precise description, but rather by the appended claims.
Claims (25)
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
PCT/US2011/066132 WO2013095375A1 (en) | 2011-12-20 | 2011-12-20 | Iii-v layers for n-type and p-type mos source-drain contacts |
Publications (2)
Publication Number | Publication Date |
---|---|
DE112011105972T5 true DE112011105972T5 (en) | 2014-09-25 |
DE112011105972B4 DE112011105972B4 (en) | 2023-05-25 |
Family
ID=48669033
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE112011105972.8T Active DE112011105972B4 (en) | 2011-12-20 | 2011-12-20 | III-V layers for N-type and P-type MOS source/drain contacts |
Country Status (5)
Country | Link |
---|---|
US (3) | US9153583B2 (en) |
KR (3) | KR20140097464A (en) |
DE (1) | DE112011105972B4 (en) |
TW (1) | TWI567987B (en) |
WO (1) | WO2013095375A1 (en) |
Families Citing this family (357)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8896066B2 (en) | 2011-12-20 | 2014-11-25 | Intel Corporation | Tin doped III-V material contacts |
WO2013095375A1 (en) | 2011-12-20 | 2013-06-27 | Intel Corporation | Iii-v layers for n-type and p-type mos source-drain contacts |
KR101790153B1 (en) * | 2011-12-27 | 2017-10-26 | 인텔 코포레이션 | Methods to enhance doping concentration in near-surface layers of semiconductors and methods of making same |
US9142649B2 (en) * | 2012-04-23 | 2015-09-22 | United Microelectronics Corp. | Semiconductor structure with metal gate and method of fabricating the same |
US9142400B1 (en) | 2012-07-17 | 2015-09-22 | Stc.Unm | Method of making a heteroepitaxial layer on a seed area |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US10438856B2 (en) | 2013-04-03 | 2019-10-08 | Stmicroelectronics, Inc. | Methods and devices for enhancing mobility of charge carriers |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9105707B2 (en) * | 2013-07-24 | 2015-08-11 | International Business Machines Corporation | ZRAM heterochannel memory |
US10147793B2 (en) | 2013-07-30 | 2018-12-04 | Samsung Electronics Co., Ltd. | FinFET devices including recessed source/drain regions having optimized depths |
US9685509B2 (en) | 2013-07-30 | 2017-06-20 | Samsung Electronics Co., Ltd. | Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US9281401B2 (en) | 2013-12-20 | 2016-03-08 | Intel Corporation | Techniques and configurations to reduce transistor gate short defects |
US9530776B2 (en) * | 2014-01-17 | 2016-12-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET semiconductor device with germanium diffusion over silicon fins |
US9236397B2 (en) * | 2014-02-04 | 2016-01-12 | Globalfoundries Inc. | FinFET device containing a composite spacer structure |
US9431492B2 (en) | 2014-02-21 | 2016-08-30 | Samsung Electronics Co., Ltd. | Integrated circuit devices including contacts and methods of forming the same |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
KR102135301B1 (en) * | 2014-03-26 | 2020-07-17 | 인텔 코포레이션 | Iii-n transistors with enhanced breakdown voltage |
US9947772B2 (en) * | 2014-03-31 | 2018-04-17 | Stmicroelectronics, Inc. | SOI FinFET transistor with strained channel |
US9299781B2 (en) * | 2014-04-01 | 2016-03-29 | Globalfoundries Inc. | Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material |
US9171934B2 (en) | 2014-04-01 | 2015-10-27 | Globalfoundries Inc. | Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein |
US9472628B2 (en) | 2014-07-14 | 2016-10-18 | International Business Machines Corporation | Heterogeneous source drain region and extension region |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
TWI575748B (en) * | 2014-09-01 | 2017-03-21 | 聯華電子股份有限公司 | Pfet and cmos containing same |
EP3195364A4 (en) | 2014-09-18 | 2018-04-25 | Intel Corporation | Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon cmos-compatible semiconductor devices |
KR102311937B1 (en) * | 2014-09-23 | 2021-10-14 | 삼성전자주식회사 | Semiconductor device having contact plug and method of forming the same |
JP6376575B2 (en) | 2014-09-25 | 2018-08-22 | インテル・コーポレーション | III-N epitaxial device structure on free-standing silicon mesa |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10573647B2 (en) | 2014-11-18 | 2020-02-25 | Intel Corporation | CMOS circuits using n-channel and p-channel gallium nitride transistors |
KR102300403B1 (en) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing thin film |
CN106922200B (en) | 2014-12-18 | 2021-11-09 | 英特尔公司 | N-channel gallium nitride transistor |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
WO2016105397A1 (en) | 2014-12-23 | 2016-06-30 | Intel Corporation | Iii-v semiconductor alloys for use in the subfin of non-planar semiconductor devices and methods of forming the same |
WO2016105396A1 (en) * | 2014-12-23 | 2016-06-30 | Intel Corporation | Diffusion tolerant iii-v semiconductor heterostructures and devices including the same |
US9502567B2 (en) * | 2015-02-13 | 2016-11-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor fin structure with extending gate structure |
US9929242B2 (en) | 2015-01-12 | 2018-03-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9418846B1 (en) * | 2015-02-27 | 2016-08-16 | International Business Machines Corporation | Selective dopant junction for a group III-V semiconductor device |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
KR102504576B1 (en) | 2015-05-19 | 2023-02-28 | 인텔 코포레이션 | Semiconductor devices with raised doped crystalline structures |
US9543216B2 (en) * | 2015-06-05 | 2017-01-10 | Globalfoundries Inc. | Integration of hybrid germanium and group III-V contact epilayer in CMOS |
CN107667430B (en) | 2015-06-26 | 2022-07-22 | 英特尔公司 | High mobility semiconductor source/drain spacers |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
KR102349040B1 (en) | 2015-06-26 | 2022-01-10 | 인텔 코포레이션 | Hetero-epitaxial structures with high temperature stable substrate interface material |
US10546858B2 (en) | 2015-06-27 | 2020-01-28 | Intel Corporation | Low damage self-aligned amphoteric FINFET tip doping |
KR102352659B1 (en) * | 2015-06-27 | 2022-01-18 | 인텔 코포레이션 | Low Damage Self-Aligned Amphoteric FINFET Tip Doping |
US9818872B2 (en) | 2015-06-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) * | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9960273B2 (en) * | 2015-11-16 | 2018-05-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit structure with substrate isolation and un-doped channel |
US10658471B2 (en) | 2015-12-24 | 2020-05-19 | Intel Corporation | Transition metal dichalcogenides (TMDCS) over III-nitride heteroepitaxial layers |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
KR102481427B1 (en) | 2016-01-13 | 2022-12-27 | 삼성전자주식회사 | Semiconductor device and method for manufacturing the same |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (en) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming metal interconnection and method of fabricating semiconductor device using the same |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9905663B2 (en) * | 2016-06-24 | 2018-02-27 | International Business Machines Corporation | Fabrication of a vertical fin field effect transistor with a reduced contact resistance |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9824921B1 (en) * | 2016-07-06 | 2017-11-21 | Globalfoundries Inc. | Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US10332986B2 (en) * | 2016-08-22 | 2019-06-25 | International Business Machines Corporation | Formation of inner spacer on nanosheet MOSFET |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US9653464B1 (en) * | 2016-09-14 | 2017-05-16 | International Business Machines Corporation | Asymmetric band gap junctions in narrow band gap MOSFET |
KR102549340B1 (en) | 2016-09-27 | 2023-06-28 | 삼성전자주식회사 | Semiconductor device and method for fabricating the same |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10147719B2 (en) * | 2016-11-17 | 2018-12-04 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor field effect transistors and manufacturing method thereof |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180060328A (en) * | 2016-11-28 | 2018-06-07 | 삼성전자주식회사 | Electronic apparatus for processing multi-modal input, method for processing multi-modal input and sever for processing multi-modal input |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR20180070971A (en) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US20200161440A1 (en) * | 2017-06-30 | 2020-05-21 | Intel Corporation | Metal to source/drain contact area using thin nucleation layer and sacrificial epitaxial film |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US10453753B2 (en) * | 2017-08-31 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET |
US10522680B2 (en) | 2017-08-31 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Finfet semiconductor device structure with capped source drain structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11233053B2 (en) | 2017-09-29 | 2022-01-25 | Intel Corporation | Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111316417B (en) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | Storage device for storing wafer cassettes for use with batch ovens |
JP7206265B2 (en) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | Equipment with a clean mini-environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
WO2019117946A1 (en) * | 2017-12-15 | 2019-06-20 | Intel Corporation | Reducing off-state leakage in semiconductor devices |
WO2019132858A1 (en) * | 2017-12-26 | 2019-07-04 | Intel Corporation | Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nmos transistors |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (en) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | Method for depositing gap filling layer by plasma auxiliary deposition |
TW202325889A (en) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
KR20190128558A (en) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
TW202349473A (en) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TW202013553A (en) | 2018-06-04 | 2020-04-01 | 荷蘭商Asm 智慧財產控股公司 | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10840052B2 (en) * | 2018-06-22 | 2020-11-17 | International Business Machines Corporation | Planar gate-insulated vacuum channel transistor |
CN112292478A (en) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11410890B2 (en) * | 2018-06-28 | 2022-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Epitaxial layers in source/drain contacts and methods of forming the same |
KR20200002519A (en) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (en) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN112930591A (en) | 2018-09-18 | 2021-06-08 | 应用材料公司 | In-situ integrated chamber |
CN110970344A (en) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | Substrate holding apparatus, system including the same, and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (en) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming device structure, structure formed by the method and system for performing the method |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR20200091543A (en) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
WO2020163104A1 (en) | 2019-02-08 | 2020-08-13 | Applied Materials, Inc. | Semiconductor device, method of making a semiconductor device, and processing system |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
TW202104632A (en) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
JP2020136678A (en) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for filing concave part formed inside front surface of base material, and device |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202100794A (en) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
JP2020167398A (en) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of using a gas-phase reactor system including analyzing exhausted gas |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP2021015791A (en) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | Plasma device and substrate processing method using coaxial waveguide |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TW202121506A (en) | 2019-07-19 | 2021-06-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
CN112309843A (en) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | Selective deposition method for achieving high dopant doping |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (en) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
TW202129060A (en) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | Substrate processing device, and substrate processing method |
TW202115273A (en) | 2019-10-10 | 2021-04-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a photoresist underlayer and structure including same |
KR20210045930A (en) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of Topology-Selective Film Formation of Silicon Oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP2021090042A (en) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210078405A (en) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (en) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (en) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for growing phosphorous-doped silicon layer and system of the same |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Vertical batch furnace assembly comprising a cooling gas supply |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
CN111613666B (en) * | 2020-06-04 | 2023-04-18 | 英诺赛科(珠海)科技有限公司 | Semiconductor assembly and its manufacturing method |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR20220010438A (en) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
TW202212623A (en) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202235675A (en) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Injector, and substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100475084B1 (en) | 2002-08-02 | 2005-03-10 | 삼성전자주식회사 | DRAM semiconductor device and fabrication method thereof |
US20050266654A1 (en) | 2004-05-27 | 2005-12-01 | Hattendorf Michael L | Barrier to amorphization implant |
US7518196B2 (en) | 2005-02-23 | 2009-04-14 | Intel Corporation | Field effect transistor with narrow bandgap source and drain regions and method of fabrication |
US8188551B2 (en) * | 2005-09-30 | 2012-05-29 | Infineon Technologies Ag | Semiconductor devices and methods of manufacture thereof |
US7560780B2 (en) | 2005-12-08 | 2009-07-14 | Intel Corporation | Active region spacer for semiconductor devices and method to form the same |
DE102006030261B4 (en) | 2006-06-30 | 2011-01-20 | Advanced Micro Devices, Inc., Sunnyvale | A method of fabricating a drain / source extension structure of a reduced boron diffusion transistor field effect transistor |
US7943469B2 (en) | 2006-11-28 | 2011-05-17 | Intel Corporation | Multi-component strain-inducing semiconductor regions |
KR100864631B1 (en) * | 2007-02-23 | 2008-10-22 | 주식회사 하이닉스반도체 | Transistor of semiconductor device and method for fabricating the same |
US7864120B2 (en) | 2007-05-31 | 2011-01-04 | Palm, Inc. | High isolation antenna design for reducing frequency coexistence interference |
US10236032B2 (en) | 2008-09-18 | 2019-03-19 | Novachips Canada Inc. | Mass data storage system with non-volatile memory modules |
US9245805B2 (en) | 2009-09-24 | 2016-01-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Germanium FinFETs with metal gates and stressors |
KR101669470B1 (en) | 2009-10-14 | 2016-10-26 | 삼성전자주식회사 | Semiconductor device including metal silicide layer |
US8455334B2 (en) * | 2009-12-04 | 2013-06-04 | International Business Machines Corporation | Planar and nanowire field effect transistors |
US20120187505A1 (en) * | 2011-01-25 | 2012-07-26 | International Business Machines Corporation | Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation |
US20120305891A1 (en) * | 2011-06-03 | 2012-12-06 | Nayfeh Osama M | Graphene channel transistors and method for producing same |
US8823011B2 (en) * | 2011-08-17 | 2014-09-02 | Bae Systems Information And Electronic Systems Integration Inc. | High linearity bandgap engineered transistor |
US8420459B1 (en) * | 2011-10-20 | 2013-04-16 | International Business Machines Corporation | Bulk fin-field effect transistors with well defined isolation |
US8896066B2 (en) | 2011-12-20 | 2014-11-25 | Intel Corporation | Tin doped III-V material contacts |
KR101560112B1 (en) | 2011-12-20 | 2015-10-13 | 인텔 코포레이션 | Self-aligned contact metallization for reduced contact resistance |
WO2013095375A1 (en) | 2011-12-20 | 2013-06-27 | Intel Corporation | Iii-v layers for n-type and p-type mos source-drain contacts |
US20130299895A1 (en) | 2012-05-09 | 2013-11-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Iii-v compound semiconductor device having dopant layer and method of making the same |
-
2011
- 2011-12-20 WO PCT/US2011/066132 patent/WO2013095375A1/en active Application Filing
- 2011-12-20 KR KR1020147017474A patent/KR20140097464A/en active Search and Examination
- 2011-12-20 KR KR1020167009740A patent/KR101790605B1/en active IP Right Grant
- 2011-12-20 DE DE112011105972.8T patent/DE112011105972B4/en active Active
- 2011-12-20 US US13/976,074 patent/US9153583B2/en active Active
- 2011-12-20 KR KR1020177030300A patent/KR101891458B1/en active IP Right Grant
-
2012
- 2012-12-17 TW TW101147882A patent/TWI567987B/en active
-
2015
- 2015-10-05 US US14/875,167 patent/US9397102B2/en active Active
-
2016
- 2016-07-18 US US15/212,991 patent/US9705000B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
KR101790605B1 (en) | 2017-10-27 |
KR101891458B1 (en) | 2018-08-24 |
US20160027781A1 (en) | 2016-01-28 |
US9705000B2 (en) | 2017-07-11 |
US20130285155A1 (en) | 2013-10-31 |
US9153583B2 (en) | 2015-10-06 |
TWI567987B (en) | 2017-01-21 |
US9397102B2 (en) | 2016-07-19 |
US20160329431A1 (en) | 2016-11-10 |
TW201342612A (en) | 2013-10-16 |
DE112011105972B4 (en) | 2023-05-25 |
KR20160045923A (en) | 2016-04-27 |
KR20170120208A (en) | 2017-10-30 |
WO2013095375A1 (en) | 2013-06-27 |
KR20140097464A (en) | 2014-08-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE112011105972B4 (en) | III-V layers for N-type and P-type MOS source/drain contacts | |
DE112013007031B4 (en) | Devices based on selectively epitaxially grown III-V materials | |
US9754940B2 (en) | Self-aligned contact metallization for reduced contact resistance | |
DE102020103710A1 (en) | Rear contacts for semiconductor devices | |
DE112011105970B4 (en) | CMOS implementation from germanium and III-V nanowires and nanobelts in gate all-round architecture | |
DE102019121928A1 (en) | STACKED NANO WIRE TRANSISTOR STRUCTURE WITH DIFFERENT CHANNEL GEOMETRIES FOR LOADING | |
DE102019116859A1 (en) | METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE, AND A SEMICONDUCTOR DEVICE | |
DE112016007299T5 (en) | BACK SOURCE / DRAIN REPLACEMENT FOR SEMICONDUCTOR ELEMENTS WITH METALLIZATION ON BOTH SIDES | |
DE112013005625T5 (en) | Implementation of thin transistor elements from silicon to silicon germanium | |
DE102017122830A1 (en) | METHOD FOR PRODUCING A SEMICONDUCTOR COMPONENT AND A SEMICONDUCTOR COMPONENT | |
DE102016115986A1 (en) | SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF | |
DE112018002294B4 (en) | VERTICAL TRANSPORT TRANSISTORS WITH EQUAL GATE STACK THICKNESSES AND RELATED MANUFACTURING PROCESS | |
DE112016006691T5 (en) | Transistor Gate Trenching processing to reduce capacitance and resistance | |
DE112018003323T5 (en) | USE A MULTI-LAYER GATE SPACER TO REDUCE THE EROSION OF A SEMICONDUCTOR FIN DURING A SPACER STRUCTURE | |
DE112017008046T5 (en) | PMOS AND NMOS CONTACTS IN A COMMON TRENCH | |
DE112017008312T5 (en) | HETEROGENOUS GE / III-V CMOS TRANSISTOR STRUCTURES | |
DE112013007051T5 (en) | CMOS-compatible polycide fuse structure and method of making the same | |
DE112020005273B4 (en) | Method for forming a self-aligned dielectric column nanosheet transistor | |
DE112017007849T5 (en) | DOPED INSULATOR CAP TO REDUCE SOURCE / DRAIN DIFFUSION FOR GERMANIUM NMOS TRANSISTORS | |
DE102018108821A1 (en) | METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE | |
DE112017007829T5 (en) | SOURCE / DRAIN DIFFUSION BARRIER FOR GERMANIUM NMOS TRANSISTORS | |
DE112016006664T5 (en) | CORROSION OF A GRATERAL NECK TO PROVIDE A DOUBLE DIVERSE | |
DE112015006960T5 (en) | LANG CHANNEL MOS TRANSISTORS FOR CRAZY LOSS ARMS APPLICATIONS ON A SHORT CHANNEL CMOS CHIP | |
DE112018005420T5 (en) | THIN FILM TRANSISTORS WITH LOW CONTACT RESISTANCE | |
DE102019109878A1 (en) | Method of manufacturing a semiconductor device and semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R012 | Request for examination validly filed | ||
R082 | Change of representative |
Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE |
|
R079 | Amendment of ipc main class |
Free format text: PREVIOUS MAIN CLASS: H01L0029780000 Ipc: H01L0029410000 |
|
R081 | Change of applicant/patentee |
Owner name: GOOGLE LLC, MOUNTAIN VIEW, US Free format text: FORMER OWNER: INTEL CORPORATION, SANTA CLARA, CALIF., US |
|
R082 | Change of representative |
Representative=s name: BETTEN & RESCH PATENT- UND RECHTSANWAELTE PART, DE |
|
R016 | Response to examination communication | ||
R016 | Response to examination communication | ||
R018 | Grant decision by examination section/examining division | ||
R020 | Patent grant now final |