DE112011105972T5 - III-V layers for N-type and P-type MOS source / drain contacts - Google Patents

III-V layers for N-type and P-type MOS source / drain contacts Download PDF

Info

Publication number
DE112011105972T5
DE112011105972T5 DE112011105972.8T DE112011105972T DE112011105972T5 DE 112011105972 T5 DE112011105972 T5 DE 112011105972T5 DE 112011105972 T DE112011105972 T DE 112011105972T DE 112011105972 T5 DE112011105972 T5 DE 112011105972T5
Authority
DE
Germany
Prior art keywords
iii
drain regions
material layer
semiconductor material
type source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112011105972.8T
Other languages
German (de)
Other versions
DE112011105972B4 (en
Inventor
Glenn A. Glass
Anand S. Murthy
Tahir Ghani
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Google LLC
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112011105972T5 publication Critical patent/DE112011105972T5/en
Application granted granted Critical
Publication of DE112011105972B4 publication Critical patent/DE112011105972B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7809Vertical DMOS transistors, i.e. VDMOS transistors having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

Es werden Technologien für die Ausbildung von Transistorbauteilen offenbart, welche einen verringerten parasitären Kontaktwiderstand im Vergleich zu herkömmlichen Bauteilen aufweisen. Bei manchen beispielhaften Ausführungsformen können diese Technologieren dazu verwendet werden, um die Kontakte von MOS-Transistoren eines CMOS-Bauteils umzusetzen, wobei eine Zwischen-III-V-Halbleitermaterialschicht zwischen den p-Typ- und den n-Typ-Source/Drain-Bereichen sowie deren zugehörigen Metallkontakten bereitgestellt wird, um den Kontaktwiderstand deutlich zu verringern. Die zwischen III-V-Halbleitermaterialschicht kann eine kleine Bandlücke aufweisen (zum Beispiel weniger als 0,5 eV), und/oder anderweitig dotiert sein, um die benötigte Leitfähigkeit bereitzustellen. Diese Technologien können auf vielfältige Transistorarchitekturen angewendet werden (z. B. auf Planare Transistoren, gerippte Transistoren sowie Nanodraht-Transistoren), einschließlich auf gespannte und ungespannte Kanalstrukturen.Technologies for the formation of transistor components are disclosed which have a reduced parasitic contact resistance compared to conventional components. In some exemplary embodiments, these technologies may be used to implement the contacts of MOS transistors of a CMOS device, with an intermediate III-V semiconductor material layer between the p-type and n-type source / drain regions and their associated metal contacts is provided in order to significantly reduce the contact resistance. The intermediate III-V semiconductor material layer can have a small band gap (for example less than 0.5 eV) and / or be doped in some other way in order to provide the required conductivity. These technologies can be applied to a wide variety of transistor architectures (e.g., planar transistors, finned transistors, and nanowire transistors), including strained and unstressed channel structures.

Description

HINTERGRUNDBACKGROUND

Die gesteigerte Leistungsfähigkeit von Schaltkreisbauteilen einschließlich Transistoren, Dioden, Widerstanden, Kondensatoren sowie anderen passiven und aktiven elektronischen Bauteilen, welche auf einem Halbleitersubstrat ausgebildet werden, ist typischerweise bei der Entwicklung, der Herstellung sowie beim Betrieb derartiger Bauteile ein wesentlicher Faktor. Beispielsweise wird bei der Entwicklung sowie bei der Herstellung oder Ausbildung von Metalloxidhalbleiter(MOS)-Transistorhalbleiterbauteilen, etwa solchen, welche in einem komplementären Metalloxidhalbleiter (CMOS) verwendet werden, häufig angestrebt, den mit den Kontakten verbundenen parasitären Widerstand zu minimieren, welcher auch als externer Widerstand „Rext” bekannt ist. Ein verringerter Rext ermöglicht einen höheren Strom bei gleicher Transistorgeometrie.The increased performance of circuit components including transistors, diodes, resistors, capacitors, and other passive and active electronic components formed on a semiconductor substrate is typically a significant factor in the design, manufacture, and operation of such devices. For example, in the development and fabrication or formation of metal oxide semiconductor (MOS) transistor semiconductor devices, such as those used in a complementary metal-oxide semiconductor (CMOS), it is often desirable to minimize the parasitic resistance associated with the contacts, which may also be external Resistance "Rext" is known. A reduced Rext allows a higher current with the same transistor geometry.

KURZBESCHREIBUNG DER FIGURENBRIEF DESCRIPTION OF THE FIGURES

Die 1A zeigt ein Verfahren für die Ausbildung einer Transistorstruktur mit niedrigem Kontaktwiderstand gemäß einer Ausführungsform der vorliegenden Erfindung.The 1A FIG. 12 shows a method of forming a low contact resistance transistor structure according to an embodiment of the present invention. FIG.

Die 1B zeigt ein Verfahren für die Ausbildung einer Transistorstruktur mit niedrigem Kontaktwiderstand gemäß einer anderen Ausführungsform der vorliegenden Erfindung.The 1B FIG. 12 shows a method of forming a low contact resistance transistor structure according to another embodiment of the present invention. FIG.

Die 2A bis 2F veranschaulichen Strukturen, die ausgebildet werden, wenn das Verfahren gemäß 1A ausgeführt wird, gemäß einer Ausführungsform der vorliegenden Erfindung.The 2A to 2F illustrate structures that are formed when the method according to 1A is executed, according to an embodiment of the present invention.

Die 3A bis 3C veranschaulichen alternative Strukturen, die ausgebildet werden, wenn das Verfahren gemäß 1B ausgeführt wird, gemäß einer anderen Ausführungsform der vorliegenden Erfindung.The 3A to 3C illustrate alternative structures that are formed when the method according to 1B is carried out according to another embodiment of the present invention.

Die 4A–E zeigen jeweils eine perspektivische Ansicht einer nicht-planaren Transistorarchitektur, welche gemäß einer Ausführungsform der vorliegenden Erfindung aufgebaut ist.The 4A Figures 1-E are each a perspective view of a non-planar transistor architecture constructed in accordance with an embodiment of the present invention.

Die 5 veranschaulicht ein Computersystem, welches mit einer oder mit mehreren Transistorstrukturen gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung umgesetzt ist.The 5 FIG. 12 illustrates a computer system implemented with one or more transistor structures in accordance with an exemplary embodiment of the present invention.

Wie zu erkennen ist, sind die Figuren nicht notwendigerweise maßstabsgetreu gezeichnet, oder dazu vorgesehen, die beanspruchte Erfindung auf die dargestellten, besonderen Anordnungen zu beschränken. Beispielsweise kann vorgesehen sein, obwohl manche Figuren grundsätzlich gerade Linien, rechte Winkel und glatte Oberflächen zeigen, dass bei einer tatsächlichen Umsetzung eine Transistorstruktur weniger perfekte, gerade Linien und rechte Winkel aufweist, wobei manche Elemente eine Oberflächentopologie oder anderweitig unglatte Oberfläche aufweisen können, entsprechend den gegebenen Limitierungen der verwendeten Verarbeitungsgeräte und -technologien. Kurz gesagt dienen die Figuren lediglich dazu, beispielhafte Strukturen zu veranschaulichen.As can be appreciated, the figures are not necessarily drawn to scale, or intended to limit the claimed invention to the particular arrangements shown. For example, although some figures generally show straight lines, right angles, and smooth surfaces, in actual implementation, a transistor structure may have less perfect, straight lines and right angles, with some elements having a surface topology or otherwise unsmooth surface, as appropriate given limitations of the processing equipment and technologies used. In short, the figures are merely illustrative of exemplary structures.

Es werden Technologien für die Ausbildung von Transistorbauteilen offenbart, welche im Vergleich zu konventionellen Bauteilen einen verringerten parasitären Kontaktwiderstand aufweisen. Diese Technologien können beispielsweise an dem Punkt im Halbleiterverarbeitungsvorgang umgesetzt werden, wo die herkömmliche Kontaktverarbeitung ein Silizid unmittelbar auf einen Silizium-Source/Drain-Bereich vorsehen würde, unter Verwendung eines Standardkontaktstapels, etwa einer Abfolge von Metallen auf Silizium(Si)-, Silizium-Germanium(SiGe)- oder Germanium(Ge)-Source/Drain-Bereichen. Bei manchen beispielhaften Ausführungsformen können diese Technologien dazu verwendet werden, um die Kontakte von MOS-Transistoren eines CMOS-Bauteils auszubilden, wobei eine Zwischen-III-V-Halbleitermaterialschicht zwischen den p-Typ- und den n-Typ-Source/Drain-Bereichen und deren entsprechenden Kontaktmetallen bereitgestellt wird, um den Kontaktwiderstand wesentlich zu verringern. Die Zwischen-III-V-Halbleitermaterialschicht kann eine kleine Bandlücke (zum Beispiel weniger als 0,5 eV) aufweisen und/oder dotiert sein, um die gewünschte Leitfähigkeit aufzuweisen. Diese Technologien können auf vielzählige Transistorarchitekturen (zum Beispiel planare, gerippte sowie Nanodraht-Transistoren) angewendet werden, einschließlich auf gespannte und ungespannte Kanalstrukturen.Technologies for the formation of transistor devices are disclosed which have a reduced parasitic contact resistance compared to conventional devices. These technologies may, for example, be implemented at the point in the semiconductor processing operation where conventional contact processing would provide silicide directly on a silicon source / drain region using a standard contact stack, such as a sequence of metals on silicon (Si), silicon Germanium (SiGe) or germanium (Ge) source / drain regions. In some example embodiments, these technologies may be used to form the contacts of MOS transistors of a CMOS device, with an inter III-V semiconductor material layer between the p-type and n-type source / drain regions and their respective contact metals are provided to substantially reduce the contact resistance. The intermediate III-V semiconductor material layer may have a small bandgap (for example, less than 0.5 eV) and / or be doped to have the desired conductivity. These technologies can be applied to a variety of transistor architectures (eg, planar, ridge, and nanowire transistors), including strained and unstressed channel structures.

Allgemeiner ÜberblickGeneral overview

Wie zuvor beschrieben wurde, kann in dem Transistor eine erhöhte Steuerstromstärke durch eine Verringerung des Bauteilwiderstandes erreicht werden. Der Kontaktwiderstand ist ein Komponente des Gesamtwiderstands eines Bauteils. Ein typischer Transistorkontaktstapel umfasst beispielsweise eine Silizium- oder SiGe-Source/Drain-Schicht, eine Silizid-Germanid-Schicht, eine Titan-Nitrit-Haftschicht und einen Wolframkontakt/-stecker. Silizide und Germanid von Metallen wie Nickel, Platin, Titan, Kobalt usw. können vor der Abscheidung des Wolframsteckers auf den Source/Drain-Bereichen ausgebildet werden. Bei derartigen Anordnungen ist der Kontaktwiderstand vergleichsweise hoch und letztlich begrenzt durch die Silizium- oder SiGe-Valenzbandausrichtung an das Pinningniveau in dem Metall. In der Praxis umfassen typische Ansätze für das Ausbilden von Kontakten grundsätzlich Legierungen mit Bandlücken zwischen 0,5–1,5 eV oder mehr. Während manche dieser Ansätze für die n-Typ-Transistorstrukturen geeignet sein mögen, sind sie jedoch für p-Typ-Transistorstrukturen ungeeignet.As described above, in the transistor, increased control current can be achieved by reducing the component resistance. The contact resistance is a component of the total resistance of a component. A typical transistor contact stack includes, for example, a silicon or SiGe source / drain layer, a silicide germanide layer, a titanium nitride adhesion layer, and a tungsten contact / plug. Silicides and germanides of metals such as nickel, platinum, titanium, cobalt, etc., can be formed on the source / drain regions prior to deposition of the tungsten plug. In such arrangements, the contact resistance is comparatively high and ultimately limited by the silicon or SiGe valence band alignment to the pinning level in the metal. In practice, typical approaches to this include Forming contacts basically alloys with band gaps between 0.5-1.5 eV or more. While some of these approaches may be suitable for the n-type transistor structures, they are unsuitable for p-type transistor structures.

Demgemäß wird gemäß einer Ausführungsform der vorliegenden Erfindung eine Zwischen-III-V-Halbleitermaterialschicht nach der Source/Drain-Ausbildung, jedoch vor der Metallkontaktabscheidung abgeschieden. Es ist zu beachten, dass dieselbe Zwischen-III-V-Halbleitermaterialschicht sowohl über den p-Typ- als auch über den n-Typ-Source/Drain-Bereichen abgeschieden werden kann. Bei manchen Ausführungsformen ist die III-V-Materialschicht danach ausgewählt, eine enge Bandlücke aufzuweisen, beispielsweise Indiumantimonid (InSb) oder andere verwandte Verbindungen mit Bandlücken unterhalb von 0,5 eV, einschließlich verschiedener Kombinationen von Aluminium (Al), Gallium (Ga), Indium (In), Phosphor (P), Arsen (As) und/oder Antimon (Sb). Solche III-V-Materialschichten mit kleiner Bandlücke können beispielsweise dazu verwendet werden, um MOS-Transistor-Source/Drain-Bereichen, wie p-Typ- und n-Typ-Si-, oder -SiGe-Legierungen sowie Ge-Source/Drain-Bereichen gute Kontakteigenschaften zu verschaffen. Bei anderen Ausführungsformen können III-V-Materialien mit beliebiger Bandlücke abgeschieden und dotiert werden, wodurch deren Leitfähigkeit auf ein Niveau angehoben wird, dass vergleichbar mit dem von III-V-Materialien mit kleiner Bandlücke ist, oder auf ein für die gegebene Anwendung anderweitig akzeptables Leitfähigkeitsniveaus.Accordingly, according to one embodiment of the present invention, an intermediate III-V semiconductor material layer is deposited after the source / drain formation, but before the metal contact deposition. It should be noted that the same intermediate III-V semiconductor material layer can be deposited over both the p-type and n-type source / drain regions. In some embodiments, the III-V material layer is selected to have a narrow band gap, such as indium antimonide (InSb) or other related compounds with bandgaps below 0.5 eV, including various combinations of aluminum (Al), gallium (Ga), Indium (In), phosphorus (P), arsenic (As) and / or antimony (Sb). Such narrow bandgap III-V material layers may be used, for example, to provide MOS transistor source / drain regions, such as p-type and n-type Si or SiGe alloys, and Ge source / drain Areas to provide good contact properties. In other embodiments, any bandgap III-V materials may be deposited and doped, thereby raising their conductivity to a level comparable to that of III-V narrow bandgap materials, or otherwise acceptable for the given application conductivity levels.

Es ist festzuhalten, dass bei manchen Ausführungsformen das III-V-Halbleitermaterial undotiert bleiben kann, insbesondere bei III-V-Materialien mit Bandlücken von weniger als 0,5 eV, da die thermische Ladungsträgerausbildung in Materialien mit niedriger Bandlücke bereits bei Zimmertemperatur ausreichend ist, um eine hohe Leitfähigkeit zu erzielen. Bei anderen Ausführungsformen, bei denen eine Dotierung verwendet wird, etwa bei denjenigen, die III-V-Materialien mit beliebiger Bandlücke verwenden, kann das Dotieren auf eine Vielzahl von Art und Weisen ausgeführt werden, einschließlich mit Hilfe von in-situ- als und/oder ex-situ-Dotierungstechnologien. Manche dieser Ausführungsformen umfassen die Verwendung von III-V-Materialien, welche ausreichend hohe Dotierungsniveaus eines Spalte-IV-Dotanden wie Kohlenstoff, Silizium, Germanium oder Zink aufweisen. Bei sehr hohen Dotierungsniveaus (zum Beispiel mit einer Substitutionskonzentration von mehr als 1E18 Atome/cm3), fügen diese amphoteren Dotanden Ladungsträger sowohl im Valenz- als auch im Leitungsband hinzu, wodurch die Ladungsträgerkonzentration für beide Ladungsträgerarten erhöht wird. In machen dieser Fälle wird die Dotierung in-situ durchgeführt. Bei anderen Ausführungsformen wird eine intrinsische III-V-Materialschicht abgeschieden, gefolgt von einem ex-situ-Dotierungsprozess, etwas von Ionenimplantation oder Diffusionsdotierung, um die benötigte Leitfähigkeit bereitzustellen (zum Beispiel eine Leitfähigkeit mit Werten von beispielsweisen 100 bis 500 S/cm3). In manchen beispielhaften Fällen kann die III-V-Materialschicht derart dotiert werden, dass die p-Typ-Bereiche ein erstes Dotierungschema und die n-Typ-Bereiche ein zweites Dotierungsschema aufweisen. Beispielsweise können die n-Typ-Source/Drain-Bereiche beispielsweise mit Silizium, Germanium, Tellur und die p-Typ-Source/Drain-Bereiche mit Zink oder Cadmium dotiert werden. Wie es im Lichte der Offenbarung zu erkennen ist, umfassen solche Ausführungsformen mit mehreren Dotierungsschemen grundsätzlich zusätzliche Strukturierungsschritte.Note that in some embodiments, the III-V semiconductor material may remain undoped, especially for III-V materials with bandgaps less than 0.5 eV, since thermal carrier formation in low-band-gap materials is sufficient even at room temperature, to achieve a high conductivity. In other embodiments where doping is used, such as those using arbitrary bandgap III-V materials, doping can be performed in a variety of ways, including with the aid of in-situ and / or or ex-situ doping technologies. Some of these embodiments involve the use of III-V materials that have sufficiently high doping levels of a column IV dopant such as carbon, silicon, germanium, or zinc. At very high doping levels (for example, with a substitution concentration greater than 1E18 atoms / cm 3), these amphoteric dopants add carriers in both the valence and the conduction band added, making the carrier concentration is increased for both types of charge carriers. In these cases, the doping is carried out in-situ. In other embodiments, an intrinsic III-V material layer is deposited, followed by an ex-situ doping process, some of ion implantation or diffusion doping to provide the required conductivity (eg, conductivity with values of, for example, 100 to 500 S / cm 3 ). , In some exemplary cases, the III-V material layer may be doped such that the p-type regions have a first doping scheme and the n-type regions have a second doping scheme. For example, the n-type source / drain regions may be doped with, for example, silicon, germanium, tellurium, and the p-type source / drain regions with zinc or cadmium. As can be seen in the light of the disclosure, such embodiments with multiple doping schemes basically include additional structuring steps.

Es wird weiterhin festgehalten, dass die III-V-Materialschicht dazu verwendet werden kann, um den Kontaktwiderstand in einer beliebigen Anzahl von Transistorstrukturen sowie anderen Konfigurationen zu verbessern, einschließen in Planaren Strukturen, Strukturen mit erhöhtem Source/Drain-Bereich, in nichtplanaren Strukturen (zum Bespiel in Nanodrahttransistoren und gerippten Transistoren wie DoppelGate- und Dreifach-Gate-Transistor-Strukturen) als auch in gespannten und unverspannten Kanalstrukturen. Darüber hinaus können die Transistorstrukturen Source- und Drain-Spitzenbereiche umfassen, die darauf ausgelegt sind, um beispielsweise den Gesamtwiderstand des Transistors zu verringern, bei gleichzeitiger Verbesserung des Kurzkanaleffektes (SCE), wie es manchmal vorgesehen ist. Eine Vielzahl strukturierter Elemente kann in Verbindung mit einer zuvor beschriebenen III-V-Halbleitermaterialschicht verwendet werden.It is further noted that the III-V material layer can be used to enhance contact resistance in any number of transistor structures as well as other configurations, including planar structures, raised source / drain structures, nonplanar structures (US Pat. for example in nanowire transistors and ripple transistors such as double-gate and triple-gate transistor structures) as well as in stressed and unstressed channel structures. Moreover, the transistor structures may include source and drain tip regions configured to, for example, reduce the overall resistance of the transistor while enhancing the short channel effect (SCE), as sometimes envisioned. A variety of patterned elements may be used in conjunction with a previously described III-V semiconductor material layer.

Die Transistorstruktur kann p-Typ-Source/Drain-Bereiche, n-Typ-Source/Drain-Bereiche, oder sowohl n-Typ- als auch p-Typ-Source/Drain-Bereiche umfassen. Bei manchen beispielhaften Ausführungsformen umfasst die Transistorstruktur dotandenimplantierte Source/Drain-Bereiche oder epitaktische (oder polykristalline) Austausch-Source/Drain-Bereiche aus Silizium, SiGe-Legierungen oder nominell reine Germaniumschichten (beispielsweise solche mit weniger als 10% Silizium) in einer MOS-Struktur. Bei jeder derartigen Ausführungsform kann gemäß einer Ausführungsform der vorliegenden Erfindung eine Schicht oder ein Deckel aus III-V-Halbleitermaterial direkt über den Source/Drain-Bereichen ausgebildet werden. Die III-V-Materialschicht kann auch direkt über anderen Teilen der Transistorstruktur ausgebildet werden. Etwa über PolyGates und/oder Erdungskontaktbereichen, oder über anderen derartigen Bereichen, bei denen ein niedriger Kontaktwiderstand erforderlich ist, sofern dies gewünscht ist.The transistor structure may include p-type source / drain regions, n-type source / drain regions, or both n-type and p-type source / drain regions. In some example embodiments, the transistor structure comprises doped-implanted source / drain regions or epitaxial (or polycrystalline) exchange source / drain regions of silicon, SiGe alloys, or nominally pure germanium layers (eg, those with less than 10% silicon) in a MOS. Structure. In any such embodiment, according to one embodiment of the present invention, a layer or cap of III-V semiconductor material may be formed directly over the source / drain regions. The III-V material layer can also be formed directly over other parts of the transistor structure. For example, over PolyGates and / or ground contact areas, or over other such areas where low contact resistance is required, if desired.

Untersuchungen (zum Beispiel Raster-Elektronenmikroskopie und/oder Zusammensetzungsanalysen) haben gezeigt, dass eine gemäß einer Ausführungsform der vorliegenden Erfindung ausgebildete Strukturzusammensetzung eine zusätzliche III-V-Halbleitermaterialschicht aufweisen wird, die beispielsweise Zusammensetzungen von Al, Ga, In, P, As und/oder Sb (neben irgendwelchen Dotanden, welche die Leitfähigkeit auf ein annehmbares Niveau anheben, falls notwendig) aufweisen, und einen Kontaktwiderstand ausbilden, der geringer als der Kontaktwiderstand von Bauteilen ist, welche mit konventionellen Silizid- und Germanitkontaktprozessen ausgebildet sind. Es sollte anerkannt werden, dass jegliche Anzahl von Halbleiterbauteilen oder Schaltkreisen, bei denen der Bedarf nach Kontakten mit hoher Leistungsfähigkeit besteht, von den hier beschriebenen Technologien zur Bereitstellung von Kontakten mit niedrigem Widerstand profitieren können. Studies (for example, scanning electron microscopy and / or compositional analyzes) have shown that a structural composition formed according to one embodiment of the present invention will have an additional III-V semiconductor material layer comprising, for example, Al, Ga, In, P, As and / or compositions. or Sb (besides any dopants which raise the conductivity to an acceptable level, if necessary) and form a contact resistance that is less than the contact resistance of devices formed with conventional silicide and germanite contact processes. It should be appreciated that any number of semiconductor devices or circuits where there is a need for high performance contacts can benefit from the low resistance contact technology described herein.

Daher bieten die Transistorstrukturen, welche gemäß Ausführungsformen der vorliegenden Erfindung ausgebildet sind, eine Verbesserung gegenüber konventionellen Strukturen hinsichtlich eines niedrigeren Kontaktwiderstandes. Verschiedene Prozessänderungen können sich im Lichte der Offenbarung ergeben. Beispielsweise kann das III-V-Halbleitermaterial auf den Source/Drain-Bereichen abgeschieden werden, bevor eine Nichtleiterschicht über der Source/Drain-Schicht abgeschieden wird. Alternativ kann das III-V-Halbleitermaterial auf den Source/Drain-Bereichen abgeschieden werden, nachdem eine Nichtleiterschicht über den Source/Drain-Schichtbereichen abgeschieden worden ist und nachdem Kontaktgräben in die Source/Drain-Schicht geätzt worden sind.Therefore, the transistor structures formed in accordance with embodiments of the present invention offer an improvement over conventional structures in terms of lower contact resistance. Various process changes may arise in the light of the disclosure. For example, the III-V semiconductor material may be deposited on the source / drain regions before depositing a dielectric layer over the source / drain layer. Alternatively, the III-V semiconductor material may be deposited on the source / drain regions after a dielectric layer has been deposited over the source / drain layer regions and after contact trenches have been etched into the source / drain layer.

Methodik und AufbauMethodology and structure

Die 1A zeigt ein Verfahren für die Ausbildung einer Transistorstruktur mit niedrigem Kontaktwiderstand gemäß einer Ausführungsform der vorliegenden Erfindung. Die 2A bis 2F veranschaulichen beispielhafte Strukturen, welche ausgebildet werden, wenn das Verfahren gemäß manchen Ausführungsformen durchgeführt wird.The 1A FIG. 12 shows a method of forming a low contact resistance transistor structure according to an embodiment of the present invention. FIG. The 2A to 2F illustrate example structures that are formed when the method is performed according to some embodiments.

Das beispielhafte Verfahren umfasst das Ausbilden 102 eines oder mehrerer Gatestapel auf einem Halbleitersubstrat, auf dem ein MOS-Bauteil ausgebildet werden kann. Das MOS-Bauteil kann NMOS- oder PMOS-Transistoren, oder sowohl NMOS- als auch PMOS-Transistoren (zum Beispiel für CMOS-Bauteile) aufweisen. Die 2A zeigt beispielhaft eine sich ergebende Struktur, welche in diesem Falle sowohl NMOS- als auch PMOS-Transistoren umfasst, die auf demselben Substrat 300 und durch eine Shallow-Trench-Isolation (STI) voneinander getrennt ausgebildet sind. Andere geeignete Isolationsarten zwischen p-Typ- und n-Typ-Bereichen können ebenso verwendet werden. Wie zu erkennen ist, wird jeder Gatestapel über einem Kanalbereich eines Transistors ausgebildet und umfasst eine Gatedielektrikumsschicht 302, eine Gateelektrode 304, eine optionale Hartmaske 306, sowie Abstandshalter 310 angrenzend an den Gatestapel ausgebildet sind.The exemplary method includes forming 102 one or more gate stacks on a semiconductor substrate on which a MOS device can be formed. The MOS device may include NMOS or PMOS transistors, or both NMOS and PMOS transistors (for example, CMOS devices). The 2A shows by way of example a resulting structure, which in this case comprises both NMOS and PMOS transistors mounted on the same substrate 300 and formed by a shallow trench isolation (STI) are separated from each other. Other suitable types of isolation between p-type and n-type regions may also be used. As can be seen, each gate stack is formed over a channel region of a transistor and includes a gate dielectric layer 302 , a gate electrode 304 , an optional hard mask 306 , as well as spacers 310 are formed adjacent to the gate stack.

Das Gatedielektrikum 302 kann beispielsweise irgendein geeignetes Oxid, etwa Siliziumdioxid (SiO2) oder ein Gatedielektrikumsmaterial mit hohem k-Wert sein. Beispiele für Dielektrikumsmaterialien mit hohem k-Wert umfassen beispielsweise Hafniumoxid, Hafnium-Siliziumoxid, Lanthanoxid, Lanthan-Aluminiumoxid, Zirkoniumoxid, Zirkonium-Siliziumoxid, Tantaloxid, Titanoxid, Barium-Strontium-Titanoxid, Barium-Titanoxid, Strontium-Titanoxid, Yttriumoxid, Aluminiumoxid, Blei-Skandium-Titanoxid sowie Blei-Zinkniobat. Bei mancher Ausführungsform kann ein Heilungsprozess auf die Gatedielektrikumsschicht 302 ausgeführt werden, um ihre Qualität zu verbessern, wenn ein Material mit hohem k-Wert verwendet wird. Bei manchen besonderen beispielhaften Ausführungsformen kann die Gatedielektrikumsschicht 302 mit hohem k-Wert eine Dicke zwischen 5 Å bis ungefähr 100 Å (zum Beispiel 10 Å) aufweisen. Bei anderen Ausführungsformen kann die Gatedielektrikumsschicht 302 die Dicke eine Monoschicht Oxidmaterial aufweisen. Grundsätzlich sollte die Dicke des Gatedielektrikums 302 ausreichend sein, um die Gateelektrode 304 von dem Source- und dem Drain-Kontakt elektrisch zu isolieren. Bei manchen Ausführungsformen können zusätzliche Verarbeitungsschritte auf die Gatedielektrikumsschicht 302 mit hohem k-Wert angewendet werden, etwa ein Heilungsprozess, um die Qualität des Materials mit hohem k-Wert zu verbessern.The gate dielectric 302 For example, it may be any suitable oxide, such as silicon dioxide (SiO 2 ), or a high-k gate dielectric material. Examples of high-k dielectric materials include, for example, hafnium oxide, hafnium-silica, lanthana, lanthanum-alumina, zirconia, zirconia-silica, tantala, titania, barium-strontium-titania, barium-titania, strontium-titania, yttria, alumina, Lead scandium titanium oxide and lead zinc niobate. In some embodiments, a healing process may be applied to the gate dielectric layer 302 to improve their quality when using a high k material. In some particular exemplary embodiments, the gate dielectric layer 302 having a high k-value, a thickness between 5 Å to about 100 Å (for example, 10 Å). In other embodiments, the gate dielectric layer 302 the thickness of a monolayer of oxide material. Basically, the thickness of the gate dielectric should be 302 be sufficient to the gate electrode 304 electrically isolate from the source and drain contacts. In some embodiments, additional processing steps may be applied to the gate dielectric layer 302 high k value, such as a healing process, to improve the quality of the high k material.

Das Gateelektrodenmaterial 304 kann beispielsweise Polysilizium, Siliziumnitrid, Siliziumkarbid oder eine Metallschicht sein (zum Beispiel Wolfram, Titannitrid, Tantal, Tantalnitrid), obwohl auch andere geeignete Gateelektrodenmaterialien ebenso verwendet werden können. Das Gateelektrodenmaterial 304, welches ein Opfermaterial sein kann, das später für einen AustauschmetallGate-Prozess (RMG) entfernt werden kann, weist bei manchen Ausführungsformen eine Dicke zwischen 10 Å und 500 Å (beispielsweise 100 Å) auf.The gate electrode material 304 For example, polysilicon, silicon nitride, silicon carbide, or a metal layer (eg, tungsten, titanium nitride, tantalum, tantalum nitride) may be used, although other suitable gate electrode materials may also be used. The gate electrode material 304 , which may be a sacrificial material that may later be removed for an exchange metal gate (RMG) process, in some embodiments has a thickness between 10 Å and 500 Å (e.g., 100 Å).

Die optionale Gate-Hartmaskenschicht 306 kann dazu verwendet werden, um bestimmte Vorteile oder Anwendungen während der Verarbeitung bereitzustellen, beispielsweise um die Gateelektrode 304 vor nachfolgenden Ätz- und/oder Ionenimplantationsprozessen zu schützen. Die Hartmaskenschicht 306 kann unter Verwendung typischer Hartmaskenmaterialien ausgebildet werden, etwa Siliziumdioxid, Siliziumnitrid und/oder anderen konventionelle Nichtleitermaterialien.The optional gate hard mask layer 306 can be used to provide certain advantages or applications during processing, such as the gate electrode 304 to protect against subsequent etching and / or ion implantation processes. The hard mask layer 306 can be formed using typical hard mask materials, such as silicon dioxide, silicon nitride, and / or other conventional nonconductive materials.

Der Gatestapel kann wie üblich, oder unter Verwendung einer geeigneten Sondertechnologie (zum Beispiel mit einem konventioneller Strukturierungsprozess, um Anteile der Gateelektrode und der Gatedielektrikumsschicht wegzuätzen, um den Gatestapel auszubilden, wie es in 2A gezeigt ist) ausgebildet werden. Sowohl das Gatedielektrikumsmaterial 302 als auch das Gateelektrodenmaterial 304 kann beispielsweise unter Verwendung herkömmlicher Abscheidungsprozesse wie chemischer Dampfabscheidung (CVD), atomarer Schichtabschaltung (ALD), Spin-on-Abscheidung (SOD) oder physikalischer Dampfabscheidung (PVD) ausgebildet werden. Alternative Abscheidungstechnologien können ebenso verwendet werden, beispielsweise können die Materialien des Gatedielektrikums 302 und der Gateelektrode thermisch aufgewachsen werden. Wie es im Lichte der Offenbarung zu erkennen ist, kann jede mögliche Anzahl anderer geeigneter Materialien, Geometrien und Ausbildungsprozesse verwendet werden, um eine Ausführungsform der vorliegenden Erfindung umzusetzen, mit dem Ziel, ein Transistorbauteil oder eine Struktur mit niedrigem Kontaktwiderstand bereitzustellen, wie es hierin beschrieben ist. The gate stack may be as usual, or using a suitable special technology (for example, with a conventional patterning process, to etch away portions of the gate electrode and the gate dielectric layer to form the gate stack, as shown in FIG 2A is shown) are formed. Both the gate dielectric material 302 as well as the gate electrode material 304 For example, it can be formed using conventional deposition processes such as chemical vapor deposition (CVD), atomic layer shutdown (ALD), spin-on deposition (SOD), or physical vapor deposition (PVD). Alternative deposition technologies may also be used, for example, the materials of the gate dielectric 302 and the gate electrode are thermally grown. As will be appreciated in the light of the disclosure, any number of other suitable materials, geometries, and formation processes may be used to practice an embodiment of the present invention, with the aim of providing a transistor device or low contact resistance structure, as described herein is.

Die Abstandshalter 310 können beispielsweise unter Verwendung herkömmlicher Materialien wie Siliziumoxid, Siliziumnitrit oder anderen geeigneten Abstandshaltermaterialien ausgebildet werden. Die Breite der Abstandshalter 310 kann grundsätzlich auf Grundlage der Designanforderungen an den auszubildenden Transistor ausgewählt werden. Gemäß manchen Ausführungsformen unterliegt jedoch die Breite der Abstandshalter 310 nicht den Entwicklungsbeschränkungen hinsichtlich der Ausbildung der Source- und Drain-epi-Spitzen, vorausgesetzt, dass ein hinreichend hoher Bohr-dotierter Germaniumanteil in dem Source/Drain-Spitzenbereich vorliegt.The spacers 310 For example, they can be formed using conventional materials such as silicon oxide, silicon nitrite or other suitable spacer materials. The width of the spacers 310 can basically be selected based on the design requirements of the transistor to be formed. However, according to some embodiments, the width of the spacers is subject 310 not the design constraints on the formation of the source and drain epi peaks, provided that there is a sufficiently high Bohr doped germanium content in the source / drain tip region.

Jede Anzahl geeigneter Substrate kann dazu verwendet werden, um das Substrat 300 umzusetzen, einschließlich massiver Substrate, Halbleiter-Nichtleiter-Substrate (XOI, wobei X ein Halbleitermaterial wie Silizium, Germanium oder mit Germanium angereichertes Silizium ist) sowie mehrschichtige Strukturen, einschließlich solche Substrate auf welchen Rippen oder Nonodrähte vor einem nachfolgenden Gatestrukturierungsprozess ausgebildet werden. In manchen besonderen Beispielen ist das Substrat 300 ein massives Germanium-, Silizium- oder SiGe-Substrat oder ein Germanium-, Silizium- oder SiGe-auf-Oxid-Substrat. Obwohl wenige beispielhafte Materialien beschrieben sind, aus denen das Substrat 300 ausgebildet sein kann, soll jedes Material, welches eine Basis für ein Halbleiterbauteil mit niedrigem Kontaktwiderstand darstellen kann, in den Umfang der beanspruchten Erfindung fallen.Any number of suitable substrates can be used to form the substrate 300 implement, including solid substrates, semiconductor non-conductor substrates (XOI, where X is a semiconductor material such as silicon, germanium or germanium-enriched silicon) and multilayer structures, including those substrates on which ribs or nono wires are formed before a subsequent gate patterning process. In some particular examples, the substrate is 300 a solid germanium, silicon or SiGe substrate or a germanium, silicon or SiGe on oxide substrate. Although a few exemplary materials are described that make up the substrate 300 may be formed, any material which may constitute a basis for a low contact resistance semiconductor device is intended to fall within the scope of the claimed invention.

Weiter mit Bezug auf die 1A setzt das Verfahren nach der Ausbildung eines oder mehrerer Gatestapel mit dem Festlegen 104 der Source/Drain-Bereiche der Transistorstruktur fort. Die Source/Drain-Bereiche können mit jeder Anzahl geeigneter Verfahren und Konfigurationen umgesetzt werden. Beispielsweise können dies Source/Drain-Bereiche implantiert werden, geätzt und epi-gefüllt werden, angehoben werden, Silizium-, Germanium- oder SiGe-Legierungen sein, p-artig und/oder n-artig sein, und sie können einen Planaren, einen gerippten oder einen drahtförmigen Diffusionsbereich aufweisen. Beispielsweise können bei manchen derartigen Beispielen die Source- und Drain-Bereiche unter Verwendung entweder eines Implantations-/Diffusionsprozesses oder eines Ätz-/Abscheidungsprozesses ausgebildet werden. Bei dem vorangegangenen Prozess können Dotanden wie Aluminium, Antimon, Phosphor oder Arsen in das Substrat 300 ionenimplantiert werden, um die Source- und Drain-Bereiche auszubilden. Der Ionenimplantierungsprozess wird typischerweise gefolgt von einem Ausheilungsprozess, welcher die Dotanden aktiviert und ebenso bewirken kann, dass diese weiter in das Substrat 300 hineindiffundieren. Bei dem letztgenannten Prozess kann das Substrat 300 zunächst geätzt werden, um Vertiefungen an den Stellen der Source- und der Drain-Bereiche auszubilden. Ein epitaktischer Abscheidungsprozess kann daraufhin ausgeführt werden, um die Vertiefungen mit einer Siliziumlegierung wie Silizium-Germanium oder Siliziumkarbid aufzufüllen, wodurch die Source- und Drain-Bereiche ausgebildet werden. Bei manchen Ausführungsformen kann die epitaktisch abgeschiedene Siliziumlegierung in-situ oder ex-situ mit Dotanden wie Bohr, Arsen oder Phosphor dotiert werden.Next with respect to the 1A sets the method after forming one or more gate stacks with setting 104 the source / drain regions of the transistor structure away. The source / drain regions can be implemented with any number of suitable methods and configurations. For example, source / drain regions may be implanted, etched and epi-filled, grown, be silicon, germanium or SiGe alloys, p-type and / or n-type, and may be planar ribbed or have a wire-shaped diffusion region. For example, in some such examples, the source and drain regions may be formed using either an implantation / diffusion process or an etching / deposition process. In the previous process, dopants such as aluminum, antimony, phosphorus or arsenic may be incorporated into the substrate 300 ion-implanted to form the source and drain regions. The ion implantation process is typically followed by an annealing process which can activate and also cause the dopants to penetrate the substrate 300 diffuse. In the latter process, the substrate 300 first etched to form recesses at the locations of the source and drain regions. An epitaxial deposition process may then be performed to fill the wells with a silicon alloy such as silicon germanium or silicon carbide, thereby forming the source and drain regions. In some embodiments, the epitaxially deposited silicon alloy may be doped in-situ or ex-situ with dopants such as Bohr, arsenic or phosphorus.

Bei der in den 2A2F dargestellten Ausführungsform wurde das Substrat 300 geätzt, um Vertiefungen sowie Spitzenbereiche bereitzustellen, welche das Gatedielektrikum 302 unterwandern. Die Vertiefungen und Spitzenbereiche wurden aufgefüllt, um die Source/Drain-Bereiche sowie die optionalen Spitzenbereiche bereitzustellen. Gemäß manchen besonderen beispielhaften Ausführungsformen, bei denen das Substrat 300 ein massives Siliziumsubstrat oder ein Silizium-auf-Nichtleiter-Substrat (SOI) ist, werden die Source- und Drain-Vertiefungen neben ihren entsprechenden Spitzenbereichen mit in-situ-dotiertem Silizium, mit SiGe oder mit Germanium aufgefüllt, wodurch die Source- und Drain-Bereiche (neben ihren entsprechenden epi-Spitzen) ausgebildet werden. Dabei kann jede Anzahl von Source/Drain-Schichtkonfigurationen verwendet werden. Hinsichtlich der Materialien (zum Beispiel dotiertes oder undotiertes Si, Ge, SiGe), der Dotanden (zum Beispiel Bohr, Arsen oder Phosphor) sowie der Geometrieen (die Dicke der Source/Drain-Schicht kann beispielsweise zwischen 50 und 500 nm liegen, um beispielsweise fluchtende oder erhabene Source/Drain-Bereiche bereitzustellen).In the in the 2A - 2F The embodiment shown was the substrate 300 etched to provide depressions as well as tip areas which define the gate dielectric 302 subvert. The recesses and tip areas were filled to provide the source / drain regions as well as the optional tip regions. In accordance with some particular example embodiments in which the substrate 300 is a bulk silicon substrate or a silicon on non-conductor (SOI) substrate, the source and drain wells are filled adjacent to their respective tip regions with in-situ doped silicon, SiGe, or germanium, thereby reducing the source and drain Be formed areas (next to their corresponding epi-peaks). Any number of source / drain layer configurations may be used. With regard to the materials (for example doped or undoped Si, Ge, SiGe), the dopants (for example Bohr, arsenic or phosphorus) and the geometries (the thickness of the source / drain layer can be between 50 and 500 nm, for example for example, to provide aligned or raised source / drain regions).

Wie es im Lichte der Offenbarung zu erkennen ist, kann auch jede Anzahl anderer Transistorelemente in Verbindung mit einer Ausführungsform der vorliegenden Erfindung umgesetzt werden. Beispielsweise kann der Kanal gespannt oder ungespannt sein und die Source/Drain-Bereiche können Spitzenbereiche umfassen oder nicht, welche in dem Bereich zwischen dem entsprechenden Source/Drain-Bereich und dem Kanalbereich ausgebildet sind. In diesem Sinne ist es nicht von besonderer Relevanz für die verschiedenen Ausführungsformen der vorliegenden Erfindung, ob eine Transistorstruktur gespannte oder ungespannte Kanäle aufweist, oder Source/Drain-Spitzenbereiche, oder keine Source/Drain-Spitzenbereiche, wobei derartige Ausführungsformen nicht dazu vorgesehen sind, auf irgendwelche bestimmten strukturellen Elemente beschränkt zu werden. Vielmehr soll diese Anzahl von Transistorstrukturen und -typen und insbesondere sollen derartige Strukturen, die sowohl n-Typ- als auch p-Typ-Source/Drain-Transistorbereiche aufweisen, von der Anwendung einer III-V-Materialschicht mit einer Bandlücke und/oder einer solchen Schicht, die anderweitig dotiert ist, über dem Source/Drain-Bereich, wie zuvor beschrieben, profitieren. Grundsätzlich ist bei Zimmertemperatur dann keine Dotierung notwendig, wenn die Bandlücke klein genug ist (obwohl eine Dotierung verwendet werden kann, falls dies gewünscht ist). In einem besonderen Beispielfall bedient InSb sowohl p- als auch n-Typ-Source/Drain-Bereiche ohne jegliche Dotierung. Für III-V-Materialien mit größerer Bandlücke (> 0,5 eV), kann das Dotieren dazu verwendet werden, um die gewünschte Leitfähigkeit bereitzustellen.As will be appreciated in the light of the disclosure, any number of other transistor elements may be implemented in conjunction with one embodiment of the present invention. For example, the channel may be strained or unstressed, and the source / drain regions may or may not include peak regions formed in the region between the corresponding source / drain region and the channel region. In this sense, it is not of particular relevance to the various embodiments of the present invention whether a transistor structure has strained or relaxed channels, or source / drain tip regions, or no source / drain tip regions, such embodiments are not intended to be confined to any particular structural elements. Rather, this number of transistor structures and types and, in particular, such structures having both n-type and p-type source / drain transistor regions should be subject to the application of a III-V material layer having a band gap and / or a Such layer, which is otherwise doped, will benefit over the source / drain region as previously described. Basically, no doping is necessary at room temperature if the bandgap is small enough (although doping may be used if desired). In a particular example case, InSb serves both p- and n-type source / drain regions without any doping. For larger band gap III-V materials (> 0.5 eV), doping may be used to provide the desired conductivity.

Weiter mit Bezug auf die 1A setzt das Verfahren dieser beispielhaften Ausführungsform, nachdem die Source/Drain-Bereiche festgelegt worden sind, mit dem Abscheiden 106 einer Nichtleiterschicht 322 fort. Die 2B zeigt eine Nichtleiterschicht 322, welche mit der Hartmaske 306 des Gatestapels fluchtet, wobei dies nicht unbedingt erforderlich ist. Der Nichtleiter kann auf verschiedene Weise ausgebildet sein. Bei manchen Ausführungsformen wird die Nichtleiterschicht 322 mit Hilfe von SiO2 oder anderen Nichtleitermaterialien mit niedrigem k-Wert ausgebildet. Grundsätzlich kann die Dielektrizitätskonstante des Schichtmaterials 322 nach Bedarf ausgewählt werden. Bei manchen Ausführungsformen kann die Nichtleiterschicht 322 eine Auskleidung umfassen (zum Beispiel Siliziumnitrit), gefolgt von einer oder mehreren Schichten SiO2, oder irgendeiner Kombination von Nitrit, Oxid, Oxynitrit, Karbid, Oxykarbid oder anderen geeigneten Nichtleitermaterialien. Die Nichtleiterschicht 322 welche als Zwischenschicht-Dielektrikum (ILD) bezeichnet wird, kann auf übliche Weise planarisiert werden (zum Beispiel mit Hilfe des Planarisierungsprozesses im Anschluss an die Abscheidung, etwa mit Hilfe chemisch-mechanischer Planarisierung, oder CMP). Andere beispielhafte Nichtleitermaterialien, welche für die Ausbildung der Schicht 322 verwendet werden können, umfassen beispielsweise Kohlenstoff dotiertes Oxid (CDO), organische Polymere wie Perflurcyclobutan oder Polytetrafluoräthylen, Fluorosilikatglas (FSG), und Organosilikate wie Silsesquioxan, Siloxan oder Organonosilikatglas. Bei manchen beispielhaften Konfigurationen kann die Nichtleiterschicht 322 Poren oder andere Fehlstellen umfassen, um ihre dielektrische Konstante noch weiter abzusenken.Next with respect to the 1A For example, after the source / drain regions have been set, the process of this exemplary embodiment sets with deposition 106 a non-conductor layer 322 continued. The 2 B shows a dielectric layer 322 , which with the hard mask 306 the gate stack is aligned, but this is not essential. The nonconductor may be formed in various ways. In some embodiments, the dielectric layer becomes 322 formed with the aid of SiO 2 or other low-k non-conductive materials. In principle, the dielectric constant of the layer material 322 be selected as needed. In some embodiments, the dielectric layer 322 comprise a liner (e.g., silicon nitrite) followed by one or more layers of SiO 2 , or any combination of nitrite, oxide, oxynitrite, carbide, oxy carbide, or other suitable non-conductor materials. The non-conductor layer 322 which is referred to as an interlayer dielectric (ILD) can be planarized in a conventional manner (for example by means of the planarization process following the deposition, such as by means of chemical mechanical planarization, or CMP). Other exemplary nonconductive materials used for the formation of the layer 322 For example, carbon doped oxide (CDO), organic polymers such as perfluorocyclobutane or polytetrafluoroethylene, fluorosilicate glass (FSG), and organosilicates such as silsesquioxane, siloxane or organonosilicate glass can be used. In some example configurations, the dielectric layer 322 Include pores or other imperfections to further lower their dielectric constant.

Wie es im Lichte der Offenbarung zu erkennen und gemäß manchen Ausführungsformen der vorliegenden Erfindung vorgesehen ist, bei denen ein AustauschmetallGateprozess (RMG) verwendet wird, kann das Verfahren weiterhin das Entfernen des Gatestapels (einschließlich der Gatedielektrikumsschicht 302 mit hohem k-Wert, der OpferGateelektrode 304 sowie der Hartmaskenschicht 306) unter Verwendung eines herkömmlichen Ätzprozesses umfassen. In manchen derartigen Fällen werden lediglich die OpferGate 304 und die Hartmaskenschicht 306 entfernt. Falls die Gatedielektrikumsschicht 302 entfernt wird, kann das Verfahren mit der Abscheidung einer neuen Gatedielektrikumsschicht in die Grabenöffnung hinein fortsetzen. Jegliche geeignete Gatedielektrikumsmaterialien, wie die zuvor beschriebenen, können dabei verwendet werden, etwa Hafniumoxid. Dieselben Abscheidungsverfahren können ebenso verwendet werden. Der Austausch der Gatedielektrikumsschicht kann beispielsweise dazu verwendet werden, um irgendwelche Zerstörungen zu beheben, welche die ursprüngliche Gatedielektrikumsschicht während der Anwendung der Trocken- und Nassätzprozesse davongetragen hat, und/oder um ein Dielektrikumsmaterial mit niedrigem k-Wert oder ein Opfermaterial mit hohem k-Wert oder ein anderweitig benötigtes Dielektrikumsmaterial auszutauschen. Bei derartigen RMG-Prozessen kann das Verfahren weiterhin das Abscheiden der Gateelektrodenschicht in den Graben hinein und über die Gatedielektrikumsschicht umfassen. Konventionelle Abscheidungsprozesse können dazu verwendet werden, um die Austausch-Gateelektrode auszubilden, etwa CVD, ALD und PVD. Die Gateelektrodenschicht kann beispielsweise ein p-Typ-Austrittsarbeitsmetall umfassen, beispielsweise Ruthenium, Palladium, Platin, Kobalt, Nickel und leitfähige Metalloxide, zum Bespiel Rutheniumoxid. Bei manchen beispielhaften Konfigurationen können zwei oder mehr Metall-Gateelektrodenschichten abgeschieden werden. Beispielsweise kann ein Austrittsarbeitsmetall in dem Gategraben abgeschieden werden, gefolgt von einem geeignetem metallischen Gateelektroden-Füllmetall, etwa Aluminium oder Silber. Die 2B' zeigt eine beispielhafte Gatestruktur, welche sich durch einen optionalen RMG-Prozess ergibt, welcher eine AustauschGateelektrodenschicht 326 über einer AustauschGatedielektrikumsschicht 324 umfasst. Bei noch anderen Ausführungsformen können derartige RMG-Prozesse später in dem Verfahren durchgeführt werden (zum Beispiel nach dem Schritt 114), so dass die Austausch-Gatematerialien nicht der Weiterverarbeitung entsprechend den Schritten 108 bis 114 ausgesetzt werden.As will be appreciated in the light of the disclosure and provided in accordance with some embodiments of the present invention using a replacement metal gate process (RMG), the method may further include removing the gate stack (including the gate dielectric layer 302 high k value, the sacrificial gate electrode 304 and the hard mask layer 306 ) using a conventional etching process. In some such cases, only the victims become gods 304 and the hardmask layer 306 away. If the gate dielectric layer 302 is removed, the method may continue with the deposition of a new gate dielectric layer into the trench opening. Any suitable gate dielectric materials, such as those described above, may be used, such as hafnium oxide. The same deposition methods can also be used. For example, the exchange of the gate dielectric layer may be used to overcome any damage that the original gate dielectric layer has suffered during the application of the dry and wet etch processes and / or a low k dielectric material or a high k sacrificial material or to replace any other required dielectric material. In such RMG processes, the method may further comprise depositing the gate electrode layer into the trench and over the gate dielectric layer. Conventional deposition processes can be used to form the replacement gate electrode, such as CVD, ALD and PVD. The gate electrode layer may comprise, for example, a p-type workfunction metal, for example ruthenium, palladium, platinum, cobalt, nickel and conductive metal oxides, for example ruthenium oxide. In some example configurations, two or more metal gate electrode layers may be deposited. For example, a workfunction metal may be deposited in the gate trench, followed by a suitable metallic gate electrode fill metal, such as aluminum or silver. The 2 B' shows an exemplary gate structure, which is through an optional RMG process which gives an exchange gate electrode layer 326 over an exchange gate dielectric layer 324 includes. In yet other embodiments, such RMG processes may be performed later in the process (eg, after step 114 ) so that the replacement gate materials are not further processing according to the steps 108 to 114 get abandoned.

Weiter wird Bezug auf 1A setzt das Verfahren nach der Bereitstellung der Nichtleiterschicht 322 (sowie jegliches Rohrkontaktausbildungs-RMG-Prozesses) mit dem Ätzen 108 fort, um die Source/Drain-Kontaktgraben auszubilden. Dazu kann jeglicher geeigneter Trocken- und/oder Nassätzprozess verwendet werden. Die 2C zeigt die Source/Drain-Kontaktgraben, nachdem das Ätzen abgeschlossen ist, gemäß einer beispielhaften Ausführungsform.Next will be referring to 1A continues the process after providing the dielectric layer 322 (as well as any tube contact formation RMG process) with the etch 108 to form the source / drain contact trench. Any suitable dry and / or wet etching process can be used for this purpose. The 2C FIG. 12 shows the source / drain contact trench after the etching is completed, according to an exemplary embodiment. FIG.

Das Verfahren setzt mit dem Abscheiden 110 einer III-V Halbleitermaterialschicht auf den Source/Drain-Bereichen der Transistorstruktur fort. Die 2D zeigt die III-V Materialschicht 317 über sowohl dem n-Typ- als auch dem p-Typ-Source/Drain-Bereich, gemäß einer beispielhaften Ausführungsform. Die Abscheidung kann nicht-selektiv durchgeführt werden, wobei jedwede überschüssige III-V-Abscheidung anschließend von der Oberfläche des Nichtleiters 322 (und, falls notwendig, von den Gatestapeln) entfernt wird. Bei anderen Ausführungsformen kann die Abscheidung selektiv durchgeführt werden, wobei die III-V-Materialabscheidung lediglich auf den Source/Drain-Bereichen (oder einer Teilmenge dieser) durchgeführt wird. Beispielsweise ist bei manchen Ausführungsformen die Abscheidung 110 selektiv, insoweit, als dass der Prozess die Maskierung der p-Typ-Bereiche oder der n-Typ-Bereiche, gefolgt von selektiver Abscheidung, umfasst, um zu erreichen, dass die Abscheidung ausschließlich in dem einen oder dem anderen der Bereiche stattfindet (zum Beispiel, in denen wo die p-Typ-Bereiche eine III-V-Materialzusammensetzung erhalten, die ein erstes Dotierungsschema aufweist, und wobei die n-Typ-Bereiche eine III-V-Materialzusammensetzung erhalten, welche ein zweites Dotierungsschema aufweist). Alternativ kann die Abscheidung 110 mittels einer einzigen Zusammensetzung undotierten III-IV-Materials auf sämtlichen Source/Drain-Bereichen durchgeführt werden, gefolgt von einer anschließenden Maskierung und Dotierung, um den Kontaktwiderstand auf die Dotierungsart des darunterliegenden Source/Drain-Materials zu optimieren. Alternativ kann die Abscheidung 110 einer einzigen Zusammensetzung undotierten III-IV-Materials auf sämtlichen Source/Drain-Bereichen durchgeführt werden, wobei das undotiertere III-IV-Material eine Bandlücke von wenigster als 0,5 eV aufweist (zum Beispiel eine Bandlücke von InxGa1-xAs = 0,427 eV, wobei x gleich 0,9 ist). In manchen Fällen mit derartig kleiner Bandlücke beträgt die Bandlücke weniger als 0,4 eV (zum Beispiel die Bandlücke von InAs = 0,36 eV). In noch anderen derartigen Fällen beträgt die Bandlücke weniger als 0,3 eV. In noch anderen derartigen Fällen beträgt die Bandlücke weniger als 0,2 eV (zum Beispiel die Bandlücke von InSb = 0,17 eV). Bei noch anderen derartigen Fällen liegt die Bandlücke innerhalb eines Bereiches, beispielsweise zwischen 0,1 eV und 0,4 eV, oder zwischen 0,1 eV und 0,25 eV, oder zwischen 0,25 eV und 0,5 eV, oder zwischen 0,15 eV und 0,35 eV. Es sollte jedoch festgehalten werden, dass die III-V-Materialien nicht auf solche beschränkt werden sollten, die eine Bandlücke von weniger als 0,5 eV aufweisen. Dies liegt daran, dass das III-V-Material beispielsweise mittels in-situ-Dotierung, Diffussionsdotierung oder Implantierungsdotierung abgeschieden werden kann, so dass es auf die Dotierungsart des darunter liegenden Source/Drain-Materials abgestimmt ist.The process continues with the deposition 110 a III-V semiconductor material layer on the source / drain regions of the transistor structure. The 2D shows the III-V material layer 317 over both the n-type and p-type source / drain regions, according to an example embodiment. Deposition may be non-selective, with any excess III-V deposited subsequently from the surface of the nonconductor 322 (and, if necessary, from the gate stacks). In other embodiments, the deposition may be selectively performed with the III-V material deposition performed only on the source / drain regions (or a subset thereof). For example, in some embodiments, the deposition is 110 selective, in that the process comprises masking the p-type regions or the n-type regions followed by selective deposition to achieve that the deposition occurs exclusively in one or the other of the regions (e.g. Example in which the p-type regions receive a III-V material composition having a first doping scheme, and wherein the n-type regions receive a III-V material composition having a second doping scheme). Alternatively, the deposition 110 by means of a single composition of undoped III-IV material on all source / drain regions, followed by subsequent masking and doping to optimize the contact resistance to the doping type of the underlying source / drain material. Alternatively, the deposition 110 of a single composition of undoped III-IV material on all source / drain regions, with the undoped III-IV material having a band gap of less than 0.5 eV (for example, a bandgap of In x Ga 1-x As = 0.427 eV, where x equals 0.9). In some cases, with such a small band gap, the band gap is less than 0.4 eV (for example, the band gap of InAs = 0.36 eV). In still other such cases, the bandgap is less than 0.3 eV. In yet other such cases, the bandgap is less than 0.2 eV (for example, the band gap of InSb = 0.17 eV). In still other such cases, the band gap is within a range, for example, between 0.1 eV and 0.4 eV, or between 0.1 eV and 0.25 eV, or between 0.25 eV and 0.5 eV, or between 0.15 eV and 0.35 eV. It should be noted, however, that the III-V materials should not be limited to those having a band gap of less than 0.5 eV. This is because the III-V material can be deposited by, for example, in-situ doping, diffusion doping, or implant doping, so that it is tuned to the doping type of the underlying source / drain material.

Bei manchen beispielhaften Ausführungsformen wird die III-V-Materialschicht 317 epitaktisch abgeschieden. Die Dicke der III-V-Materialschicht 317 kann in einem Bereich zwischen beispielsweise 50 bis 250 Å gemäß manchen besonderen beispielshaften Ausführungsformen liegen, obwohl andere Ausführungsformen andere Schichtdicken aufweisen können, wie es sich dem Fachmann im Lichte der Offenbarung erschließt. Bei manchen Ausführungsformen kann ein CVD-Prozess oder eine andere geeignete Abscheidungstechnologie für die Abscheidung 108 oder anderweitige Ausbildung der III-V-Materialschicht 317 verwendet werden. Beispielsweise kann die Abscheidung 308 mittels CVD, thermisch beschleunigtem CVD (RT-CVD), Niederdruck-CVD (LP-CVD), oder Ultrahochvakuum-CVD (UHV-CVD), oder mittels Gasquellenmolikularstrahlepitaxy (GSMBE) unter Verwendung von III-V-Materialzusammensetzungen, etwa Verbindungen aus Al, Ga, In, P, As, Sb und/oder Vorprodukten dieser erfolgen. Bei einer besonderen beispielhaften Ausführungsform wird die III-V-Materialschicht 317 mithilfe undotiertem Indiumantimonid (InSb) hergestellt. Bei anderen Ausführungsformen wird die III-V-Materialschicht 317 mithilfe von GaAs, dotiert mit Ge, hergestellt, um eine Ge-Austauschkonzentration von 1E19 Atome/cm3 oder mehr bereitzustellen, was zu einem Widerstand von ungefähr 5E-3 Ohm-cm (bzw. einer entsprechenden Leitfähigkeit von ungefähr 200 Mho/cm) führt. In manchen derartigen Ausführungsformen kann ein Trägergas verwendet werden, wie beispielsweise Wasserstoff, Stickstoff oder ein Edelgas (z. B. wird ein Precursor mit einem Trägergas auf eine Konzentration von 1–20% verdünnt). In manchen Beispielfällen kann ein Arsenvorläufermaterial wie Arsenwasserstoff oder TBA, ein Galliumvorläufermaterial wie TMG und/oder ein Indiumvorläufermaterial wie TMI verwendet werden. Weiterhin kann ein Ätzgas wie beispielsweise ein hallogenbasiertes Gas, wie Wasserstoffflourid (HCL), Chlorine (CL) oder Wasserstoffbormid (HBR) vorliegen. Die Basisabscheidung der III-V-Halbleitermaterialschicht 317 ist über einen weiten Prozessparameterbereich möglich, beispielsweise unter Verwendung einer Abscheidungstemperatur zwischen 300°C und 700° (zum Beispiel 400–500°C), bei einem Prozessdruck von beispielsweise 1 Torr bis 760 Torr. Sowohl das Ätzgas als auch das Trägergas können eine Flussrate zwischen 10 und 300 SCCM aufweisen (typischerweise ist jedoch eine Flussrate von nicht mehr als 100 SCCM notwendig, wobei jedoch einige andere Ausführungsformen von höheren Flussraten profitieren können). Bei einer besonderen bespielhaften Ausführungsform wird die Abscheidung 110 bei einer Flussrate zwischen 100 und 1000 SCCM durchgeführt. Für die in-situ-Dotierung von Germanium kann beispielsweise verdünntes German oder Digerman verwendet werden (zum Beispiel kann das German bei einer Konzentration von 10% in H2 verdünnt werden, bei einer Flussrate zwischen 10 und 100 SCCM).In some example embodiments, the III-V material layer becomes 317 epitaxially deposited. The thickness of the III-V material layer 317 may range between, for example, 50 to 250 Å, in accordance with some particular example embodiments, although other embodiments may have other layer thicknesses, as will be apparent to those skilled in the art in light of the disclosure. In some embodiments, a CVD process or other suitable deposition technology may be used 108 or otherwise forming the III-V material layer 317 be used. For example, the deposition 308 by CVD, thermally accelerated CVD (RT-CVD), low pressure CVD (LP-CVD), or ultra high vacuum CVD (UHV-CVD), or gas source molecular beam epitaxy (GSMBE) using III-V material compositions, such as Al compounds , Ga, In, P, As, Sb and / or precursors thereof. In a particular exemplary embodiment, the III-V material layer becomes 317 produced using undoped indium antimonide (InSb). In other embodiments, the III-V material layer becomes 317 using GaAs doped with Ge, prepared to have a Ge-exchange concentration of 1E19 atoms / cm 3 or more to provide, resulting in a resistivity of about 5E-3 ohm-cm (or a corresponding conductivity of about 200 mho / cm) leads. In some such embodiments, a carrier gas may be used, such as hydrogen, nitrogen, or a noble gas (eg, a precursor is diluted with a carrier gas to a concentration of 1-20%). In some example cases, an arsenic precursor material such as arsine or TBA, a gallium precursor material such as TMG and / or an indium precursor material such as TMI may be used. Further, an etching gas such as an hydrogen-based gas such as hydrogen fluoride (HCL), chlorine (CL) or Hydrogen bromide (HBR) are present. The base deposition of the III-V semiconductor material layer 317 is possible over a wide range of process parameters, for example, using a deposition temperature between 300 ° C and 700 ° C (for example, 400-500 ° C), at a process pressure of, for example, 1 Torr to 760 Torr. Both the etching gas and the carrier gas may have a flow rate between 10 and 300 SCCM (typically, however, a flow rate of not more than 100 SCCM is necessary, although some other embodiments may benefit from higher flow rates). In a particular exemplary embodiment, the deposition 110 performed at a flow rate between 100 and 1000 SCCM. For in situ doping of germanium, for example, dilute german or digerman may be used (for example, the german may be diluted at a concentration of 10% in H 2 , at a flow rate between 10 and 100 SCCM).

Der Fachmann wird im Lichte der Offenbarung erkennen, dass die Selektivität, mit der die III-V-Materialschicht 317 abgeschieden wird, nach Bedarf variiert werden kann. In manchen Fällen wird die III-V-Materialschicht 317 beispielsweise lediglich auf den Source/Drain-Bereichen oder einem Anteil der Source/Drain-Bereiche abgeschieden (anstatt über die gesamte Struktur hinweg). Jedwede Maskierung-Strukturierungstechnologie kann weiterhin dazu verwendet werden, um Unterbereiche festzulegen, auf denen die Schicht 317 selektiv abgeschieden wird. Darüber hinaus können andere Ausführungsformen von der Bedeckung durch die Schicht 317 profitieren, beispielsweise freiliegende PolyGatebereiche oder freiliegende Erdungskontaktbereiche. Wie es weiterhin der Offenbarung zu entnehmen ist, kann die III-V-Materialschicht 317 dazu verwendet werden, um einen wesentlich geringeren Kontaktwiderstand in den Source- und in den Drain-Bereichen zu realisieren (sowie in anderen Bereichen, wo ein niedriger Kontaktwiderstand wünschenswert ist, etwa in Erdungskontaktbereichen), wie dies in manchen beispielhaften Ausführungsformen vorgesehen ist.One skilled in the art will recognize, in light of the disclosure, that the selectivity with which the III-V material layer 317 is deposited, can be varied as needed. In some cases, the III-V material layer becomes 317 for example, deposited only on the source / drain regions or a portion of the source / drain regions (rather than across the entire structure). Any masking-structuring technology can be further used to define sub-areas on which the layer 317 is selectively deposited. In addition, other embodiments may be different from the coverage of the layer 317 benefit, such as exposed poly gate areas or exposed ground contact areas. As can further be seen from the disclosure, the III-V material layer 317 can be used to realize much lower contact resistance in the source and drain regions (as well as in other areas where low contact resistance is desirable, such as in ground contact regions), as provided in some exemplary embodiments.

Das Verfahren setzt daraufhin mit der Abscheidung 112 eines Kontaktwiderstandsverringerungsmetalls sowie einem Heilungsschritt fort, woraufhin die Abscheidung 114 der Source/Drain-Kontaktstecker erfolgt. Es ist festzuhalten, dass bei derartigen Ausführungsformen kein Silizid oder Germanit vorliegt. Vielmehr findet jedwede Reaktion zwischen dem III-V-Material 317 und der metallischen Kontaktwiderstandsverringerungsschicht 325 statt. Die 3E zeigt die Kontaktwiderstandsverringerungsmetalle 325, welche bei manchen Ausführungsformen Silber, Nickel, Aluminium, Titan, Gold, Gold-Germanium, Nickel-Platin oder Nickel-Aluminium und/oder andere derartige Widerstandsverringerungsmetalle oder -legierungen umfassen. Andere Ausführungsformen können weiterhin zusätzliche Schichten umfassen, etwa Haftschichten zwischen der Schicht 317 und der Schicht 325, falls dies gewünscht ist. Die 2F zeigt das Kontaktsteckermetall 329, welches bei manchen Ausführungsformen Aluminium oder Wolfram umfasst, obwohl auch jedes andere geeignete leitfähige Kontaktmaterial oder jede andere leitfähige Kontaktlegierung verwendet werden kann, etwa Silber, Nickel-Platin oder Nickel-Aluminium oder andere Legierungen von Nickel und Aluminium oder Titan, unter Verwendung herkömmlicher Abscheidungstechnologien. Beispielsweise können Transistoren mit einem Source/Drain-Bereich auf Grundlage einer III-V-Materialschicht 317 an der Schnittstelle zwischen den Source/Drain-Bereichen und dem Kontaktwiderstandsverringerungsmetall 325 Widerstandswerte von weniger als 100 Ohm-um aufweisen, und in manchen Fällen sogar von weniger als 90 Ohm-um, wobei in manchen Fällen sogar weniger als 80 Ohm-um und in noch anderen Fällen weniger als 75 Ohm-um oder noch weniger erreicht werden.The process then begins with the deposition 112 a contact resistance reducing metal and a healing step, whereupon the deposition 114 the source / drain contact plug takes place. It should be noted that in such embodiments no silicide or germanite is present. Rather, any reaction takes place between the III-V material 317 and the metallic contact resistance reducing layer 325 instead of. The 3E shows the contact resistance reduction metals 325 which in some embodiments comprise silver, nickel, aluminum, titanium, gold, gold-germanium, nickel-platinum or nickel-aluminum and / or other such resistance-reducing metals or alloys. Other embodiments may further include additional layers, such as adhesive layers between the layer 317 and the layer 325 if desired. The 2F shows the contact plug metal 329 which includes aluminum or tungsten in some embodiments, although any other suitable conductive contact material or conductive contact alloy may be used, such as silver, nickel-platinum or nickel-aluminum or other alloys of nickel and aluminum or titanium, using conventional deposition technologies , For example, transistors may have a source / drain region based on a III-V material layer 317 at the interface between the source / drain regions and the contact resistance reducing metal 325 Resistance values of less than 100 ohm-um, and in some cases even less than 90 ohm-um, in some cases even less than 80 ohm-um and in some cases less than 75 ohm-um or even less ,

Die 1B zeigt ein Verfahren für die Ausbildung einer Transistorstruktur mit niedrigem Kontaktwiderstand gemäß einer anderen Ausführungsform der vorliegenden Erfindung. Die 3A bis 3C veranschaulichen alternative Beispielsstrukturen, die ausgebildet werden. Grundsätzlich ähnelt dieses Verfahren dem Verfahren, das mit Bezug auf die 1A und 2A–F beschrieben worden ist, mit der Ausnahme, dass die Abscheidung der III-V-Materialschicht 317 auf den Source/Drain-Bereichen vor der Abscheidung des Nichtleiters 322 durchgeführt wird. Dies ist in 1B gezeigt, indem die Germanium-Materialabscheidung 110 nach der Festlegung des Source/Drain-Bereichs 104 und vor die Nichtleiterabscheidung 106 verlegt wird. Die sich daraus ergebende Struktur nach der Nichtleiterabscheidung 106 ist in 3A gezeigt. Es bleibt festzuhalten, dass in dieser beispielhaften Ausführungsform die III-V-Materialschicht 317 jeden der dargestellten Source/Drain-Bereiche vollständig bedeckt, statt nur des Anteils, der durch den Kontaktgraben freigelegt ist (wie es am anschaulichsten in 2D gezeigt ist). Die 3B zeigt die sich ergebende Struktur nachdem die Kontaktgräben bei 108 geätzt worden sind, wobei in 3C die sich ergebende Struktur nach der Abscheidung des Kontaktwiderstandsverringerungsmetalls 325 sowie der Metallkontaktstecker 329 in den Schritten 112 und bzw. 114 erfolgt ist. Es sollte anerkannt werden, dass die vorangegangene zutreffende Diskussion in Bezug auf ähnliche Teile des beispielhaften Verfahrens, das mit Bezug auf die 1A beschrieben worden ist, im vorliegenden Fall entsprechend Anwendung findet.The 1B FIG. 12 shows a method of forming a low contact resistance transistor structure according to another embodiment of the present invention. FIG. The 3A to 3C illustrate alternative example structures that are formed. Basically, this method is similar to the method described with respect to 1A and 2A -F has been described, except that the deposition of the III-V material layer 317 on the source / drain regions prior to deposition of the nonconductor 322 is carried out. This is in 1B shown by the germanium material deposition 110 after determining the source / drain region 104 and before the non-conductor separation 106 is relocated. The resulting structure after dielectric separation 106 is in 3A shown. It should be noted that in this exemplary embodiment, the III-V material layer 317 completely covers each of the illustrated source / drain regions, rather than just the portion exposed by the contact trench (as most clearly shown in FIG 2D is shown). The 3B indicates the resulting structure after the contact trenches 108 etched, in 3C the resulting structure after the deposition of the contact resistance reduction metal 325 as well as the metal contact plug 329 in the steps 112 and or 114 is done. It should be recognized that the preceding relevant discussion with respect to similar parts of the exemplary method, with reference to the 1A has been described in the present case accordingly applies.

Nicht-planare KonfigurationNon-planar configuration

Eine nicht-planare Architektur kann beispielsweise unter Verwendung von FinFETs oder Nanodrahtfigurationen umgesetzt werden. Ein FinFET ist ein Transistor, welcher um einen dünnen Streifen Halbleitermaterial (dieser wird grundsätzlich als eine Finne bezeichnet) aufgebaut ist. Der Transistor umfasst den Knoten eines Standardfeldeffekttransistors (FET), einschließlich einer Gate, einem Gatedielektrikum, einem Source-Bereich sowie einem Drain-Bereich. Der leitfähige Kanal des Bauteils verbleibt auf/innerhalb den/der äußeren Seiten der Finne unterhalb des Gatedielektrikums. Insbesondere fließt ein Strom entlang beider Seitenwände innerhalb der Finne (die Seiten, die sich rechtwinklig zu der Substratoberfläche erstrecken), als auch entlang der Oberseite der Finne (die Seite, sich parallel zu der Substratoberfläche erstreckt). Da der leitfähige Kanal derartiger Konfigurationen im Wesentlichen entlang der drei unterschiedlichen äußeren, planaren Bereiche der Finne angeordnet ist, wird ein derartiges FinFET-Design manchmal auch als ein dreifach-Gate-FinFET bezeichnet. Andere Arten von FinFET-Konfigurationen sind ebenfalls bekannt. Beispielsweise sogenannte Doppel-Gate-FinFETs, bei welchen der leitfähige Kanal grundsätzlich lediglich entlang der zwei Seitenwände der Firme angeordnet ist (jedoch nicht entlang der Oberseite der Finne).A non-planar architecture may be implemented using, for example, FinFETs or Nanowire configurations are implemented. A FinFET is a transistor constructed around a thin strip of semiconductor material (this is basically called a fin). The transistor includes the node of a standard field effect transistor (FET), including a gate, a gate dielectric, a source region and a drain region. The conductive channel of the device remains on / within the outer side (s) of the fin below the gate dielectric. In particular, current flows along both sidewalls within the fin (the sides extending at right angles to the substrate surface) as well as along the top of the fin (the side extending parallel to the substrate surface). Since the conductive channel of such configurations is disposed substantially along the three different outer planar portions of the fin, such FinFET design is sometimes referred to as a triple gate FinFET. Other types of FinFET configurations are also known. For example, so-called double-gate FinFETs, in which the conductive channel is basically arranged only along the two side walls of the company (but not along the top of the fin).

Ein Nanodrahttransistor (der manchmal auch als Gate-all-around-FinFET bezeichnet wird) ist sehr ähnlich aufgebaut, wobei er jedoch anstelle einer Finne einen Nanodraht verwendet (zum Beispiel einen Silizium- oder einen SiGe- oder einen Ge-Nanodraht), wobei das Gate-Material grundsätzlich den Kanalbereich an allen Seiten umgibt. Abhängig von dem jeweiligen Design besitzen Nanodrahttransistoren beispielsweise vier effektive Gates.A nanowire transistor (sometimes referred to as a gate-all-around FinFET) is very similar, but instead of a fin, it uses a nanowire (for example, a silicon or a SiGe or a Ge nanowire) Gate material basically surrounds the channel area on all sides. For example, depending on the particular design, nanowire transistors have four effective gates.

Die 4A4E zeigen jeweils eine perspektivische Ansicht einer beispielhaften nicht planaren Architektur, welche gemäß einer Ausführungsform der vorliegenden Erfindung ausgebildet ist. Insbesondere zeigen die 4A–B jeweils eine perspektivische Ansicht einer FinFET-Transistorstruktur, und die 4C–E zeigen beispielhafte Nanodrahtkanaltransistorstrukturen. Nachstehend wird jede der Figuren diskutiert.The 4A - 4E 12 each show a perspective view of an exemplary non-planar architecture formed in accordance with one embodiment of the present invention. In particular, the show 4A Each a perspective view of a FinFET transistor structure, and the 4C -E show exemplary nanowire channel transistor structures. Each of the figures will be discussed below.

Wie zu erkennen ist, ist die beispielhafte nicht-planare Konfiguration, die in 4A gezeigt ist, mit Hilfe von dreifach-Gate-Bauteilen ausgeführt, von denen jedes ein Substrat 600 umfasst, das einen Halbleiterkörper oder eine Firme 660 aufweist, welche sich von dem Substrat 600 durch den Isolationsbereich 620 hindurch erstreckt. Eine Gateelektrode 640 ist über drei Oberflächen der Firme 660 ausgebildet, um drei Gates auszubilden. Eine Hartmaske 690 ist auf der Oberseite der Gateelektrode 640 ausgebildet. Gate-Abstandshalter 670, 680 sind auf gegenüberliegenden Seitenwänden der Gateelektrode 640 ausgebildet. Ein p-Typ-Source-Bereich weist den epitaktischen Bereich 631a auf, welcher auf einer vertieften Source-Schnittstelle 650 sowie auf einer Finnenseitenwand 660 ausgebildet ist, wobei ein Drain-Bereich den epitaktischen Bereich 631a aufweist, welcher auf einer vertieften Source-Schnittstelle 650 sowie auf der gegenüberliegenden Finnenseitenwand 660 (nicht dargestellt) ausgebildet ist. Darüber hinaus weist ein n-Typ-Source-Bereich den epitaktischen Bereich 631e auf, welcher auf einer vertieften Source-Schnittstelle 650 sowie auf einer Finnenseitenwand 660 ausgebildet ist, wobei ein Drain-Bereich den epitaktischen Bereich 631b aufweist, welcher auf einer vertieften Source-Schnittstelle 650 sowie auf der gegenüberliegenden Finnenseitenwand 660 (nicht dargestellt) ausgebildet ist. Eine III-V-Materialdeckschicht 641 ist über den Source/Drain-Bereichen 631a und 631b abgeschieden. Es ist festzuhalten, dass die III-V-Materialdeckschicht 641 in dem vertieften (Spitzen-)Bereich bereitgestellt werden kann, wobei sie bei anderen Ausführungsformen lediglich über den Source/Drain-Bereichen (und nicht in den vertieften Bereichen) bereitgestellt ist. Bei einer Ausführungsform sind die Isolationsbereiche 620 Shallow-Trench-Isolation-Bereiche (STI), welche unter Verwendung herkömmlicher Technologien ausgebildet worden sind, etwa durch Ätzen des Substrat 600, um Gräben auszubilden, und daraufhin Abscheiden eines Oxidmaterials auf die Gräben, um die STI-Bereiche auszubilden. Die Isolatorbereiche 620 können aus irgendeinem geeigneten dielektrischen/nichtleitenden Material, etwa aus SiO2 hergestellt sein.As can be seen, the exemplary non-planar configuration shown in FIG 4A is shown performed by means of triple-gate components, each of which is a substrate 600 comprising a semiconductor body or a company 660 which is different from the substrate 600 through the isolation area 620 extends through. A gate electrode 640 is over three surfaces of the company 660 trained to train three gates. A hard mask 690 is on top of the gate electrode 640 educated. Gate spacers 670 . 680 are on opposite side walls of the gate electrode 640 educated. A p-type source region has the epitaxial region 631a on which is on a recessed source interface 650 as well as on a fin side wall 660 is formed, wherein a drain region of the epitaxial region 631a which is on a recessed source interface 650 as well as on the opposite side of the fin 660 (not shown) is formed. In addition, an n-type source region has the epitaxial region 631e on which is on a recessed source interface 650 as well as on a fin side wall 660 is formed, wherein a drain region of the epitaxial region 631b which is on a recessed source interface 650 as well as on the opposite side of the fin 660 (not shown) is formed. A III-V material topcoat 641 is above the source / drain regions 631a and 631b deposited. It should be noted that the III-V material topcoat 641 may be provided in the recessed (tip) region, in other embodiments being provided only over the source / drain regions (and not in the recessed regions). In one embodiment, the isolation areas are 620 Shallow trench isolation (STI) regions formed using conventional techniques, such as by etching the substrate 600 to form trenches, and then depositing an oxide material on the trenches to form the STI regions. The insulator areas 620 may be made of any suitable dielectric / non-conductive material, such as SiO 2 .

Die vorangegangene Diskussion mit Bezug auf das Substrat 300 ist im hier beschriebenen Fall gleichlautend anwendbar (zum Beispiel kann das Substrat 600 ein Siliziumsubstrat oder ein XOI-Substrat, etwa ein SOI-Substrat sein, oder ein mehrschichtiges Substrat). Wie es im Lichte der Offenbarung zu erkennen ist, können herkömmliche Verarbeitungs- und Ausbildungstechnologien dazu verwendet werden, um die FinFET-Transistorstruktur herzustellen. Gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung wird die Struktur der Source/Drain-Bereiche 631a und 631b sowie die Deckschicht 641 jedoch beispielsweise unter Verwendung eines in-situ dotiertem Siliziums oder SiGe (auch für 631a und 631b) umgesetzt werden, bedeckt mit einer III-V-Materialschicht (für 641). Wie es weiterhin zu erkennen ist, besteht eine Alternative zu der dreifach-Gate-Konfiguration in einer Doppel-Gate-Architektur, welche eine dielektrische/Isolator-Schicht auf der Oberseite der Finne 660 umfasst. Es sollte weiterhin festgehalten werden, dass die beispielhafte Form der Source/Drain-Bereiche 631 (a und b), die in 4a gezeigt ist, nicht dazu vorgesehen ist, die beanspruchte Erfindung auf irgendwelche besonderen Source/Drain-Arten oder Ausbildungsprozesse zu beschränken, wobei im Lichte der Offenbarung auch andere Source/Drain Formen (sowohl p und n) naheliegend sind (zum Beispiel runde, quadratische oder rechtwinkelige p- und n-Source/Drain-Bereiche können ebenso umgesetzt werden).The previous discussion with respect to the substrate 300 is equally applicable in the case described here (for example, the substrate 600 a silicon substrate or an XOI substrate, such as an SOI substrate, or a multilayer substrate). As can be seen in the light of the disclosure, conventional processing and formation technologies can be used to fabricate the FinFET transistor structure. According to an exemplary embodiment of the present invention, the structure of the source / drain regions 631a and 631b as well as the cover layer 641 however, for example using an in-situ doped silicon or SiGe (also for 631a and 631b ) covered with a III-V material layer (for 641 ). As will be further appreciated, an alternative to the triple-gate configuration is in a dual-gate architecture which includes a dielectric / insulator layer on top of the fin 660 includes. It should also be noted that the exemplary shape of the source / drain regions 631 (a and b), which are in 4a is not intended to limit the claimed invention to any particular source / drain or formation processes, and other source / drain shapes (both p and n) are obvious in the light of the disclosure (for example, round, square, and square) or rectangular p and n source / drain regions can also be implemented).

Es ist anzuerkennen, dass die Source/Drain-Bereiche 631 (a und b), die in 4A gezeigt sind, unter Verwendung eines Ersatzprozesses ausgebildet worden sind (zum Beispiel Ätzen, epitaktische Abscheidung, usw.). In anderen Ausführungsformen können jedoch die Source/Drain-Bereiche 631 Teil der Finne 660 sein, die aus dem Material des Substrates 600 selbst hergestellt ist, wie es am besten in 4B gezeigt ist. Obwohl lediglich ein Source/Drain Bereich 631 dargestellt ist, können eine Vielzahl derartiger Bereiche in ähnlicher Ausgestaltung (einschließlich sowohl n-Typ- als auch p-Typ-S/D-Bereiche) umgesetzt werden. Eine III-V-Materialdeckschicht 641 ist über den Source/Drain-Bereichen 631 auf eine ähnliche Weise wie mit Bezug auf die 4A beschrieben, abgeschieden. Die darüber hinaus gehende, mit Bezug auf die 4A angeführte Diskussion ist ebenso im vorliegenden Fall gleichlautend anwendbar, wie es der Fachmann ohne weiteres erkennen wird.It is to be recognized that the source / drain areas 631 (a and b), which are in 4A have been formed using a replacement process (for example, etching, epitaxial deposition, etc.). However, in other embodiments, the source / drain regions 631 Part of the Finn 660 be made of the material of the substrate 600 self made as it is best in 4B is shown. Although only a source / drain area 631 5, a plurality of such regions may be implemented in similar fashion (including both n-type and p-type S / D regions). A III-V material topcoat 641 is above the source / drain regions 631 in a similar way as with respect to the 4A described, deposited. The beyond, with respect to the 4A cited discussion is equally applicable in the present case, as the skilled person will readily recognize.

Eine weitere Alternative ist die Nanodrahtkanalarchitektur, welche beispielsweise ein Podest aus Substratmaterial 600 umfassen kann, auf welchem ein Nanodraht 660 (zum Beispiel Silizium oder SiGe) aufgewachsen oder anderweitig bereitgestellt wird, wie es am besten in 4C gezeigt ist. Ähnlich zu der Finnenstruktur, die in 4B gezeigt ist, umfasst der Nanodraht 660 Source/Drain-Bereiche 631 (wobei lediglich einer gezeigt ist, jedoch mehrere derartige Bereiche vorgesehen sein können, einschließlich sowohl p-Typ- als auch n-Typ-Bereiche, wie es zuvor erklärt worden ist). Wie auch die Finnenstruktur können die Source/Drain-Bereiche 631 aus dem Substratmaterial 600 ausgebildet werden (aus welchem auch die Nanodrähte hergestellt werden), oder aus einem oder mehreren Ersatzmaterialien (zum Beispiel Silizium oder SiGe). Das III-V-Material 641 kann beispielsweise um sämtliche Source/Drain-Bereiche 631 des Nanodraht 660 herum bereitgestellt werden, oder lediglich an einem Anteil des Nanodrahts 660 (zum Beispiel überall mit Ausnahme des Anteils auf dem Podest). Die 4D veranschaulicht eine Nanodrahtkonfiguration, welche mehrere Nanodrähte 660 aufweist (in diesem Fall sind es zwei). Wie zu erkennen ist, wird ein Nanodraht 660 in einer Vertiefung des Substrates 600 bereitgestellt, wobei der andere in der III-V-Materialschicht 641 schwimmt. Die entsprechenden Source/Drain-Bereiche 631 sind mit vertikaler Schraffierung dargestellt und können p-Typ- und/oder n-Typ-Source/Drain-Bereiche sein. Die 4E veranschaulicht ebenso eine Nanodrahtkonfiguration, welche mehrere Nanodrähte 660 aufweist, wobei in dem Beispielfall das nicht aktive Material 632 nicht während des Nanodraht-Ausbildungsprozesses zwischen den einzelnen Nanodrähten entfernt wird, was unter Verwendung verschiedener herkömmlicher Technologien ausgeführt werden kann und vom Fachmann im Lichte der Offenbarung erkannt werden wird. Es wird somit ein Nanodraht 660 in einer Vertiefung des Substrates 600 bereitgestellt, wobei der andere Nanodraht 660 effektiv auf de Oberseite des Materials 632 aufgesetzt ist. Es ist festzuhalten, dass die Nanodrähte 660 durch den Kanal aktiv sind, wobei dies das Material 632 nicht ist. Die III-V-Materialschicht 641 wird um alle übrigen freigelegten Oberflächen des Nanodrahtes 660 herum bereitgestellt. Die entsprechenden Source/Drain-Bereiche 631 sind mit vertikaler Schraffierung dargestellt und können p-Typ- und/oder n-Typ-Source/Drain-Bereiche sein.Another alternative is the nanowire channel architecture, which, for example, a pedestal of substrate material 600 may comprise, on which a nanowire 660 grown (for example, silicon or SiGe) or otherwise provided, as best in 4C is shown. Similar to the fin structure that in 4B is shown, includes the nanowire 660 Source / drain regions 631 (with only one shown, but several such regions may be provided, including both p-type and n-type regions, as previously explained). Like the fin structure, the source / drain regions can 631 from the substrate material 600 be formed (from which also the nanowires are made), or from one or more replacement materials (for example, silicon or SiGe). The III-V material 641 can be, for example, all source / drain areas 631 of the nanowire 660 be provided around, or only at a portion of the nanowire 660 (for example, everywhere except the share on the podium). The 4D illustrates a nanowire configuration that includes multiple nanowires 660 (in this case, there are two). As can be seen, a nanowire becomes 660 in a depression of the substrate 600 provided, the other in the III-V material layer 641 swims. The corresponding source / drain regions 631 are shown with vertical hatching and may be p-type and / or n-type source / drain regions. The 4E also illustrates a nanowire configuration which includes multiple nanowires 660 wherein, in the example case, the non-active material 632 is not removed during the nanowire formation process between the individual nanowires, which may be carried out using various conventional technologies and will be recognized by those skilled in the art in light of the disclosure. It is thus a nanowire 660 in a depression of the substrate 600 provided, wherein the other nanowire 660 effectively on the top of the material 632 is attached. It should be noted that the nanowires 660 through the channel are active, this being the material 632 is not. The III-V material layer 641 is added to all other exposed surfaces of the nanowire 660 provided around. The corresponding source / drain regions 631 are shown with vertical hatching and may be p-type and / or n-type source / drain regions.

Beispielsystemeexample systems

Die 5 veranschaulicht ein Computersystem 1000, welches mit einer oder mit mehreren Transistorstrukturen, die gemäß einer beispielhaften Ausführungsform der vorliegenden Erfindung aufgebaut sind, umgesetzt ist. Wie zu erkennen ist, ist in dem Computersystem 1000 ein Motherboard 1002 enthalten. Das Motherboard 1002 kann eine Mehrzahl Komponenten umfassen, einschließlich jedoch nicht begrenzt auf einen Prozessor 1004 sowie zumindest einen Kommunikationschip 1006, von denen beide physikalisch und elektrisch mit dem Motherboard 1002 verbunden oder anderweitig in dieses integriert sein können. Es wird anerkannt werden, dass das Motherboard 1002 beispielsweise irgendeine gedruckte Leiterkarte sein kann, entweder ein Mainboard oder ein Daughterboard, welches auf einem Mainboard montiert ist oder das einzige Board des Systems 1000 ist, usw. Abhängig vom konkreten Anwendungsfall kann das Computersystem 1000 eine oder mehrere Komponenten umfassen, welche physikalisch oder elektrisch mit dem Motherboard 1002 verbunden sind. Diese weiteren Komponenten können einen flüchtigen Speicher (zum Beispiel DRAM), einen nichtflüchtigen Speicher (z. B. ROM), einen Grafikprozessor, einen digitalen Signalprozessor, einen Kryptoprozessor, ein Chipset, ein Display, ein Touchscreendisplay, einen Touchscreencontroller, eine Batterie, einen Audiocodec, einen Videocodec, einen Leistungsverstärker, ein Global-Positioning-System (auch GPS), einen Kompass, einen Beschleunigungsmesser, ein Gyroskop, einen Lautsprecher, eine Kamera und ein Gerät zur Massenspeicherung (etwa eine Festplatte, eine Compaktdisc (CD), eine Digital Versatile Disc (DVD), usw.), sie sind jedoch nicht auf diese beschränkt. Diese Transistorstrukturen können beispielsweise dazu verwendet werden, einen Onboard-Prozessor-Cache oder ein Speicherarray umzusetzen. Bei manchen Ausführungsformen können verschiedene Funktionen in einen oder in mehrere Chips intergiert sein (zum Beispiel ist festzustellen, dass der Kommunikationschip 1006 Teil des Prozessors 1004 oder anderweitig in diesen integriert sein kann).The 5 illustrates a computer system 1000 implemented with one or more transistor structures constructed in accordance with an exemplary embodiment of the present invention. As can be seen, is in the computer system 1000 a motherboard 1002 contain. The motherboard 1002 may include a plurality of components, including but not limited to a processor 1004 and at least one communication chip 1006 , both of which are physically and electrically connected to the motherboard 1002 connected or otherwise integrated into this. It will be appreciated that the motherboard 1002 for example, any printed circuit board, either a motherboard or a daughterboard mounted on a motherboard or the only board of the system 1000 is, etc. Depending on the specific application, the computer system 1000 include one or more components that are physically or electrically connected to the motherboard 1002 are connected. These other components may include volatile memory (e.g., DRAM), nonvolatile memory (e.g., ROM), graphics processor, digital signal processor, cryptoprocessor, chipset, display, touchscreen display, touchscreen controller, battery, and the like Audio codec, a video codec, a power amplifier, a global positioning system (also GPS), a compass, an accelerometer, a gyroscope, a speaker, a camera and a device for mass storage (such as a hard disk, a Compaktdisc (CD), a Digital Versatile Disc (DVD), etc.), but they are not limited to these. These transistor structures may be used, for example, to implement an onboard processor cache or memory array. In some embodiments, different functions may be integrated into one or more chips (for example, it should be noted that the communication chip 1006 Part of the processor 1004 or otherwise integrated in them).

Der Kommunikationschip 1006 ermöglicht die drahtlose Kommunikation für den Transfer von Daten zu und von dem Computersystem 1000. Der Ausdruck „drahtlos” und seine Derivate können dazu verwendet werden, um Schaltkreise, Bauteile, Systeme, Verfahren, Technologien, Kommunikationskanäle, usw. zu beschreiben, welche Daten durch die Verwendung modulierter elektromagnetischer Strahlung durch ein nichtfestes Medium hindurch zu kommunizieren. Dieser Ausdruck soll nicht implizieren, dass die damit verbundenen Vorrichtungen selbst keine Drähte enthalten, obwohl bei manchen Ausführungsformen dies der Fall sein kann. Der Kommunikationschip 1006 kann jeglichen Drahtlosstandard oder jegliches Drahtlosprotokoll verwenden, einschließlich, jedoch nicht beschränkt auf Wi-Fi (IEEE 802.11-Familie), WiMAX (IEEE 802.16 Familie), IEEE 802.20, Long-Term-Evolution (LTE), Ev-DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, DCMA, TDMA, DECT, Bluetooth, Derivate dieser sowie jegliches anderes Drahtlosprotokoll, das als 3G, 4G, 5G und darüber hinaus ausgewiesen ist. Das Computersystem 1000 kann eine Vielzahl von Kommunikationschips 1006 umfassen. Beispielsweise kann ein erster Kommunikationschip 1006 für die Nahfeldkommunikation, wie WiFi und Bluetooth ausgelegt sein und ein zweiter Kommunikationschip 1006 kann für die Weitbereichsdrahtloskommunikation ausgelegt sein, wie GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, und andere. The communication chip 1006 enables wireless communication for the transfer of data to and from the computer system 1000 , The term "wireless" and its derivatives can be used to describe circuits, components, systems, methods, technologies, communication channels, etc., which communicate data through the use of modulated electromagnetic radiation through a non-solid medium. This term is not intended to imply that the associated devices themselves do not contain wires, although in some embodiments this may be the case. The communication chip 1006 may use any wireless standard or wireless protocol, including, but not limited to, Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, Long Term Evolution (LTE), Ev-DO, HSPA +, HSDPA + , HSUPA +, EDGE, GSM, GPRS, DCMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocol identified as 3G, 4G, 5G, and beyond. The computer system 1000 can be a variety of communication chips 1006 include. For example, a first communication chip 1006 be designed for near field communication, such as WiFi and Bluetooth and a second communication chip 1006 can be designed for wide area wireless communication, such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.

Der Prozessor 1004 des Computersystems 1000 umfasst einen integrierten Schaltkreischip, welcher innerhalb des Prozessors 1004 verpackt ist. Bei manchen Ausführungsformen der vorliegenden Erfindung umfasst der integrierte Schaltkreischip einen Onboard-Speicherschaltkreis, der mit einer oder mit mehrere CMOS-Transistorstrukturen, wie hierin beschrieben, umgesetzt ist, der Ausdruck „Prozessor” kann sich auf irgendein Bauteil oder einen Teil eines Bauteils beziehen, der beispielsweise elektronische Daten aus Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten umzuwandeln, welche in Registern und/oder einem Speicher gespeichert werden können.The processor 1004 of the computer system 1000 includes an integrated circuit chip which is internal to the processor 1004 is packed. In some embodiments of the present invention, the integrated circuit chip includes an on-board memory circuit implemented with one or more CMOS transistor structures as described herein, the term "processor" may refer to any device or part of a device that does For example, electronic data is processed from registers and / or memory to convert that electronic data into other electronic data that can be stored in registers and / or memory.

Der Kommunikationschip 1006 kann ebenso ein integrierter Schaltkreischip sein, welcher innerhalb des Kommunikationschips 106 verpackt ist. Gemäß manchen beispielhaften Ausführungsformen umfasst der integrierte Schaltkreischip des Kommunikationschips ein oder mehrere Bauteile, welche mit einer oder mit mehreren der hierin beschriebenen Transistorstrukturen umgesetzt ist (zum Beispiel ein On-Chip-Prozessor oder -Speicher). Wie es im Lichte der Offenbarung zu erkennen ist, kann eine Multi-Standard-Drahtlosfähigkeit direkt in den Prozessor 1004 integriert werden (zum Beispiel indem die Funktionalität irgendeines Chips 1006 in den Prozessor 1004 integriert wird, anstelle separate Kommunikationschips vorzusehen). Darüber hinaus kann der Prozessor 1004 ein Chipset aufweisen, welches eine derartige Drahtlosfähigkeit aufweist. Kurzum kann jede denkbare Anzahl von Prozessoren 1004 und/oder Kommunikationschips 1006 verwendet werden. Ebenso kann jeder Chip oder jeder Chipsatz mehrere integrierte Funktionen aufweisen.The communication chip 1006 may also be an integrated circuit chip, which is within the communication chip 106 is packed. According to some example embodiments, the integrated circuit chip of the communication chip includes one or more devices implemented with one or more of the transistor structures described herein (eg, an on-chip processor or memory). As can be seen in the light of the disclosure, a multi-standard wireless capability can be incorporated directly into the processor 1004 integrated (for example, by the functionality of any chip 1006 in the processor 1004 is integrated instead of providing separate communication chips). In addition, the processor can 1004 a chipset having such a wireless capability. In short, any conceivable number of processors 1004 and / or communication chips 1006 be used. Likewise, each chip or chip set may have multiple integrated functions.

Bei verschiedenen Ausführungsformen kann das Computersystem 1000 ein Laptop, ein Netbook, ein Notebook, ein Smartphone, ein Tablet, ein Personal Digital Assistant (PDA), ein Ultra Mobile PC, ein Mobiltelefon, ein Desktopcomupter, ein Server, ein Drucker, ein Scanner, ein Monitor, eine Set-Top-Box, eine Unterhaltungssteuerungseinheit, eine Digitalkamera, ein tragbares Musikabspielgerät oder ein digitaler Videorecorder sein. Bei anderen Ausführungsformen kann das System 1000 jedes andere elektronische Gerät sein, welches Daten verarbeitet oder Transistorbauteile der zuvor beschriebenen Art mit niedrigem Kontaktwiderstand erfordert (zum Beispiel CMOS-Bauteile, welche sowohl p- als auch n-Typ-Bauteile aufweisen).In various embodiments, the computer system 1000 a laptop, a netbook, a notebook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop comupter, a server, a printer, a scanner, a monitor, a set-top Box, an entertainment control unit, a digital camera, a portable music player or a digital video recorder. In other embodiments, the system 1000 any other electronic device that processes data or requires transistor devices of the type described above with low contact resistance (for example, CMOS devices having both p-type and n-type devices).

Verschiedene Ausführungsformen sind denkbar und die hierin beschriebenen Merkmale können in jeder denkbaren Zusammensetzung kombiniert werden. Eine beispielhafte Ausführungsform der vorliegenden Erfindung bildet einen integrierten Halbleiterschaltkreis. Der integrierte Schaltkreis umfasst ein Substrat, welches eine Mehrzahl Kanalbereiche aufweist, sowie eine Gateelektrode über jedem Kanalbereich, wobei eine Gate-Dielektrikumsschicht zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereitgestellt ist. Der integrierte Schaltkreis umfasst weiterhin p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, und n-Typ-Source/Drain-Bereiche in dem Substrat sowie angrenzend an einen entsprechenden Kanalbereich. Der integrierte Schaltkreis umfasst weiterhin eine III-V-Halbleitermaterialschicht auf zumindest einem Anteil der p-Typ-Source/Drain-Bereiche sowie einem Anteil der n-Typ-Source/Drain-Bereiche. Der integrierte Schaltkreis umfasst weiterhin einen Metallkontakt auf der III-V-Halbleitermaterialschicht. In machen Fällen ist die III-V-Halbleitermaterialschicht undotiert. In manchen Beispielfällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,5 eV auf. In anderen Beispielfällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,2 eV auf. In machen Fällen ist die III-V-Halbleitermaterialschicht dotiert. In machen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein Dopingschema auf, welches Dasselbe für sowohl die p-Typ- als auch die n-Typ-Source/Drain-Bereiche ist. In anderen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und ein zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche auf. Die III-V-Halbleitermaterialschicht kann dotiert sein, beispielsweise mit einem oder mehreren amphoteren Dotanden (C, Si, Ge und/oder Sn). In einem solchen Fall ist die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden dotiert, mit einer Austauschkonzentration von 1E18 Atomen/cm3. Das Bauteil kann beispielsweise mit Hilfe einer planaren Transistorarchitektur oder mit einer nicht-planaren Transistorarchitektur umgesetzt sein. In einem solchen Fall weist die nicht-planare Transistorarchitektur zumindest einen FinFET-Transistor und/oder einen Nanodraht-Transistor auf. In manchen Fällen weisen die p-Typ- und die n-Typ-Soure/Drain-Bereiche Silizium oder Germanium oder eine Legierung dieser auf. Eine andere Ausführungsform der vorliegenden Erfindung stellt ein elektronisches Bauteil bereit, welches eine bedruckte Leiterkarte umfasst, die einen oder mehrere integrierte Schaltkreise aufweist, die auf verschiedene Weise in diesem Absatz beschrieben worden sind. In einem derartigen Fall weist der andere oder weisen die mehreren integrierten Schaltkreise zumindest einen Kommunikationschip und/oder einen Prozessor auf. Das Gerät kann beispielsweise ein Computer sein.Various embodiments are conceivable and the features described herein may be combined in any conceivable composition. An exemplary embodiment of the present invention forms a semiconductor integrated circuit. The integrated circuit includes a substrate having a plurality of channel regions and a gate electrode over each channel region, wherein a gate dielectric layer is provided between each gate electrode and a corresponding channel region. The integrated circuit further includes p-type source / drain regions in the substrate and adjacent to a corresponding channel region, and n-type source / drain regions in the substrate and adjacent to a corresponding channel region. The integrated circuit further comprises a III-V semiconductor material layer on at least a portion of the p-type source / drain regions and a portion of the n-type source / drain regions. The integrated circuit further includes metal contact on the III-V semiconductor material layer. In some cases, the III-V semiconductor material layer is undoped. In some example cases, the III-V semiconductor material layer has a band gap of less than 0.5 eV. In other example cases, the III-V semiconductor material layer has a band gap of less than 0.2 eV. In some cases, the III-V semiconductor material layer is doped. In such cases, the III-V semiconductor material layer has a doping scheme which is the same for both the p-type and n-type source / drain regions. In other such cases, the III-V semiconductor material layer has a first doping scheme for the p-type source / drain regions and a second doping scheme for the n-type source / drain regions. The III-V semiconductor material layer may be doped, for example with one or more amphoteric dopants (C, Si, Ge and / or Sn). In such a case, the III-V semiconductor material layer is doped with one or more amphoteric dopants, with an exchange concentration of 1E18 atoms / cm 3 . The component can be implemented, for example, by means of a planar transistor architecture or with a non-planar transistor architecture. In such a case, the non-planar transistor architecture has at least one FinFET transistor and / or one nanowire transistor. In some cases, the p-type and n-type soure / drain regions include silicon or germanium or an alloy thereof. Another embodiment of the present invention provides an electronic component that includes a printed circuit board having one or more integrated circuits that have been described in various ways in this paragraph. In such a case, the other or the plurality of integrated circuits has at least one communication chip and / or one processor. The device may be, for example, a computer.

Andere Ausführungsformen der vorliegenden Erfindung stellen ein Bauteil oder Gerät bereit, welches ein Silizium-enthaltendes Substrat aufweist, mit einer Mehrzahl von Kanalbereichen, sowie mit einer Gateelektrode über jedem Kanalbereich, wobei eine Gate-Dielektrikumsschicht zwischen jeder Gateelektrode und/oder einem entsprechenden Kanalbereich bereitgestellt ist. Das Bauteil umfasst weiterhin p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, wobei die p-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen, und wobei n-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich vorliegen, wobei die n-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen. Das Bauteil oder Gerät umfasst weiterhin eine III-V-Halbleitermaterialschicht auf zumindest einem Anteil der p-Typ-Source/Drain-Bereiche und auf einem Anteil der n-Typ-Source/Drain-Bereiche, sowie einen Metallkontakt auf der III-V-Halbleitermaterialschicht für jeden der p-Typ- und der n-Typ-Source/Drain-Bereiche. Gemäß einer besonderen beispielhaften Ausführungsform wird eine III-V-Materialabscheidung von InSb auf Si, einer SiGe-Legierung sowie Ge-Source/Drain-Bereichen mittels Simulation vorausberechnet, um der Leitfähigkeit eine möglichst geringe Barriere entgegenzusetzen. Andere geeignete III-V-Materialschichten werden sich im Lichte der Offenbarung dem Fachmann ergeben. In machen Fällen ist die III-V-Halbleitermaterialschicht undotiert. In machen Fällen weist die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,5 eV auf. In manchen Fällen ist die III-V-Halbleitermaterialschicht dotiert. In manchen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein Dotierungsschema auf, welches für die p-Typ- und die n-Typ-Source/Drain-Bereiche dasselbe ist. In anderen derartigen Fällen weist die III-V-Halbleitermaterialschicht ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und eine zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche auf. In manchen Fällen ist die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden wie Ge (z. B. mit einer Austauschkonzentration von mehr als 1E18 Atome/cm3) dotiert.Other embodiments of the present invention provide a device comprising a silicon-containing substrate having a plurality of channel regions, and a gate electrode over each channel region, wherein a gate dielectric layer is provided between each gate electrode and / or a corresponding channel region , The device further includes p-type source / drain regions in the substrate and adjacent a corresponding channel region, the p-type source / drain regions comprising silicon, germanium, or an alloy thereof, and wherein n-type source / Drain regions in the substrate and adjacent to a corresponding channel region, wherein the n-type source / drain regions silicon, germanium or an alloy thereof. The device further comprises a III-V semiconductor material layer on at least a portion of the p-type source / drain regions and on a portion of the n-type source / drain regions, and a metal contact on the III-V Semiconductor material layer for each of the p-type and n-type source / drain regions. According to a particular exemplary embodiment, a III-V material deposition of InSb on Si, a SiGe alloy and Ge source / drain regions is predicted by simulation in order to counteract the conductivity as low as possible a barrier. Other suitable III-V material layers will be apparent to those skilled in the art in light of the disclosure. In some cases, the III-V semiconductor material layer is undoped. In some cases, the III-V semiconductor material layer has a band gap of less than 0.5 eV. In some cases, the III-V semiconductor material layer is doped. In some such cases, the III-V semiconductor material layer has a doping scheme which is the same for the p-type and n-type source / drain regions. In other such cases, the III-V semiconductor material layer has a first doping scheme for the p-type source / drain regions and a second doping scheme for the n-type source / drain regions. In some cases, the III-V semiconductor material layer is doped with one or more amphoteric dopant such as Ge (z. B. exchange with a concentration greater than 1E18 atoms / cm 3).

Eine andere Ausführungsform der vorliegenden Erfindung stellt ein Verfahren für die Ausbildung eines Halbleiterbauteils bereit. Das Verfahren umfasst das Bereitstellen eines Substrates, welches eine Mehrzahl von Kanalbereichen aufweist, sowie das Bereitstellen einer Gateelektrode oberhalb jedes Kanalbereiches, wobei eine Gatedielektrikumsschicht zwischen der Gateelektrode und einem entsprechendem Kanalbereich bereitgestellt wird. Das Verfahren umfasst weiterhin das Bereitstellen von p-Typ-Source/Drain-Bereichen, in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, sowie das Bereitstellen von n-Typ-Source/Drain-Bereichen in dem Substrat und angrenzend an einen entsprechenden Kanalbereich. Das Verfahren umfasst weiterhin das Bereitstellen einer III-V-Halbleitermaterialschicht auf zumindest einem Teil der p-Typ-Source/Drain-Bereiche sowie einem Teil der n-Typ-Source/Drain-Bereiche. Das Verfahren umfasst weiterhin das Bereitstellen eines Metallkontaktes auf der III-V-Halbleitermaterialschicht.Another embodiment of the present invention provides a method of forming a semiconductor device. The method includes providing a substrate having a plurality of channel regions, and providing a gate electrode above each channel region, wherein a gate dielectric layer is provided between the gate electrode and a corresponding channel region. The method further includes providing p-type source / drain regions in the substrate and adjacent to a corresponding channel region, and providing n-type source / drain regions in the substrate and adjacent to a corresponding channel region. The method further comprises providing a III-V semiconductor material layer on at least a portion of the p-type source / drain regions and a portion of the n-type source / drain regions. The method further comprises providing a metal contact on the III-V semiconductor material layer.

Die vorangegangene Beschreibung der Ausführungsformen der Erfindung dient zum Zwecke der Veranschaulichung und Beschreibung. Diese ist nicht dazu vorgesehen, erschöpfend zu sein oder die Erfindung auf die exakten, dargestellten Ausführungsformen zu beschränken. Viele unterschiedliche Abwandlungen und Variationen sind im Lichte der Offenbarung denkbar. Es ist vorgesehen, dass der Umfang der Erfindung nicht durch die genaue Beschreibung beschränkt wird, sondern vielmehr durch die anhängenden Ansprüche.The foregoing description of the embodiments of the invention has been presented for purposes of illustration and description. This is not intended to be exhaustive or to limit the invention to the exact embodiments illustrated. Many different variations and variations are conceivable in light of the revelation. It is intended that the scope of the invention be limited not by the precise description, but rather by the appended claims.

Claims (25)

Integrierter Halbleiterschaltkreis, der aufweist: ein Substrat, das eine Mehrzahl Kanalbereiche aufweist; eine Gateelektrode oberhalb jedes Kanalbereichs, wobei eine Gatedielektrikumsschicht zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereitgestellt ist; p-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich; n-Typ-Source/Drain-Bereiche in dem Substrat und angrenzend an einen entsprechenden Kanalbereich; eine III-V-Halbleitermaterialschicht auf zumindest einem Teil der p-Typ-Source/Drain-Bereiche und auf einem Teil der n-Typ-Source/Drain-Bereiche; und ein Metallkontakt auf der III-V-Halbleitermaterialschicht.A semiconductor integrated circuit comprising: a substrate having a plurality of channel regions; a gate electrode above each channel region, wherein a gate dielectric layer is provided between each gate electrode and a corresponding channel region; p-type source / drain regions in the substrate and adjacent to a respective channel region; n-type source / drain regions in the substrate and adjacent to a respective channel region; a III-V semiconductor material layer on at least a portion of the p-type source / drain regions and on a portion of the n-type source / drain regions; and a metal contact on the III-V semiconductor material layer. Integrierter Schaltkreis nach Anspruch 1, bei dem die III-V-Halbleitermaterialschicht undotiert ist.An integrated circuit according to claim 1, wherein the III-V semiconductor material layer is undoped. Integrierter Schaltkreis nach Anspruch 1 oder 2, bei dem die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,5 eV aufweist.An integrated circuit according to claim 1 or 2, wherein the III-V semiconductor material layer has a band gap of less than 0.5 eV. Integrierter Schaltkreis nach einem der vorangegangenen Ansprüche, bei dem die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,2 eV aufweist.An integrated circuit according to any one of the preceding claims, wherein the III-V semiconductor material layer has a band gap of less than 0.2 eV. Integrierter Schaltkreis nach Anspruch 1, bei dem die III-V-Halbleitermaterialschicht dotiert ist.An integrated circuit according to claim 1, wherein said III-V semiconductor material layer is doped. Integrierter Schaltkreis nach Anspruch 5, bei dem die III-V-Halbleitermaterialschicht ein Dotierungsschema aufweist, welches dasselbe für sowohl die p-Typ- als auch die n-Typ-Source/Drain-Bereiche ist.The integrated circuit of claim 5, wherein the III-V semiconductor material layer has a doping scheme that is the same for both the p-type and n-type source / drain regions. Integrierter Schaltkreis nach Anspruch 5, bei dem die III-V-Halbleitermaterialschicht ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und ein zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche aufweist.The integrated circuit of claim 5, wherein the III-V semiconductor material layer has a first doping scheme for the p-type source / drain regions and a second doping scheme for the n-type source / drain regions. Integrierter Schaltkreis nach einem der Ansprüche 5 bis 7, bei dem die III-V-Halbeleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden dotiert ist.An integrated circuit according to any one of claims 5 to 7, wherein the III-V half-fiber material layer is doped with one or more amphoteric dopants. Integrierter Schaltkreis nach Anspruch 8, bei dem die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden mit einer Austauschkonzentration von mehr als 1E18 Atome/cm3 dotiert ist.The integrated circuit of claim 8, wherein the III-V semiconductor material layer is doped with one or more amphoteric dopants at an exchange concentration of greater than 1E18 atoms / cm 3 . Integrierter Schaltkreis, nach einem der vorangegangenen Ansprüche, bei dem das Bauteil mit einer planaren Transistorarchitektur ausgeführt ist.An integrated circuit according to any one of the preceding claims, wherein the device is implemented with a planar transistor architecture. Integrierter Schaltkreis nach einem der vorangegangenen Ansprüche, bei dem das Bauteil mit einer nicht-planaren Transistorarchitektur ausgeführt ist.An integrated circuit according to any one of the preceding claims, wherein the device is implemented with a non-planar transistor architecture. Integrierter Schaltkreis nach Anspruch 11, bei dem die nicht-planare Transistorarchitektur FinFET-Transistoren und/oder Nanodraht-Transistoren aufweist.The integrated circuit of claim 11, wherein the non-planar transistor architecture comprises FinFET transistors and / or nanowire transistors. Integrierter Schaltkreis nach einem der vorangegangenen Ansprüche, bei dem die p-Typ und die n-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen.An integrated circuit as claimed in any one of the preceding claims, wherein the p-type and n-type source / drain regions comprise silicon, germanium or an alloy thereof. Elektronisches Bauteil, das eine gedruckte Leiterkarte aufweist, die einen oder mehrere integrierte Schaltkreise gemäß einem der vorangegangenen Ansprüche aufweist.An electronic component comprising a printed circuit board having one or more integrated circuits according to any one of the preceding claims. Elektrisches Bauteil nach Anspruch 14, bei dem der eine Schaltkreis oder die mehreren integrierten Schaltkreise zumindest einen Kommunikationschip und/oder einen Prozessor aufweisen.The electrical component of claim 14, wherein the one or more integrated circuits comprise at least one communication chip and / or a processor. Elektronisches Bauteil nach Anspruch 14 oder 15, bei dem das Bauteil ein Computer ist.An electronic component according to claim 14 or 15, wherein the component is a computer. Bauteil, das aufweist: ein Silizium enthaltendes Substrat, welches eine Mehrzahl Kanalbereiche aufweist; eine Gateelektrode oberhalb jedes Kanalbereichs, wobei eine Gatedielektrikumsschicht zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereitgestellt ist; p-Typ-Source/Drain-Bereiche, in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, wobei die p-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung aufweisen; n-Typ-Source/Drain-Bereiche, in dem Substrat und angrenzend an einen entsprechenden Kanalbereich, wobei die n-Typ-Source/Drain-Bereiche Silizium, Germanium oder eine Legierung dieser aufweisen; eine III-V-Halbleitermaterialschicht auf zumindest einem Anteil der p-Source/Drain-Bereiche und einem Anteil der n-Typ-Source/Drain-Bereiche; und ein Metallkontakt auf der III-V-Halbleitermaterialschicht für sowohl die p-Typ- als auch die n-Typ-source/Drain-Bereiche.Component comprising: a silicon-containing substrate having a plurality of channel regions; a gate electrode above each channel region, wherein a gate dielectric layer is provided between each gate electrode and a corresponding channel region; p-type source / drain regions, in the substrate and adjacent to a respective channel region, the p-type source / drain regions comprising silicon, germanium, or an alloy; n-type source / drain regions, in the substrate and adjacent to a respective channel region, the n-type source / drain regions comprising silicon, germanium, or an alloy thereof; a III-V semiconductor material layer on at least a portion of the p-source / drain regions and a portion of the n-type source / drain regions; and a metal contact on the III-V semiconductor material layer for both the p-type and n-type source / drain regions. Bauteil nach Anspruch 17, bei dem die III-V-Halbleitermaterialschicht undotiert ist.The device of claim 17, wherein the III-V semiconductor material layer is undoped. Bauteil nach Anspruch 17, bei dem die III-V-Halbleitermaterialschicht dotiert ist.The device of claim 17, wherein the III-V semiconductor material layer is doped. Bauteil nach Anspruch 19, bei dem die III-V-Halbleitermaterialschicht ein Dotierungsschema aufweist, welches dasselbe für sowohl die p-Typ- als auch die n-Typ-Source/Drain-Bereiche ist.The device of claim 19, wherein the III-V semiconductor material layer has a doping scheme that is the same for both the p-type and n-type source / drain regions. Bauteil nach Anspruch 19, bei dem die III-V-Halbleitermaterialschicht ein erstes Dotierungsschema für die p-Typ-Source/Drain-Bereiche und ein zweites Dotierungsschema für die n-Typ-Source/Drain-Bereiche aufweist.The device of claim 19, wherein the III-V semiconductor material layer has a first doping scheme for the p-type source / drain regions and a second doping scheme for the n-type source / drain regions. Bauteil nach einem der Ansprüche 19 bis 21, bei dem die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden dotiert ist.A device according to any of claims 19 to 21, wherein the III-V semiconductor material layer is doped with one or more amphoteric dopants. Bauteil nach Anspruch 22, bei dem die III-V-Halbleitermaterialschicht mit einem oder mit mehreren amphoteren Dotanden mit einer Austauschkonzentration von mehr als 1E18 Atome/cm3 dotiert ist. The device of claim 22, wherein the III-V semiconductor material layer is doped with one or more amphoteric dopants at an exchange concentration of greater than 1E18 atoms / cm 3 . Bauteil nach einem der Ansprüche 17 bis 23, bei dem die III-V-Halbleitermaterialschicht eine Bandlücke von weniger als 0,5 eV aufweist.A device according to any one of claims 17 to 23, wherein the III-V semiconductor material layer has a bandgap of less than 0.5 eV. Verfahren für die Ausbildung eines Halbleiterbauteils, das aufweist: Bereitstellen eines Substrates, welches eine Mehrzahl Kanalbereiche aufweist; Bereitstellen einer Gateelektrode oberhalb jedes Kanalbereichs, wobei eine Gatedielektrikumsschicht zwischen jeder Gateelektrode und einem entsprechenden Kanalbereich bereit gestellt wird; Bereitstellen von p-Typ-Source/Drain-Bereichen in dem Substrat und angrenzend an einen entsprechenden Kanalbereich; Bereitstellen von n-Typ-Source/Drain-Bereichen in dem Substrat und angrenzend an einen entsprechenden Kanalbereich; Bereitstellen einer III-V-Halbleitermaterialschicht auf zumindest einem Teil der p-Typ-Source/Drain-Bereiche und einem Teil der n-Typ-Source/Drain-Bereiche; und Bereitstellen eines Metallkontaktes auf der III-V-Halbleitermaterialschicht.A method of forming a semiconductor device, comprising: Providing a substrate having a plurality of channel regions; Providing a gate electrode above each channel region, providing a gate dielectric layer between each gate electrode and a corresponding channel region; Providing p-type source / drain regions in the substrate and adjacent to a corresponding channel region; Providing n-type source / drain regions in the substrate and adjacent to a corresponding channel region; Providing a III-V semiconductor material layer on at least a portion of the p-type source / drain regions and a portion of the n-type source / drain regions; and Providing a metal contact on the III-V semiconductor material layer.
DE112011105972.8T 2011-12-20 2011-12-20 III-V layers for N-type and P-type MOS source/drain contacts Active DE112011105972B4 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/066132 WO2013095375A1 (en) 2011-12-20 2011-12-20 Iii-v layers for n-type and p-type mos source-drain contacts

Publications (2)

Publication Number Publication Date
DE112011105972T5 true DE112011105972T5 (en) 2014-09-25
DE112011105972B4 DE112011105972B4 (en) 2023-05-25

Family

ID=48669033

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112011105972.8T Active DE112011105972B4 (en) 2011-12-20 2011-12-20 III-V layers for N-type and P-type MOS source/drain contacts

Country Status (5)

Country Link
US (3) US9153583B2 (en)
KR (3) KR20140097464A (en)
DE (1) DE112011105972B4 (en)
TW (1) TWI567987B (en)
WO (1) WO2013095375A1 (en)

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8896066B2 (en) 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
WO2013095375A1 (en) 2011-12-20 2013-06-27 Intel Corporation Iii-v layers for n-type and p-type mos source-drain contacts
KR101790153B1 (en) * 2011-12-27 2017-10-26 인텔 코포레이션 Methods to enhance doping concentration in near-surface layers of semiconductors and methods of making same
US9142649B2 (en) * 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10438856B2 (en) 2013-04-03 2019-10-08 Stmicroelectronics, Inc. Methods and devices for enhancing mobility of charge carriers
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9105707B2 (en) * 2013-07-24 2015-08-11 International Business Machines Corporation ZRAM heterochannel memory
US10147793B2 (en) 2013-07-30 2018-12-04 Samsung Electronics Co., Ltd. FinFET devices including recessed source/drain regions having optimized depths
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9281401B2 (en) 2013-12-20 2016-03-08 Intel Corporation Techniques and configurations to reduce transistor gate short defects
US9530776B2 (en) * 2014-01-17 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET semiconductor device with germanium diffusion over silicon fins
US9236397B2 (en) * 2014-02-04 2016-01-12 Globalfoundries Inc. FinFET device containing a composite spacer structure
US9431492B2 (en) 2014-02-21 2016-08-30 Samsung Electronics Co., Ltd. Integrated circuit devices including contacts and methods of forming the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102135301B1 (en) * 2014-03-26 2020-07-17 인텔 코포레이션 Iii-n transistors with enhanced breakdown voltage
US9947772B2 (en) * 2014-03-31 2018-04-17 Stmicroelectronics, Inc. SOI FinFET transistor with strained channel
US9299781B2 (en) * 2014-04-01 2016-03-29 Globalfoundries Inc. Semiconductor devices with contact structures and a gate structure positioned in trenches formed in a layer of material
US9171934B2 (en) 2014-04-01 2015-10-27 Globalfoundries Inc. Methods of forming semiconductor devices using a layer of material having a plurality of trenches formed therein
US9472628B2 (en) 2014-07-14 2016-10-18 International Business Machines Corporation Heterogeneous source drain region and extension region
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI575748B (en) * 2014-09-01 2017-03-21 聯華電子股份有限公司 Pfet and cmos containing same
EP3195364A4 (en) 2014-09-18 2018-04-25 Intel Corporation Wurtzite heteroepitaxial structures with inclined sidewall facets for defect propagation control in silicon cmos-compatible semiconductor devices
KR102311937B1 (en) * 2014-09-23 2021-10-14 삼성전자주식회사 Semiconductor device having contact plug and method of forming the same
JP6376575B2 (en) 2014-09-25 2018-08-22 インテル・コーポレーション III-N epitaxial device structure on free-standing silicon mesa
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10573647B2 (en) 2014-11-18 2020-02-25 Intel Corporation CMOS circuits using n-channel and p-channel gallium nitride transistors
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
CN106922200B (en) 2014-12-18 2021-11-09 英特尔公司 N-channel gallium nitride transistor
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
WO2016105397A1 (en) 2014-12-23 2016-06-30 Intel Corporation Iii-v semiconductor alloys for use in the subfin of non-planar semiconductor devices and methods of forming the same
WO2016105396A1 (en) * 2014-12-23 2016-06-30 Intel Corporation Diffusion tolerant iii-v semiconductor heterostructures and devices including the same
US9502567B2 (en) * 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9418846B1 (en) * 2015-02-27 2016-08-16 International Business Machines Corporation Selective dopant junction for a group III-V semiconductor device
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102504576B1 (en) 2015-05-19 2023-02-28 인텔 코포레이션 Semiconductor devices with raised doped crystalline structures
US9543216B2 (en) * 2015-06-05 2017-01-10 Globalfoundries Inc. Integration of hybrid germanium and group III-V contact epilayer in CMOS
CN107667430B (en) 2015-06-26 2022-07-22 英特尔公司 High mobility semiconductor source/drain spacers
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102349040B1 (en) 2015-06-26 2022-01-10 인텔 코포레이션 Hetero-epitaxial structures with high temperature stable substrate interface material
US10546858B2 (en) 2015-06-27 2020-01-28 Intel Corporation Low damage self-aligned amphoteric FINFET tip doping
KR102352659B1 (en) * 2015-06-27 2022-01-18 인텔 코포레이션 Low Damage Self-Aligned Amphoteric FINFET Tip Doping
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) * 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US10658471B2 (en) 2015-12-24 2020-05-19 Intel Corporation Transition metal dichalcogenides (TMDCS) over III-nitride heteroepitaxial layers
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102481427B1 (en) 2016-01-13 2022-12-27 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9905663B2 (en) * 2016-06-24 2018-02-27 International Business Machines Corporation Fabrication of a vertical fin field effect transistor with a reduced contact resistance
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9824921B1 (en) * 2016-07-06 2017-11-21 Globalfoundries Inc. Method and apparatus for placing a gate contact inside a semiconductor active region having high-k dielectric gate caps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10332986B2 (en) * 2016-08-22 2019-06-25 International Business Machines Corporation Formation of inner spacer on nanosheet MOSFET
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9653464B1 (en) * 2016-09-14 2017-05-16 International Business Machines Corporation Asymmetric band gap junctions in narrow band gap MOSFET
KR102549340B1 (en) 2016-09-27 2023-06-28 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10147719B2 (en) * 2016-11-17 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor field effect transistors and manufacturing method thereof
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180060328A (en) * 2016-11-28 2018-06-07 삼성전자주식회사 Electronic apparatus for processing multi-modal input, method for processing multi-modal input and sever for processing multi-modal input
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US20200161440A1 (en) * 2017-06-30 2020-05-21 Intel Corporation Metal to source/drain contact area using thin nucleation layer and sacrificial epitaxial film
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10453753B2 (en) * 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11233053B2 (en) 2017-09-29 2022-01-25 Intel Corporation Group III-nitride (III-N) devices with reduced contact resistance and their methods of fabrication
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
WO2019117946A1 (en) * 2017-12-15 2019-06-20 Intel Corporation Reducing off-state leakage in semiconductor devices
WO2019132858A1 (en) * 2017-12-26 2019-07-04 Intel Corporation Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nmos transistors
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10840052B2 (en) * 2018-06-22 2020-11-17 International Business Machines Corporation Planar gate-insulated vacuum channel transistor
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11410890B2 (en) * 2018-06-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial layers in source/drain contacts and methods of forming the same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN112930591A (en) 2018-09-18 2021-06-08 应用材料公司 In-situ integrated chamber
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
WO2020163104A1 (en) 2019-02-08 2020-08-13 Applied Materials, Inc. Semiconductor device, method of making a semiconductor device, and processing system
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
CN111613666B (en) * 2020-06-04 2023-04-18 英诺赛科(珠海)科技有限公司 Semiconductor assembly and its manufacturing method
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100475084B1 (en) 2002-08-02 2005-03-10 삼성전자주식회사 DRAM semiconductor device and fabrication method thereof
US20050266654A1 (en) 2004-05-27 2005-12-01 Hattendorf Michael L Barrier to amorphization implant
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US8188551B2 (en) * 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7560780B2 (en) 2005-12-08 2009-07-14 Intel Corporation Active region spacer for semiconductor devices and method to form the same
DE102006030261B4 (en) 2006-06-30 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating a drain / source extension structure of a reduced boron diffusion transistor field effect transistor
US7943469B2 (en) 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
KR100864631B1 (en) * 2007-02-23 2008-10-22 주식회사 하이닉스반도체 Transistor of semiconductor device and method for fabricating the same
US7864120B2 (en) 2007-05-31 2011-01-04 Palm, Inc. High isolation antenna design for reducing frequency coexistence interference
US10236032B2 (en) 2008-09-18 2019-03-19 Novachips Canada Inc. Mass data storage system with non-volatile memory modules
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
KR101669470B1 (en) 2009-10-14 2016-10-26 삼성전자주식회사 Semiconductor device including metal silicide layer
US8455334B2 (en) * 2009-12-04 2013-06-04 International Business Machines Corporation Planar and nanowire field effect transistors
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
US20120305891A1 (en) * 2011-06-03 2012-12-06 Nayfeh Osama M Graphene channel transistors and method for producing same
US8823011B2 (en) * 2011-08-17 2014-09-02 Bae Systems Information And Electronic Systems Integration Inc. High linearity bandgap engineered transistor
US8420459B1 (en) * 2011-10-20 2013-04-16 International Business Machines Corporation Bulk fin-field effect transistors with well defined isolation
US8896066B2 (en) 2011-12-20 2014-11-25 Intel Corporation Tin doped III-V material contacts
KR101560112B1 (en) 2011-12-20 2015-10-13 인텔 코포레이션 Self-aligned contact metallization for reduced contact resistance
WO2013095375A1 (en) 2011-12-20 2013-06-27 Intel Corporation Iii-v layers for n-type and p-type mos source-drain contacts
US20130299895A1 (en) 2012-05-09 2013-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Iii-v compound semiconductor device having dopant layer and method of making the same

Also Published As

Publication number Publication date
KR101790605B1 (en) 2017-10-27
KR101891458B1 (en) 2018-08-24
US20160027781A1 (en) 2016-01-28
US9705000B2 (en) 2017-07-11
US20130285155A1 (en) 2013-10-31
US9153583B2 (en) 2015-10-06
TWI567987B (en) 2017-01-21
US9397102B2 (en) 2016-07-19
US20160329431A1 (en) 2016-11-10
TW201342612A (en) 2013-10-16
DE112011105972B4 (en) 2023-05-25
KR20160045923A (en) 2016-04-27
KR20170120208A (en) 2017-10-30
WO2013095375A1 (en) 2013-06-27
KR20140097464A (en) 2014-08-06

Similar Documents

Publication Publication Date Title
DE112011105972B4 (en) III-V layers for N-type and P-type MOS source/drain contacts
DE112013007031B4 (en) Devices based on selectively epitaxially grown III-V materials
US9754940B2 (en) Self-aligned contact metallization for reduced contact resistance
DE102020103710A1 (en) Rear contacts for semiconductor devices
DE112011105970B4 (en) CMOS implementation from germanium and III-V nanowires and nanobelts in gate all-round architecture
DE102019121928A1 (en) STACKED NANO WIRE TRANSISTOR STRUCTURE WITH DIFFERENT CHANNEL GEOMETRIES FOR LOADING
DE102019116859A1 (en) METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE, AND A SEMICONDUCTOR DEVICE
DE112016007299T5 (en) BACK SOURCE / DRAIN REPLACEMENT FOR SEMICONDUCTOR ELEMENTS WITH METALLIZATION ON BOTH SIDES
DE112013005625T5 (en) Implementation of thin transistor elements from silicon to silicon germanium
DE102017122830A1 (en) METHOD FOR PRODUCING A SEMICONDUCTOR COMPONENT AND A SEMICONDUCTOR COMPONENT
DE102016115986A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE112018002294B4 (en) VERTICAL TRANSPORT TRANSISTORS WITH EQUAL GATE STACK THICKNESSES AND RELATED MANUFACTURING PROCESS
DE112016006691T5 (en) Transistor Gate Trenching processing to reduce capacitance and resistance
DE112018003323T5 (en) USE A MULTI-LAYER GATE SPACER TO REDUCE THE EROSION OF A SEMICONDUCTOR FIN DURING A SPACER STRUCTURE
DE112017008046T5 (en) PMOS AND NMOS CONTACTS IN A COMMON TRENCH
DE112017008312T5 (en) HETEROGENOUS GE / III-V CMOS TRANSISTOR STRUCTURES
DE112013007051T5 (en) CMOS-compatible polycide fuse structure and method of making the same
DE112020005273B4 (en) Method for forming a self-aligned dielectric column nanosheet transistor
DE112017007849T5 (en) DOPED INSULATOR CAP TO REDUCE SOURCE / DRAIN DIFFUSION FOR GERMANIUM NMOS TRANSISTORS
DE102018108821A1 (en) METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE, AND SEMICONDUCTOR DEVICE
DE112017007829T5 (en) SOURCE / DRAIN DIFFUSION BARRIER FOR GERMANIUM NMOS TRANSISTORS
DE112016006664T5 (en) CORROSION OF A GRATERAL NECK TO PROVIDE A DOUBLE DIVERSE
DE112015006960T5 (en) LANG CHANNEL MOS TRANSISTORS FOR CRAZY LOSS ARMS APPLICATIONS ON A SHORT CHANNEL CMOS CHIP
DE112018005420T5 (en) THIN FILM TRANSISTORS WITH LOW CONTACT RESISTANCE
DE102019109878A1 (en) Method of manufacturing a semiconductor device and semiconductor device

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029780000

Ipc: H01L0029410000

R081 Change of applicant/patentee

Owner name: GOOGLE LLC, MOUNTAIN VIEW, US

Free format text: FORMER OWNER: INTEL CORPORATION, SANTA CLARA, CALIF., US

R082 Change of representative

Representative=s name: BETTEN & RESCH PATENT- UND RECHTSANWAELTE PART, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final