DE102023114986A1 - Optische vorrichtung und herstellungsverfahren - Google Patents

Optische vorrichtung und herstellungsverfahren Download PDF

Info

Publication number
DE102023114986A1
DE102023114986A1 DE102023114986.5A DE102023114986A DE102023114986A1 DE 102023114986 A1 DE102023114986 A1 DE 102023114986A1 DE 102023114986 A DE102023114986 A DE 102023114986A DE 102023114986 A1 DE102023114986 A1 DE 102023114986A1
Authority
DE
Germany
Prior art keywords
optical
bonding
layer
laser
laser die
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023114986.5A
Other languages
English (en)
Inventor
Hsing-Kuo Hsia
Jui Lin Chao
Chen-Hua Yu
Chih-Hao Yu
Shih-Peng Tai
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023114986A1 publication Critical patent/DE102023114986A1/de
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12121Laser

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Optical Integrated Circuits (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)

Abstract

Es werden optische Vorrichtungen und Herstellungsverfahren vorgestellt, in denen ein Laser-Die oder eine andere heterogene Vorrichtungen innerhalb einer optischen Vorrichtung eingebettet und mit anderen Vorrichtungen evaneszent gekoppelt ist. Das evaneszente Koppeln kann entweder vom Laser-Die zu einem Wellenleiter, zu einem externen Hohlraum, zu einem externen Koppler, oder zu einem Interposer-Substrat durchgeführt werden.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 63/377,096 , die am 26. September 2022 eingereicht wurde und die hier durch Rückbezug aufgenommen ist.
  • HINTERGRUND
  • Elektrische Signalgebung und -verarbeitung stellen eine Technik zur Signalübertragung und -verarbeitung dar. Optische Signalgebung und -verarbeitung werden in den letzten Jahren in immer mehr Anwendungen verwendet, insbesondere aufgrund der Nutzung von Glasfaserbezogenen Anwendungen zur Signalübertragung.
  • Optische Signalgebung und -verarbeitung werden typischerweise mit elektrischer Signalgebung und -verarbeitung kombiniert, um vollwertige Anwendungen anzubieten. Zum Beispiel können optische Fasern für eine Signalübertragung über große Entfernungen verwendet werden, und elektrische Signale können für eine Signalübertragung über kurze Entfernungen sowie für die Verarbeitung und Steuerung verwendet werden. Dementsprechend werden Vorrichtungen, die optische Komponenten mit großer Reichweite und elektrische Komponenten mit kurzer Reichweite integrieren, für die Umwandlung zwischen optischen Signalen und elektrischen Signalen sowie die Verarbeitung optischer Signale und elektrischer Signale ausgebildet. Packages können daher sowohl optische (photonische) Dies, die optischen Vorrichtungen aufweisen, als auch elektronische Dies, die elektronischen Vorrichtungen aufweisen, aufweisen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein
    • 1 bis 10 zeigen ein Ausbilden eines ersten optischen Package mit einem eingebetteten Laser-Die, gemäß einigen Ausführungsformen.
    • 11 bis 12 zeigen ein Aufnehmen des ersten optischen Package auf Substraten, gemäß einigen Ausführungsformen.
    • 13A bis 13G zeigen ein Ausbilden des ersten optischen Package mit einem Fusionsbond, gemäß einigen Ausführungsformen.
    • 14A bis 20F zeigen ein Ausbilden des ersten optischen Package mit einem externen Hohlraum, gemäß einigen Ausführungsformen.
    • 21A bis 27K zeigen ein Ausbilden einer ersten Übertragungsvorrichtung und einer zweiten Übertragungsvorrichtung mit einem ersten externen Koppler und einem zweiten externen Koppler, gemäß einigen Ausführungsformen.
    • 28 bis 35C zeigen ein Ausbilden eines Interposers, der die erste Übertragungsvorrichtung und die zweite Übertragungsvorrichtung koppelt, gemäß einigen Ausführungsformen.
    • 36A bis 36C zeigen andere Vorrichtungen, die zusätzlich zum Laser-Die in jeder Ausführungsform verwendet werden können, gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese stellen selbstverständlich lediglich Beispiele dar und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element ausgebildet werden können, so dass das erste und das zweite Element möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor.
  • Außerdem können hierin Begriffe, die sich auf räumliche Relativität beziehen, wie z.B. „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal (zu anderen Elementen oder Merkmalen), wie in den Figuren dargestellt, zu beschreiben. Die Begriffe, die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der verwendeten oder betriebenen Vorrichtung zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auf eine andere Weise ausgerichtet sein (um 90 Grad gedreht oder anders ausgerichtet) und die hier verwendeten Bezeichnungen, die räumliche Relativität betreffen, können gleichermaßen dementsprechend ausgelegt werden.
  • Ausführungsformen werden nun unter Bezugnahme auf bestimmte Ausführungsformen besprochen, in denen ein oder mehrere Laser-Dies innerhalb einer kompakten universellen photonischen Engine (COUPE) eingebettet sind und Licht von den Laser-Dies in andere optische Vorrichtungen evaneszent eingekoppelt wird. Jedoch sollen die hier dargestellten Ausführungsformen veranschaulichend sein und sollen die Ausführungsform nicht auf die konkreten besprochenen Beschreibungen einschränken. Vielmehr können die besprochenen Ausführungsformen in einer großen Vielfalt von Implementierungen aufgenommen werden, und alle solchen Implementierungen sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • Unter Bezugnahme auf 1 ist eine Anfangsstruktur eines optischen Interposers 100 (zu sehen in 5) gemäß einigen Ausführungsformen dargestellt. In der in 1 dargestellten konkreten Ausführungsform ist der optische Interposer 100 eine photonische integrierte Schaltung (PIC) und weist bei dieser Stufe ein erstes Substrat 101, eine erste Isolationsschicht 103, und eine Schicht aus Material 105 für eine erste aktive Schicht 201 erster optischer Komponenten 203 (in 1 nicht separat dargestellt, aber weiter unten unter Bezugnahme auf 2 gezeigt und besprochen) auf. In einer Ausführungsform können zu Beginn des Herstellungsprozesses des optischen Interposers 100 das erste Substrat 101, die erste Isolationsschicht 103 und die Schicht aus Material 105 für die erste aktive Schicht 201 erster optischer Komponenten 203 gemeinsam Teil eines SOI-Substrats (Silizium auf einem Isolator) sein. Wenn zunächst das erste Substrat 101 betrachtet wird, kann das erste Substrat 101 ein Halbleitermaterial, wie z.B. Silizium oder Germanium, ein dielektrisches Material, wie z.B. Glas, oder ein beliebiges anderes geeignetes Material sein, das eine strukturelle Unterstützung darüberliegender Vorrichtungen ermöglicht.
  • Die erste Isolationsschicht 103 kann eine dielektrische Schicht sein, die das erste Substrat 101 von der darüberliegenden ersten aktiven Schicht 201 trennt und in einigen Ausführungsformen zusätzlich als ein Abschnitt eines Verkleidungsmaterials dienen kann, das die anschließend hergestellten ersten optischen Komponenten 203 (weiter unten besprochen) umgibt. In einer Ausführungsform kann die erste Isolationsschicht 103 Siliziumoxid, Siliziumnitrid, Germaniumoxid, Germaniumnitrid, Kombinationen von diesen oder dergleichen sein, das unter Verwendung eines Verfahrens, wie z.B. einer Implantation (um z.B. eine vergrabene Oxidschicht (BOX-Schicht) auszubilden), ausgebildet wird oder ansonsten unter Verwendung eines Abscheidungsverfahrens, wie z.B. einer chemischen Gasphasenabscheidung, einer Atomlagenabscheidung, einer physikalischen Gasphasenabscheidung, Kombinationen von diesen, oder dergleichen, auf dem ersten Substrat 101 abgeschieden werden kann. Jedoch können ein beliebiges geeignetes Material und Herstellungsverfahren verwendet werden.
  • Das Material 105 für die erste aktive Schicht 201 ist anfänglich (vor einem Strukturieren) eine konforme Schicht aus Material, die verwendet werden wird, um ein Herstellen der ersten aktiven Schicht 201 der ersten optischen Komponenten 203 zu beginnen. In einer Ausführungsform kann das Material 105 für die erste aktive Schicht 201 ein lichtdurchlässiges Material sein, das als ein Kernmaterial für die gewünschten ersten optischen Komponenten 203 verwendet werden kann, wie z.B. ein Halbleitermaterial, wie z.B. Silizium, Germanium, Siliziumgermanium, Kombinationen von diesen oder dergleichen, während in anderen Ausführungsformen das Material 105 für die erste aktive Schicht 201 ein dielektrisches Material sein kann, wie z.B. Siliziumnitrid oder dergleichen, obwohl in anderen Ausführungsformen das Material 105 für die erste aktive Schicht 201 III-V-Materialien, Lithiumniobat-Materialien oder Polymere sein kann. In Ausführungsformen, in denen das Material 105 der ersten aktiven Schicht 201 abgeschieden wird, kann das Material 105 für die erste aktive Schicht 201 unter Verwendung eines Verfahrens, wie z.B. eines epitaktischen Wachstums, einer chemischen Gasphasenabscheidung, einer Atomlagenabscheidung, einer physikalischen Gasphasenabscheidung, Kombinationen von diesen oder dergleichen, abgeschieden werden. In anderen Ausführungsformen, in denen die erste Isolationsschicht 103 unter Verwendung eines Implantationsverfahrens ausgebildet wird, kann das Material 105 der ersten aktiven Schicht 201 zunächst Teil des ersten Substrats 101 vor dem Implantationsprozess zum Ausbilden der ersten Isolationsschicht 103 sein. Jedoch können beliebige geeignete Materialien und Herstellungsverfahren verwendet werden, um das Material 105 der ersten aktiven Schicht 201 auszubilden.
  • 2 zeigt, dass, nachdem das Material 105 für die erste aktive Schicht 201 fertig ist, die ersten optischen Komponenten 203 für die erste aktive Schicht 201 unter Verwendung des Materials 105 für die erste aktive Schicht 201 hergestellt werden. In Ausführungsformen können die ersten optischen Komponenten 203 der ersten aktiven Schicht 201 solche Komponenten aufweisen, wie optische Wellenleiter (z.B. Stegwellenleiter, Rippenwellenleiter, vergrabene Kanalwellenleiter, diffundierte Wellenleiter usw.), Koppler (z.B. Gitterkoppler, Kantenkoppler, die ein verengter Wellenleiter mit einer Breite von zwischen ungefähr 1 nm und ungefähr 200 nm sind, usw.), Richtkoppler, optische Modulatoren (z.B. Silizium-photonische Mach-Zehnder-Schalter, mikroelektromechanische Schalter, Mikroringresonatoren usw.), Verstärker, Multiplexer, Demultiplexer, optisch-elektrische Wandler (z.B. P-N-Übergänge), elektrisch-optische Wandler, Laser, Kombinationen von diesen oder dergleichen. Jedoch können beliebige geeignete erste optische Komponenten 203 verwendet werden.
  • Um mit dem Ausbilden der ersten aktiven Schicht 201 erster optischer Komponenten 203 aus dem Ausgangsmaterial zu beginnen, kann das Material 105 für die erste aktive Schicht 201 in die gewünschten Formen für die erste aktive Schicht 201 erster optischer Komponenten 203 strukturiert werden. In einer Ausführungsform kann das Material 105 für die erste aktive Schicht 201 z.B. unter Verwendung eines oder mehrerer fotolithografischer Maskierungs- und Ätzprozesse strukturiert werden. Jedoch kann ein beliebiges geeignetes Verfahren zum Strukturieren des Materials 105 für die erste aktive Schicht 201 verwendet werden. Für einige der ersten optischen Komponenten 203, wie z.B. Wellenleiter oder Kantenkoppler, kann der Strukturierungsprozess die gesamte oder zumindest den größten Teil der zum Ausbilden dieser ersten optischen Komponenten 203 verwendeten Herstellung darstellen.
  • 3 zeigt, dass für jene Komponenten, die weitere Herstellungsprozesse verwenden, wie z.B. Silizium-photonische Mach-Zehnder-Schalter, die Widerstandsheizelemente verwenden, eine zusätzliche Verarbeitung entweder vor oder nach dem Strukturieren des Materials für die erste aktive Schicht 201 durchgeführt werden kann. Zum Beispiel können Implantationsprozesse, zusätzliche Abscheidungs- und Strukturierungsprozesse für verschiedene Materialien (z.B. Widerstandsheizelemente, III-V-Materialien für Wandler), Kombinationen aller diesen Prozesse oder dergleichen verwendet werden, um die Herstellung der verschiedenen gewünschten ersten optischen Komponenten 203 zu unterstützen. In einer bestimmten Ausführungsform, und wie in 3 konkret dargestellt, kann in einigen Ausführungsformen eine epitaktische Abscheidung eines Halbleitermaterials 301, wie z.B. Germanium (das z.B. zur Modulation und Umwandlung elektrischer/optischer Signale verwendet wird) auf einem strukturierten Abschnitt des Materials 105 der ersten aktiven Schicht 201 durchgeführt werden. In einer solchen Ausführungsform kann das Halbleitermaterial 301 epitaktisch aufgewachsen werden, um dabei zu helfen, z.B. eine Fotodiode für einen optischelektrischen Wandler herzustellen. Alle solchen Herstellungsprozesse und alle geeigneten ersten optischen Komponenten 203 können hergestellt werden, und alle solchen Kombinationen sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • 4 zeigt, dass, nachdem die einzelnen ersten optischen Komponenten 203 der ersten aktiven Schicht 201 ausgebildet wurden, eine zweite Isolationsschicht 401 abgeschieden werden kann, um die ersten optischen Komponenten 203 abzudecken und ein zusätzliches Verkleidungsmaterial bereitzustellen. In einer Ausführungsform kann die zweite Isolationsschicht 401 eine dielektrische Schicht sein, die die einzelnen Komponenten der ersten aktiven Schicht 201 voneinander und von den darüberliegenden Strukturen trennt, und zusätzlich kann sie als ein weiterer Abschnitt eines Verkleidungsmaterials, das die ersten optischen Komponenten 203 umgibt, dienen. In einer Ausführungsform kann die zweite Isolationsschicht 401 Siliziumoxid, Siliziumnitrid, Germaniumoxid, Germaniumnitrid, Kombinationen von diesen oder dergleichen sein, das unter Verwendung eines Abscheidungsverfahrens, wie z.B. einer chemischen Gasphasenabscheidung, einer Atomlagenabscheidung, einer physikalischen Gasphasenabscheidung, Kombinationen von diesen, oder dergleichen, ausgebildet wird. Nachdem das Material der zweiten Isolationsschicht 401 abgeschieden wurde, kann das Material z.B. unter Verwendung eines chemisch-mechanischen Polierprozesses planarisiert werden, um entweder eine obere Fläche der zweiten Isolationsschicht 401 zu planarisieren (in Ausführungsformen, in denen die zweite Isolationsschicht 401 die ersten optischen Komponenten 203 vollständig abdecken soll), oder ansonsten die zweite Isolationsschicht 401 mit oberen Flächen der ersten optischen Komponenten 203 zu planarisieren. Jedoch können ein beliebiges geeignetes Material und Herstellungsverfahren verwendet werden.
  • 5 zeigt, dass, nachdem die ersten optischen Komponenten 203 der ersten aktiven Schicht 201 hergestellt wurden und die zweite Isolationsschicht 401 ausgebildet wurde, erste Metallisierungsschichten 501 ausgebildet werden, um die erste aktive Schicht 201 erster optischer Komponenten 203 mit Steuerschaltungen, miteinander und mit anschließend angebrachten Vorrichtungen (in 5 nicht dargestellt, aber weiter unten unter Bezugnahme auf 7 gezeigt und beschrieben) elektrisch zu verbinden. In einer Ausführungsform werden die ersten Metallisierungsschichten 501 aus abwechselnden Schichten eines dielektrischen und eines leitfähigen Materials ausgebildet und können mithilfe beliebiger geeigneter Prozesse (wie z.B. Abscheidung, Damascene, Dual-Damascene usw.) ausgebildet werden. In konkreten Ausführungsformen können mehrere Schichten einer Metallisierung vorhanden sein, die verwendet werden, um die verschiedenen ersten optischen Komponenten 203 miteinander zu verbinden, aber die genaue Anzahl der ersten Metallisierungsschichten 501 hängt vom Design des optischen Interposers 100 ab.
  • Außerdem können während der Herstellung der ersten Metallisierungsschichten 501 eine oder mehrere zweite optische Komponenten 503 als Teil der ersten Metallisierungsschichten 501 ausgebildet werden. In einigen Ausführungsformen können die zweiten optischen Komponenten 503 der ersten Metallisierungsschichten 501 solche Komponenten aufweisen, wie z.B. Koppler (z.B. Kantenkoppler, Gitterkoppler usw.) für eine Verbindung mit Außensignalen, optische Wellenleiter (z.B. Stegwellenleiter, Rippenwellenleiter, vergrabene Kanalwellenleiter, diffundierte Wellenleiter), optische Modulatoren (z.B. Silizium-photonische Mach-Zehnder-Schalter, mikroelektromechanische Schalter, Mikroringresonatoren usw.), Verstärker, Multiplexer, Demultiplexer, optisch-elektrische Wandler (z.B. P-N-Übergänge), elektrisch-optische Wandler, Laser, Kombinationen von diesen oder dergleichen. Jedoch können beliebige geeignete optische Komponenten für die eine oder die mehreren zweiten optischen Komponenten 503 verwendet werden.
  • In einer Ausführungsform können die eine oder die mehreren zweiten optischen Komponenten 503 ausgebildet werden, indem zunächst ein Material für die eine oder die mehreren zweiten optischen Komponenten 503 abgeschieden wird. In einer Ausführungsform kann das Material für die eine oder die mehreren zweiten optischen Komponenten 503 ein dielektrisches Material, wie z.B. Siliziumnitrid, Siliziumoxid, Kombinationen von diesen, oder dergleichen, oder ein Halbleitermaterial, wie z.B. Silizium, sein, das unter Verwendung eines Abscheidungsverfahrens, wie z.B. einer chemischen Gasphasenabscheidung, einer Atomlagenabscheidung, einer physikalischen Gasphasenabscheidung, Kombinationen von diesen oder dergleichen abgeschieden wird. Jedoch können ein beliebiges geeignetes Material und ein beliebiges geeignetes Abscheidungsverfahren verwendet werden.
  • Nachdem das Material für die eine oder die mehreren zweiten optischen Komponenten 503 abgeschieden oder auf eine andere Weise ausgebildet wurde, kann das Material in die gewünschten Formen für die eine oder die mehreren zweiten optischen Komponenten 503 strukturiert werden. In einer Ausführungsform kann das Material der einen oder der mehreren zweiten optischen Komponenten 503 z.B. unter Verwendung eines oder mehrerer fotolithografischer Maskierungs- und Ätzprozesse strukturiert werden. Jedoch kann ein beliebiges geeignetes Verfahren zum Strukturieren des Materials für die eine oder die mehreren zweiten optischen Komponenten 503 verwendet werden.
  • Für einige der einen oder der mehreren zweiten optischen Komponenten 503, wie z.B. Wellenleiter oder Kantenkoppler, kann der Strukturierungsprozess die gesamte oder zumindest den größten Teil der zum Ausbilden dieser Komponenten verwendeten Herstellung darstellen. Außerdem kann für jene Komponenten, die weitere Herstellungsprozesse nutzen, wie z.B. Silizium-photonische Mach-Zehnder-Schalter, die Widerstandsheizelemente verwenden, eine zusätzliche Verarbeitung entweder vor oder nach dem Strukturieren des Materials für die eine oder die mehreren zweiten optischen Komponenten 503 durchgeführt werden. Zum Beispiel Implantationsprozesse, zusätzliche Abscheidungs- und Strukturierungsprozesse für verschiedene Materialien, Kombinationen aller diesen Prozesse oder dergleichen, und sie können verwendet werden, um die Herstellung der verschiedenen gewünschten von der einen oder den mehreren zweiten optischen Komponenten 503 voranzubringen. Alle solchen Herstellungsprozesse und alle geeigneten von der einen oder den mehreren zweiten optischen Komponenten 503 können hergestellt werden, und alle solchen Kombinationen sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • Nachdem die eine oder die mehreren zweiten optischen Komponenten 503 der ersten Metallisierungsschichten 501 hergestellt wurden, wird eine erste Bondschicht 505 über den ersten Metallisierungsschichten 501 ausgebildet. In einer Ausführungsform kann die erste Bondschicht 505 für einen Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bond verwendet werden. Gemäß einigen Ausführungsformen wird die Bondschicht 505 aus einem ersten dielektrischen Material 509, wie z.B. Siliziumoxid, Siliziumnitrid oder dergleichen, ausgebildet. Das erste dielektrische Material 509 kann unter Verwendung eines beliebigen geeigneten Verfahrens, wie z.B. einer CVD, einer chemischen Gasphasenabscheidung unter Verwendung von hochdichtem Plasma (HDPCVD), einer PVD, einer Atomlagenabscheidung (ALD) oder dergleichen, abgeschieden werden. Jedoch können beliebige geeignete Materialien und Abscheidungsprozesse verwendet werden.
  • Nachdem das erste dielektrische Material 509 ausgebildet wurde, werden erste Öffnungen im ersten dielektrischen Material 509 ausgebildet, um als Vorbereitung auf ein Ausbilden erster Bondpads 507 innerhalb der ersten Bondschicht 505 leitfähige Abschnitte der darunterliegenden Schichten freizulegen. Nachdem die ersten Öffnungen innerhalb des ersten dielektrischen Materials 509 ausgebildet wurden, können die ersten Öffnungen mit einer Keimschicht und einem Plattierungsmetall gefüllt werden, um die ersten Bondpads 507 innerhalb des ersten dielektrischen Materials 509 auszubilden. Die Keimschicht kann über oberen Flächen des ersten dielektrischen Materials 509 und den freigelegten leitfähigen Abschnitten der darunterliegenden Schichten und Seitenwänden der Öffnungen und der zweiten Öffnungen flächendeckend abgeschieden werden. Die Keimschicht kann eine Kupferschicht aufweisen. Die Keimschicht kann je nach den gewünschten Materialien unter Verwendung von Prozessen, wie z.B. einem Sputtern, einem Aufdampfen oder einer plasmaunterstützten chemischen Gasphasenabscheidung (PECVD) oder dergleichen, abgeschieden werden. Das Plattierungsmetall kann über der Keimschicht mithilfe eines Plattierungsprozesses, wie z.B. eines Elektro- oder eines stromlosen Plattierens, abgeschieden werden. Das Plattierungsmetall kann Kupfer, eine Kupferlegierung oder dergleichen enthalten. Das Plattierungsmetall kann ein Füllmaterial sein. Eine Barriereschicht (nicht separat dargestellt) kann über oberen Flächen des ersten dielektrischen Materials 509 und Seitenwänden der Öffnungen und der zweiten Öffnungen vor der Keimschicht flächendeckend abgeschieden werden. Die Barriereschicht kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen enthalten.
  • Nach dem Füllen der ersten Öffnungen wird ein Planarisierungsprozess, wie z.B. ein CMP, durchgeführt, um überschüssige Abschnitte der Keimschicht und des Plattierungsmetalls zu entfernen, wodurch die ersten Bondpads 507 innerhalb der ersten Bondschicht 505 ausgebildet werden. In einigen Ausführungsformen kann eine Bondpad-Durchkontaktierung (nicht separat dargestellt) ebenfalls verwendet werden, um die ersten Bondpads 507 mit darunterliegenden leitfähigen Abschnitten zu verbinden und, über die darunterliegenden leitfähigen Abschnitte, die ersten Bondpads 507 mit den ersten Metallisierungsschichten 501 zu verbinden.
  • Außerdem kann die erste Bondschicht 505 auch eine oder mehrere dritte optische Komponenten 511 aufweisen, die innerhalb der ersten Bondschicht 505 aufgenommen sind. In einer solchen Ausführungsform können vor dem Abscheiden des ersten dielektrischen Materials 509 die eine oder die mehreren dritten optischen Komponenten 511 unter Verwendung ähnlicher Verfahren und Materialien wie bei der einen oder den mehreren zweiten optischen Komponenten 503 (vorstehend beschriebenen) hergestellt werden, indem sie z.B. Wellenleiter und andere Strukturen sind, die zumindest teilweise durch einen Abscheidungs- und Strukturierungsprozess ausgebildet werden. Jedoch können beliebige geeignete Strukturen, Materialien und beliebige geeignete Herstellungsverfahren verwendet werden.
  • 6A bis 6O zeigen einen Herstellungsprozess zum Ausbilden eines Laser-Die 600, der mit dem optischen Interposer 100 verbunden sein wird. Der Laser-Die 600 wird verwendet, um Licht zum Versorgen der anderen optischen Komponenten (z.B. der ersten optischen Komponenten 203, der zweiten optischen Komponenten 503, der dritten optisch Komponenten 511 usw.) zu generieren, und kann lichtgenerierende Strukturen, wie z.B. eine Laserdiode 602 (in 6A nicht separat dargestellt, aber weiter unten unter Bezugnahme auf 6B gezeigt und beschrieben), aufweisen. In bestimmten Ausführungsformen kann die Laserdiode 602 eine Fabry-Perot-Diode sein und kann auf III-V-Materialien, II-VI-Materialien oder einem beliebigen anderen geeigneten Materialiensatz basieren.
  • In einer Ausführungsform kann das Ausbilden des Laser-Die 600 durch Ausbilden eines ersten Kontakts 603, einer ersten Pufferschicht 605, einer ersten aktiven Diodenschicht 607, die mehrere Quantentöpfe (MQWs) aufweist, einer zweiten Pufferschicht 608, eines Stegmaterials 609, und eines zweiten Kontakts 611 über einem zweiten Substrat 601 eingeleitet werden. In einer Ausführungsform kann das zweite Substrat 601 ein Material sein, das nicht nur für eine strukturelle Unterstützung verwendet werden kann, sondern auch als ein Keimmaterial zum epitaktischen Aufwachsen darüberliegender Materialien verwendet werden kann, und kann zum Beispiel ein 2-Zoll- oder 4-Zoll-Wafer aus Material sein. In bestimmten Ausführungsformen, in denen der Laser-Die 600 III-V-Materialien zum Ausbilden der gewünschten Laser nutzt, kann das zweite Substrat 601 ein Material, wie z.B. InP, GaAS oder GaSb, sein, während in Ausführungsformen, in denen der Laser-Die 600 II-VI-Materialien zum Ausbilden der gewünschten Laser nutzt, das zweite Substrat 601 ein Material, wie z.B. GaAs, CdTe, ZnSe, sein kann. In noch weiteren Ausführungsformen kann das zweite Substrat 601 ein Saphir- oder ein Halbleitermaterial sein. Alle geeigneten Materialien können verwendet werden.
  • Der erste Kontakt 603 wird über dem zweiten Substrat 601 ausgebildet. Der erste Kontakt 603 bildet einen Teil der Laser-Diode 602, die zum Emittieren des gewünschten Lasers verendet wird. In einer Ausführungsform, in der der Laser-Die 600 III-V-Verbindungen nutzt, ist der erste Kontakt 603 eine Verbindung, wie z.B. InP, GaN, InN, AlN, AlxGa(1-x)N, AlxIn(1-x)N, AlxInyGa(1-x-y)N, Kombinationen davon oder dergleichen. Außerdem kann in Ausführungsformen, in denen der Laser-Die 600 II-VI-Verbindungen nutzt, der erste Kontakt 603 weiterhin ein III-Material, wie z.B. GaAs, InP, GaSB, Kombinationen von diesen oder dergleichen, verwenden.
  • Außerdem kann, um beim Ausbilden der Laserdiode 602 (z.B. der n-p-Diode) zu helfen, um den gewünschten Laser zu generieren, der erste Kontakt 603 mit einem Dotierstoff dotiert werden. In Ausführungsformen, in denen gewünscht ist, dass der erste Kontakt 603 eine n-Leitfähigkeit aufweist, kann der erste Kontakt 603 mit einem n-Dotierstoff, wie z.B. Phosphor, Arsen, Antimon, Wismut, Lithium, Kombinationen von diesen oder dergleichen, dotiert werden. In anderen Ausführungsformen, in denen gewünscht ist, dass der erste Kontakt 603 eine p-Leitfähigkeit aufweist, kann der erste Kontakt 603 mit p-Dotierstoffen, wie z.B. Bor, Aluminium, Gallium, Indium, Kombinationen von diesen oder dergleichen, dotiert werden. Jedoch können beliebige geeignete Dotierstoffe verwendet werden.
  • In einigen Ausführungsformen wird der erste Kontakt 603 zum Beispiel durch einen epitaktischen Wachstumsprozess, wie z.B. eine Molekularstrahlepitaxie (MBE), ausgebildet, obwohl andere Prozesse, wie z.B. Hydriddampfphasenepitaxie (HVPE), Flüssigphasenepitaxie (LPE) oder dergleichen, ebenfalls verwendet werden können. Der erste Kontakt 603 wird vorzugsweise während des Ausbildens in-situ dotiert, obwohl andere Prozesse, wie z.B. Ionenimplantation oder Diffusion, verwendet werden können.
  • Die erste Pufferschicht 605 wird über dem ersten Kontakt 603 ausgebildet und wird verwendet, um das epitaktische Wachstum darüberliegender Schichten (z.B. der ersten aktiven Diodenschicht 607) beim Übergang vom Material des ersten Kontakts 603 zum Material der darüberliegenden Schicht zu unterstützen. In einer Ausführungsform, in der der Laser-Die 600 III-V-Verbindungen nutzt, ist die erste Pufferschicht 605 eine Verbindung, wie z.B. InGaAsP, InGaAlAs, InGaAs, Kombinationen davon oder dergleichen. Außerdem kann in Ausführungsformen, in denen der Laser-Die 601 II-VI-Verbindungen nutzt, die erste Pufferschicht 605 ein II-VI-Material, wie z.B. BeMgZnSe, BeZnCdSe, BeTe, Kombinationen von diesen oder dergleichen, sein. Außerdem kann die erste Pufferschicht 605 unter Verwendung eines epitaktischen Wachstumsprozesses, wie z.B. einer Molekularstrahlepitaxie (MBE), abgeschieden werden, obwohl andere Prozesse, wie Hydriddampfphasenepitaxie (HVPE), Flüssigphasenepitaxie (LPE) oder dergleichen, ebenfalls verwendet werden können, und sie kann auf eine ähnliche Weise wie der erste Kontakt 603 dotiert werden. Jedoch können ein beliebiges geeignetes Material und ein beliebiges geeignetes Abscheidungsverfahren verwendet werden.
  • Die erste aktive Diodenschicht 607 wird über der ersten Pufferschicht 605 ausgebildet. Die erste aktive Diodenschicht 607 wird unter anderem dazu ausgelegt, die Generierung von Licht auf gewünschte Wellenlängen zu steuern. Zum Beispiel kann durch Einstellen und Steuern der proportionalen Zusammensetzung der Elemente in der ersten aktiven Diodenschicht 607 die Bandlücke der Materialien in der ersten aktiven Diodenschicht 607 eingestellt werden, wodurch die Wellenlänge von Licht, das schließlich emittiert wird, eingestellt wird.
  • Die erste aktive Diodenschicht 607 weist mehrere Quantentöpfe (MQW) auf. MQW-Strukturen in der ersten aktiven Diodenschicht 607 in Ausführungsformen, die III-V-Materialien nutzen, kann zum Beispiel Schichten aus InAlGaAs, InGaN, GaN, AlxInyGa(1-x-y)N (wobei 0<=x<=1), oder dergleichen aufweisen, während in Ausführungsformen, die II-VIbasierte Materialien nutzen, die erste aktive Diodenschicht 607 Materialien, wie z.B. BeZnCdSe, enthalten kann. Die erste aktive Diodenschicht 607 kann eine beliebige Anzahl von Quantentöpfen, wie zum Beispiel 5 bis 20 Quantentöpfe, aufweisen. Die MQWs werden vorzugsweise unter Verwendung der ersten Pufferschicht 605 als einer Keimschicht unter Verwendung einer metallorganischen chemischen Gasphasenabscheidung (MOCVD) epitaktisch aufgewachsen, obwohl andere Prozesse, wie z.B. MBE, HVPE, LPE oder dergleichen, ebenfalls verwendet werden können.
  • Die zweite Pufferschicht 608 wird fakultativ über der ersten aktiven Diodenschicht 607 ausgebildet und wird verwendet, um das epitaktische Wachstum darüberliegender Schichten (z.B. des Stegmaterials 609) beim Übergang vom Material der ersten aktiven Diodenschicht 607 zum Material der darüberliegenden Schicht zu unterstützen. In einer Ausführungsform, in der der Laser-Die 600 III-V-Verbindungen nutzt, ist die zweite Pufferschicht 608 eine Verbindung, wie z.B. InGaAsP, InGaAlAs, InGaAs, Kombinationen davon oder dergleichen. Außerdem kann in Ausführungsformen, in denen der Laser-Die 601 II-VI-Verbindungen nutzt, die zweite Pufferschicht 608 ein II-VI-Material, wie z.B. BeMgZnSe, BeZnCdSe, BeTe, Kombinationen von diesen oder dergleichen, sein. Außerdem kann die zweite Pufferschicht 608 unter Verwendung eines epitaktischen Wachstumsprozesses, wie z.B. einer Molekularstrahlepitaxie (MBE), abgeschieden werden, obwohl andere Prozesse, wie z.B. Hydriddampfphasenepitaxie (HVPE), Flüssigphasenepitaxie (LPE) oder dergleichen, ebenfalls verwendet werden können, und sie kann auf eine entgegengesetzte Weise als der erste Kontakt 603 dotiert werden, wie z.B. indem sie auf eine p-Leitfähigkeit dotiert wird, wenn der erste Kontakt 603 auf eine n-Leitfähigkeit dotiert ist. Jedoch können ein beliebiges geeignetes Material und ein beliebiges geeignetes Abscheidungsverfahren verwendet werden.
  • Das Stegmaterial 609 wird ausgebildet, um das epitaktische Wachstum einer darüberliegenden Schicht (z.B. des zweiten Kontakts 611) beim Übergang vom Material der zweiten Pufferschicht 608 zum Material der darüberliegenden Schicht zu unterstützen. In einer Ausführungsform, in der der Laser-Die 600 III-V-Verbindungen nutzt, ist das Stegmaterial 609 eine Verbindung, wie z.B. InP oder dergleichen. Außerdem kann in Ausführungsformen, in denen der Laser-Die 601 II-VI-Verbindungen nutzt, das Stegmaterial 609 ein II-VI-Material, wie z.B. BeMgZnSe, BeZnCdSe, BeTe, Kombinationen von diesen oder dergleichen, sein. Außerdem kann das Stegmaterial 609 unter Verwendung von Dotierstoffen einer entgegengesetzten Leitfähigkeit, bezogen auf den ersten Kontakt 603, dotiert werden, wie z.B. indem es auf eine p-Leitfähigkeit dotiert wird, wenn der erste Kontakt 603 auf eine n-Leitfähigkeit dotiert ist. Das Stegmaterial 609 kann eine oder mehrere Schichten sein und kann unter Verwendung eines epitaktischen Wachstumsprozesses, wie z.B. einer Molekularstrahlepitaxie (MBE), abgeschieden werden, obwohl andere Prozesse, wie z.B. Hydriddampfphasenepitaxie (HVPE), Flüssigphasenepitaxie (LPE) oder dergleichen, ebenfalls verwendet werden können. Jedoch können ein beliebiges geeignetes Material und ein beliebiges geeignetes Abscheidungsverfahren verwendet werden.
  • Der zweite Kontakt 611 wird über dem Stegmaterial 609 ausgebildet. Der zweite Kontakt 611 bildet den zweiten Teil der Laserdiode 602, der in Verbindung mit dem ersten Kontakt 603 zum Emittieren von Licht verwendet wird. In einer Ausführungsform, in der der Laser-Die 600 auf III-V-Materialien basiert, enthält der zweite Kontakt 611 eine Gruppe-III-V-Verbindung, wie z.B. InAlAs, GaN, InN, AlN, AlxGa(1-x)N, AlxIn(1-x)N, AlxInyGa(1-x-y)N, Kombinationen davon oder dergleichen, die mit einem Dotierstoff eines zweiten Leitfähigkeitstyps (z.B. p-GaN), der dem ersten Leitfähigkeitstyp im ersten Kontakt 603 entgegengesetzt ist, dotiert ist. In einer anderen Ausführungsform, in der der Laser-Die 600 auf II-VI-Materialien basiert, kann der zweite Kontakt 611 ein II-VI-Material, wie z.B. BeTe, BeMgZnSe, BeZnCdSe, Kombinationen von diesen oder dergleichen, sein. Die zweite Kontaktschicht 611 kann zum Beispiel durch einen epitaktischen Wachstumsprozess, wie z.B. MOCVD, ausgebildet werden. Jedoch können beliebige geeignete Materialien und beliebige andere geeignete Prozesse, wie z.B. HVPE, LPE, MBE, oder dergleichen, ebenfalls verwendet werden.
  • 6B zeigt ein Strukturieren des zweiten Kontakts 611, des Stegmaterials 609, der zweiten Pufferschicht 608, der ersten aktiven Diodenschicht 607, der ersten Pufferschicht 605 und des ersten Kontakts 603, um die Schichtstruktur der gewünschten Laserdiode 602 auszubilden. In einer Ausführungsform können der zweite Kontakt 611 und das Stegmaterial 609 z.B. unter Verwendung eines ersten fotolithografischen Maskierungs- und Ätzprozesses strukturiert werden. Nachdem der zweite Kontakt 611 und der Abschnitt des Stegmaterials 609 strukturiert wurden, können die zweite Pufferschicht 608, die erste aktive Diodenschicht 607 und die erste Pufferschicht 605 z.B. unter Verwendung eines zweiten fotolithografischen Maskierungs- und Ätzprozesses strukturiert werden. Schließlich kann der erste Kontakt 603 z.B. unter Verwendung eines dritten fotolithografischen Maskierungs- und Ätzprozesses strukturiert werden, so dass er eine adiabatische Verjüngung aufweist, um die evaneszente Kopplung mit darunterliegenden Schichten zu unterstützen. Jedoch können ein beliebiger geeigneter Strukturierungsprozess und eine beliebige geeignete Anzahl von Strukturierungsprozessen verwendet werden, um eine gewünschte Struktur für den Laser zu erreichen.
  • 6B zeigt außerdem ein Abscheiden einer ersten Passivierungsschicht 613 über der Struktur. In einer Ausführungsform wird die erste Passivierungsschicht 613 aus einem Material ausgebildet, das verwendet wird, um die Struktur von darüberliegenden Strukturen elektrisch zu isolieren und zu schützen, und kann ein Material, wie z.B. Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Kombinationen von diesen oder dergleichen, sein, und kann unter Verwendung eines chemischen Gasphasenabscheidungsprozesses, eines Atomlagenabscheidungsprozesses, eines physikalischen Gasphasenabscheidungsprozesses, Kombinationen von diesen oder dergleichen abgeschieden werden. Jedoch können beliebige geeignete Materialien und beliebige geeignete Abscheidungsverfahren verwendet werden.
  • 6C zeigt ein Strukturieren der ersten Passivierungsschicht 613, um Durchkontaktierungsöffnungen durch die erste Passivierungsschicht 613 auszubilden und den ersten Kontakt 603 und den zweiten Kontakt 611 freizulegen. In einer Ausführungsform kann das Strukturieren z.B. unter Verwendung eines fotolithografischen Maskierungs- und Ätzprozesses durchgeführt werden. Jedoch kann ein beliebiger geeigneter Strukturierungsprozess verwendet werden.
  • 6C zeigt außerdem ein Abscheiden von Kontakten 615 durch die Durchkontaktierungsöffnungen und in elektrischer Verbindung mit dem ersten Kontakt 603 und dem zweiten Kontakt 611. In einer Ausführungsform können die Kontakte 615 ein leitfähiges Material, wie z.B. Kupfer, Aluminium, Gold, Wolfram, Kombinationen von diesen oder dergleichen, sein, das unter Verwendung eines Verfahrens, wie z.B. einer chemischen Gasphasenabscheidung, einer atomaren Gasphasenabscheidung, einer physikalischen Gasphasenabscheidung, eines Plattierens, Kombinationen von diesen, oder dergleichen, abgeschieden wird. Jedoch können ein beliebiges geeignetes Material oder Herstellungsverfahren verwendet werden.
  • 6D zeigt ein Abscheiden einer zweiten Passivierungsschicht 617 und einer dritten Passivierungsschicht 619 über den Kontakten 615. In einer Ausführungsform kann die zweite Passivierungsschicht 617 ein isolierendes und schützendes Material, wie z.B. Siliziumoxid (SiO2), Siliziumnitrid, Siliziumoxinitrid, Kombinationen von diesen oder dergleichen, sein, das unter Verwendung eines Abscheidungsprozesses, wie z.B. einer chemischen Gasphasenabscheidung, einer Atomlagenabscheidung, einer physikalischen Gasphasenabscheidung, Kombinationen von diesen, oder dergleichen, abgeschieden wird. Jedoch können ein beliebiges geeignetes Material und Herstellungsverfahren verwendet werden.
  • Die dritte Passivierungsschicht 619 wird über der zweiten Passivierungsschicht 617 abgeschieden, um dabei zu helfen, Abschnitte der zweiten Passivierungsschicht 617 während anschließender Strukturierungsprozesse zu schützen. In einer Ausführungsform kann die dritte Passivierungsschicht 619 ein isolierendes und schützendes Material, das von der zweiten Passivierungsschicht 617 verschieden ist, sein, indem sie z.B. Siliziumnitrid, Siliziumoxid, Siliziumoxinitrid, Kombinationen von diesen oder dergleichen ist, das unter Verwendung eines Abscheidungsprozesses, wie z.B. einer chemischen Gasphasenabscheidung, einer Atomlagenabscheidung, einer physikalischen Gasphasenabscheidung, Kombinationen von diesen, oder dergleichen, abgeschieden wird. Jedoch können ein beliebiges geeignetes Material und Herstellungsverfahren verwendet werden.
  • 6E zeigt ein Strukturieren der zweiten Passivierungsschicht 617 und der dritten Passivierungsschicht 619, um Kontakt-Durchkontaktierungsöffnungen durch die zweite Passivierungsschicht 617 und die dritte Passivierungsschicht 619 auszubilden und die Kontakte 615 freizulegen. In einer Ausführungsform kann das Strukturieren z.B. unter Verwendung eines fotolithografischen Maskierungs- und Ätzprozesses durchgeführt werden. Jedoch kann ein beliebiger geeigneter Strukturierungsprozess verwendet werden.
  • 6E zeigt außerdem ein Abscheiden leitfähiger Schutzschichten 621 durch die Kontakt-Durchkontaktierungsöffnungen in elektrischer Verbindung mit den Kontakten 615. In einer Ausführungsform können die leitfähigen Schutzschichten 621 eine oder mehrere Schichten aus leitfähigen Materialien sein, die die Ätzselektivität unterstützten können und außerdem dabei helfen, anschließend ausgebildete leitfähige Verlängerungen 623 (z.B. vor Feuchtigkeit) zu versiegeln, um dabei zu helfen, ein Auftreten von Prozessschäden an den leitfähigen Verlängerungen 623 zu verhindern. In bestimmten Ausführungsformen können die leitfähigen Schutzschichten 621 Materialien, wie z.B. Tantal, Titan, Tantalnitrid, Titannitrid, Kombinationen von diesen oder dergleichen, sein, die unter Verwendung eines Verfahrens, wie z.B. einer chemischen Gasphasenabscheidung, einer atomaren Gasphasenabscheidung, einer physikalischen Gasphasenabscheidung, eines Plattierens, Kombinationen von diesen, oder dergleichen, abgeschieden werden. Jedoch können ein beliebiges geeignetes Material oder Herstellungsverfahren verwendet werden.
  • 6F zeigt ein Ausbilden leitfähiger Verlängerungen 623, die Kontakt mit den leitfähigen Schutzschichten 621 herstellen. In einer Ausführungsform können die leitfähigen Verlängerungen 623 ein leitfähiges Material, wie z.B. ein Metall, wie Aluminium, Kupfer, Germanium, Kombinationen von diesen oder dergleichen, sein, das unter Verwendung eines Abscheidungsverfahrens, wie z.B. eines Plattierens, einer chemischen Gasphasenabscheidung, einer atomaren Gasphasenabscheidung, einer physikalischen Gasphasenabscheidung, eines Plattierens, Kombinationen von diesen, oder dergleichen, abgeschieden wird. Jedoch können ein beliebiges geeignetes Material und Herstellungsverfahren verwendet werden.
  • 6F zeigt außerdem, dass die leitfähigen Verlängerungen 623 strukturiert werden. In einer Ausführungsform, in der die leitfähigen Verlängerungen 623 plattiert werden, können die leitfähigen Verlängerungen 623 während des Abscheidungsprozesses strukturiert werden, während in anderen Prozessen die leitfähigen Verlängerungen 623 nach dem Abscheiden zum Beispiel unter Verwendung eines fotolithografischen Maskierungs- und Ätzprozesses strukturiert werden können. Jedoch kann ein beliebiger geeigneter Prozess verwendet werden.
  • 6G zeigt ein Abscheiden einer vierten Passivierungsschicht 625 über den leitfähigen Verlängerungen 623. In einer Ausführungsform ist die vierte Passivierungsschicht 625 ein dielektrisches Schutzmaterial, wie z.B. Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Kombinationen von diesen oder dergleichen, das unter Verwendung eines Abscheidungsprozesses, wie z.B. einer chemischen Gasphasenabscheidung, einer Atomlagenabscheidung, einer physikalischen Gasphasenabscheidung, Kombinationen von diesen, oder dergleichen, abgeschieden wird. Jedoch können beliebige geeignete Materialien und Verfahren zum Ausbilden der vierten Passivierungsschicht 625 verwendet werden.
  • 6H zeigt, dass, nachdem die vierte Passivierungsschicht 625 ausgebildet wurde, mehrere von den Laserdioden 602 (wobei mehrere Strukturen auf jedem zweiten Substrat 601 in 6H dargestellt sind) an ein Halbleitersubstrat 626 gebondet werden können, um einen wiederhergestellten Wafer 628 auszubilden. In einer Ausführungsform kann das Halbleitersubstrat 626 ein Halbleitermaterial sein, das zum strukturellen Unterstützen während anschließender Verarbeitung und als eine Wärmesenke verwendet wird, um bei Problemen mit Laserüberhitzung zu helfen, und es kann z.B. ein Siliziumwafer, ein Siliziumgermaniumwafer, ein Silizium-auf-Isolator-Wafer oder dergleichen sein. In einigen Ausführungsformen ist das Halbleitersubstrat 626 ein 12-Zoll-Wafer, obwohl eine beliebige geeignete Größe und ein beliebiges geeignetes Material verwendet werden können.
  • In einer Ausführungsform können die mehreren der Laserdioden 602 an das Halbleitersubstrat 626 zum Beispiel unter Verwendung eines Fusionsbondprozesses gebondet werden. Zum Beispiel kann in einigen Ausführungsformen der Fusionsbondprozess Flächen der vierten Passivierungsschicht 625 und des Halbleitersubstrats 626 aktivieren, und dann werden die vierte Passivierungsschicht 625 und das Halbleitersubstrat 626 in physischem Kontakt angeordnet, um den Bondprozess zu initiieren, und es kann eine weitere Stärkung des Bonds durchgeführt werden. Jedoch kann ein beliebiger anderer geeigneter Befestigungsprozess, einschließlich der Verwendung eines Haftmittels, verwendet werden.
  • 6I zeigt eine Draufsicht auf den wiederhergestellten Wafer 628, wobei 6H eine Querschnittsansicht des wiederhergestellten Wafers 628 entlang der Linie H-H` in 6I zeigt. Wie in dieser Draufsicht zu sehen, weist der wiederhergestellte Wafer 628 mehrere von den einzelnen Laserdioden 602 auf, die am Halbleitersubstrat 626 angebracht sind. Obwohl 6I zehn einzelne Laser-Dies 600 darstellt, kann jedoch eine beliebige geeignete Anzahl von Laser-Dies 600 am Halbleitersubstrat 626 angebracht werden.
  • 6J zeigt ein Entfernen des zweiten Substrats 601, um die ersten Kontakte 603 der Laser-Dies 600 freizulegen. In einer Ausführungsform kann das zweite Substrat 601 unter Verwendung eines Planarisierungsprozesses, wie z.B. eines chemisch-mechanischen Polierprozesses, eines Schleifprozesses, oder dergleichen, entfernt werden. In anderen Ausführungsformen kann das zweite Substrat 601 unter Verwendung eines oder mehrerer Ätzprozesse entfernt werden, um die ersten Kontakte 603 freizulegen. Ein beliebiges geeignetes Verfahren kann verwendet werden.
  • 6H zeigt, dass, nachdem die ersten Kontakte 603 freigelegt wurden, ein Lückenfüllmaterial 627 abgeschieden wird, um sowohl die Gebiete zwischen den einzelnen Laser-Dies 600 zu füllen, als auch um die nun freigelegten ersten Kontakte 603 erneut abzudecken. In einer Ausführungsform kann das Lückenfüllmaterial 627 ein dielektrisches Material sein, das auch als ein unteres Verkleidungsmaterial dienen kann. In einer bestimmten Ausführungsform kann das Lückenfüllmaterial 627 Siliziumoxid, Siliziumnitrid, Spin-on-Glas, Kombinationen von diesen oder dergleichen sein, das unter Verwendung eines Verfahrens, wie z.B. einer chemischen Gasphasenabscheidung, einer physikalischen Gasphasenabscheidung, einer Atomlagenabscheidung, Kombinationen von diesen, oder dergleichen, abgeschieden wird. Jedoch können ein beliebiges geeignetes Material und ein beliebiges geeignetes Abscheidungsverfahren verwendet werden.
  • 6K zeigt außerdem, dass, nachdem das Lückenfüllmaterial 627 abgeschieden wurde, das Lückenfüllmaterial 627 planarisiert und gedünnt werden kann. In einer Ausführungsform kann das Lückenfüllmaterial 627 z.B. unter Verwendung eines chemisch-mechanischen Planarisierungsprozesses, eines Schleifprozesses, oder dergleichen planarisiert werden. In einigen Ausführungsformen kann das Lückenfüllmaterial 627 derart planarisiert werden, dass es eine Dicke über den ersten Kontakten 603 aufweist, die zum optischen Koppeln zwischen dem ersten Kontakt 603 und anschließend angeordneten Vorrichtungen geeignet ist. In einer bestimmten Ausführungsform kann das Lückenfüllmaterial 627 derart ausgebildet werden, dass es eine Dicke von zwischen ungefähr 5 µm und ungefähr 8 µm aufweist. Jedoch können ein beliebiges geeignetes Material, Abscheidungsverfahren und eine beliebige geeignete Dicke verwendet werden.
  • 6L zeigt, dass, nachdem das Lückenfüllmaterial 627 abgeschieden und planarisiert wurde, leitfähige Durchkontaktierungen 635 ausgebildet werden können, um elektrischen Kontakt mit den leitfähigen Verlängerungen 623 zu erzeugen. In einer Ausführungsform können die leitfähigen Durchkontaktierungen 635 ausgebildet werden, indem zunächst Öffnungen durch die zweite Passivierungsschicht 617 ausgebildet werden. Nachdem die Öffnungen ausgebildet wurden, werden die Öffnungen dann mit einem leitfähigen Material, wie z.B. Kupfer, unter Verwendung einer Keimschicht und eines Plattierungsprozesses gefüllt, um die Öffnungen zu füllen und zu überfüllen. Nachdem die Öffnungen gefüllt wurden, kann ein Planarisierungsprozess, wie z.B. ein chemisch-mechanischer Planarisierungsprozess, verwendet werden, um das leitfähige Material mit dem Lückenfüllmaterial 627 zu planarisieren.
  • 6M zeigt ein Ausbilden zweiter Bondpads 636 über den leitfähigen Durchkontaktierungen 635. In einer Ausführungsform können die zweiten Bondpads 626 aus einem leitfähigen Material, wie z.B. Kupfer, Aluminium, Gold, Kombinationen von diesen, oder dergleichen, ausgebildet werden, das unter Verwendung eines Prozesses, wie z.B. eines fotolithografischen Maskierens und Plattierens, einer flächendeckenden Abscheidung, auf die ein fotolithografisches Maskieren und Ätzen folgt, oder dergleichen, ausgebildet wird. Jedoch können beliebige geeignete Materialien und ein beliebiger geeigneter Ausbildungsprozess verwendet werden.
  • 6M zeigt außerdem ein Abscheiden und Planarisieren einer fünften Passivierungsschicht 638 über den zweiten Bondpads 636. In einer Ausführungsform kann die fünfte Passivierungsschicht 628 unter Verwendung ähnlicher Materialien und Prozesse wie das vorstehend unter Bezugnahme auf 6H beschriebene Lückenfüllmaterial 627 ausgebildet werden, indem sie z.B. Siliziumoxid ist, das unter Verwendung eines chemischen Gasphasenabscheidungsprozesses ausgebildet wird. Jedoch können ein beliebiges geeignetes Material und ein beliebiger geeigneter Prozess verwendet werden.
  • Nachdem das Material der fünften Passivierungsschicht 638 abgeschieden wurde, wird die fünfte Passivierungsschicht 638 planarisiert, um die zweiten Bondpads 636 erneut freizulegen und auch die zweiten Bondpads 636 und die fünfte Passivierungsschicht 638 als Vorbereitung auf ein anschließendes Koppeln mit anderen Vorrichtungen zu dünnen. In einer bestimmten Ausführungsform kann der Planarisierungsprozess ein chemisch-mechanischer Polierprozess, ein Schleifprozess, Kombinationen von diesen oder dergleichen sein, und kann verwendet werden, um die fünfte Passivierungsschicht 638 auf eine Dicke zu dünnen, die zum Koppeln geeignet ist, wie z.B. eine Dicke von zwischen ungefähr 50 nm und ungefähr 400 nm. Jedoch können ein beliebiger geeigneter Prozess und eine beliebige geeignete Dicke verwendet werden.
  • 6N bis 6O zeigen einen Vereinzelungsprozess, der verwendet werden kann, um die einzelnen Laser-Dies 600 als Vorbereitung auf ein Bonden zu vereinzeln. In einer Ausführungsform, und wie in 6N dargestellt, kann der Vereinzelungsprozess durch Ätzen von Öffnungen zwischen den einzelnen Laser-Dies 600 und zumindest teilweise, jedoch nicht vollständig, in das Halbleitersubstrat 626 eingeleitet werden. Jedoch kann ein beliebiges geeignetes Verfahren zum teilweisen Vereinzeln der Laser-Dies 600, wie z.B. mittels eines Laservereinzelungsprozesses, ebenfalls verwendet werden.
  • 6O zeigt, dass, nachdem die Laser-Dies 600 teilweise vereinzelt wurden, das Halbleitersubstrat 626 gedünnt werden kann, um die Öffnungen freizulegen und die Vereinzelung abzuschließen. In einer Ausführungsform kann das Dünnen z.B. unter Verwendung eines Planarisierungsprozesses, wie z.B. eines Schleifprozesses, eines chemisch-mechanischen Polierprozesses, Kombinationen von diesen oder dergleichen, durchgeführt werden. Jedoch kann ein beliebiger geeigneter Dünnungsprozess verwendet werden.
  • 6P zeigt eine Draufsicht auf mehrere Laserdioden 602, die auf dem Halbleitersubstrat 626 ausgebildet werden können, wobei der erste Kontakt 603 zu einer adiabatischen verjüngten Form ausgebildet wird. Obwohl in den vorstehenden Beschreibungen innerhalb von 6A bis 6O dargestellt ist, dass eine oder zwei Laserdioden 602 auf dem Halbleitersubstrat 626 hergestellt werden, soll dies insbesondere veranschaulichend sein und soll nicht beschränkend sein, und eine beliebige geeignete Anzahl von Laserdioden 602, wie z.B. die drei Laserdioden 602, die in 6P dargestellt sind, kann hergestellt werden. Zum Beispiel kann eine beliebige Anzahl von Laserdioden 602, von 1 bis 3 oder von 10 bis 12 Laserdioden 602, hergestellt werden. Alle derartigen Anzahlen von Laserdioden 602 sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • 6Q zeigt ein fakultatives Entfernen des Halbleitersubstrats 626 nach der Vereinzelung. In einer Ausführungsform kann das Halbleitersubstrat 626 entfernt werden, indem ein Entfernungsprozess, wie z.B. eine physische Trennung (in Ausführungsformen, in denen ein Haftmittel verwendet wird), verwendet wird, oder es kann ein Prozess, wie z.B. ein chemisch-mechanisches Polieren, verwendet werden (in Ausführungsformen, in denen ein Bondprozess zum Anbringen des Halbleitersubstrats 626 verwendet wurde). Jedoch kann ein beliebiger geeigneter Prozess verwendet werden.
  • Durch Verwenden des Prozesses, wie vorstehend beschrieben, isoliert der Herstellungsprozess die Materialien der Laserdiode 602, indem die Materialien der Laserdiode 602 durch die anderen dielektrischen Materialien verkapselt sind. Von daher kommt es zu einer geringeren Kontamination der Materialien der Laserdiode 602 während späterer Prozesse. Da die Durchkontaktierungslöcher für die leitfähigen Durchkontaktierungen 635 lediglich in das Innere des dielektrischen Materials eindringen und auf den Metallflächen anhalten, und das Metall aus einem Material, wie z.B. Tantal, Titan, oder Tantalnitrid, ist, besteht zum Beispiel ein geringeres Risiko einer Kontamination mit Partikeln mit hoher Driftgeschwindigkeit.
  • 7 zeigt ein Bonden eines der vereinzelten Laser-Dies 600 an die erste Bondschicht 605 des optischen Interposers 100. In einer bestimmten Ausführungsform können der Laser-Die 600 und die erste Bondschicht 505 unter Verwendung eines Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozesses gebondet werden. Jedoch kann ein beliebiger anderer geeigneter Bondprozess ebenfalls verwendet werden.
  • In einer bestimmten Ausführungsform, die einen Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozess verwendet, kann der Prozess initiiert werden, indem die Flächen des Laser-Die 600 und die Flächen der ersten Bondschicht 505 aktiviert werden. Das Aktivieren der oberen Flächen der ersten Bondschicht 505 und des Laser-Die 600 kann als Beispiele eine Trockenbehandlung, eine Nassbehandlung, eine Plasmabehandlung, eine Exposition gegenüber einem Inertgasplasma, eine Exposition gegenüber H2, eine Exposition gegenüber N2, eine Exposition gegenüber O2, Kombinationen davon oder dergleichen umfassen. In Ausführungsformen, in denen eine Nassbehandlung verwendet wird, kann zum Beispiel ein RCA-Reinigen verwendet werden. In einer anderen Ausführungsform kann der Aktivierungsprozess andere Behandlungsarten umfassen. Der Aktivierungsprozess unterstützt das Bonden der ersten Bondschicht 505 und des Laser-Die 600.
  • Nach dem Aktivierungsprozess können der optische Interposer 100 und der Laser-Die 600 z.B. unter Verwendung einer chemischen Spülung gereinigt werden, und dann wird der Laser-Die 600 ausgerichtet und in physischem Kontakt mit dem optischen Interposer 100 angeordnet. Der optische Interposer 100 und der Laser-Die 600 werden dann einer thermischen Behandlung und einem Anpressdruck ausgesetzt, um den optischen Interposer 100 und den Laser-Die 600 zu bonden. Zum Beispiel können der optische Interposer 100 und der Laser-Die 600 einem Druck von ungefähr 200 kPa oder weniger und einer Temperatur zwischen ungefähr 25 °C und ungefähr 250 °C ausgesetzt werden, um den optischen Interposer 100 und den Laser-Die 600 zu schmelzen. Der optische Interposer 100 und der Laser-Die 600 können dann einer Temperatur am oder über dem eutektischen Punkt des Materials der ersten Bondpads 507 und der zweiten Bondpads 636, z.B. zwischen ungefähr 150 °C und ungefähr 650 °C, ausgesetzt werden, um das Metall zu schmelzen. Auf diese Weise bilden der optische Interposer 100 und der Laser-Die 600 eine Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-gebondete Vorrichtung. In einigen Ausführungsformen werden die gebondeten Dies anschließend gebacken, getempert, gepresst oder auf eine andere Weise behandelt, um den Bond zu stärken oder zu festigen.
  • Obwohl konkrete Prozesse zum Initiieren und Stärken der Bonds beschrieben wurden, sollen außerdem diese Beschreibungen veranschaulichend sein und sollen die Ausführungsformen nicht einschränken. Vielmehr kann eine beliebige geeignete Kombination von Backen, Tempern, Pressen, oder eine Kombination von Prozessen verwendet werden. Alle derartigen Prozesse sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • Nachdem der Laser-Die 600 gebondet wurde, oder ansonsten bevor der Laser-Die 600 gebondet wurde, kann eine erste Halbleitervorrichtung 701 auch an den optischen Interposer 100 gebondet werden. In einigen Ausführungsformen ist die erste Halbleitervorrichtung 701 eine elektronische integrierte Schaltung (EIC - z.B. eine Vorrichtung ohne optische Vorrichtungen) und kann ein Halbleitersubstrat 703, eine Schicht aktiver Vorrichtungen 705, eine darüberliegende Interconnect-Struktur 707, eine zweite Bondschicht 709, und assoziierte dritte Bondpads 711 aufweisen. In einer Ausführungsform kann das Halbleitersubstrat 703 dem ersten Substrat 101 ähnlich sein (z.B. ein Halbleitermaterial, wie z.B. Silizium oder Siliziumgermanium), die aktiven Vorrichtungen 705 können Transistoren, Kondensatoren, Widerstände und dergleichen sein, die über dem Halbleitersubstrat 703 ausgebildet sind, die Interconnect-Struktur 707 kann den ersten Metallisierungsschichten 501 (ohne optische Komponenten) ähnlich sein, die zweite Bondschicht 709 kann der ersten Bondschicht 505 ähnlich sein, und die dritten Bondpads 711 können den ersten Bondpads 507 ähnlich sein. Jedoch können beliebige geeignete Vorrichtungen verwendet werden.
  • In einer Ausführungsform kann die erste Halbleitervorrichtung 701 dazu eingerichtet sein, mit dem optischen Interposer 100 für eine gewünschte Funktionalität zu arbeiten. In einigen Ausführungsformen kann die erste Halbleitervorrichtung 701 ein HBM-Modul (High Bandwidth Memory), eine xPU, ein Logik-Die, ein 3DIC-Die, eine CPU, eine GPU, ein SoC-Die, ein MEMS-Die, Kombinationen von diesen oder dergleichen sein. Eine beliebige Vorrichtung mit einer beliebigen geeigneten Funktionalität kann verwendet werden, und alle solchen Vorrichtungen sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • Nachdem die erste Halbleitervorrichtung 701 vorbereitet wurde, kann die erste Halbleitervorrichtung 701 an den optischen Interposer 100 gebondet werden. In einer Ausführungsform kann die erste Halbleitervorrichtung 701 z.B. unter Verwendung eines Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozesses an den optischen Interposer 100 gebondet werden. In einer solchen Ausführungsform wird die erste Halbleitervorrichtung 701 an die erste Bondschicht 505 des optischen Interposers 100 gebondet, indem sowohl die ersten Bondpads 507 an die dritten Bondpads 711 gebondet werden als auch die Dielektrika innerhalb der ersten Bondschicht 505 an die Dielektrika innerhalb der zweiten Bondschicht 708 gebondet werden. In dieser Ausführungsform können die oberen Flächen der ersten Halbleitervorrichtung 701 und des optischen Interposers 100 als Beispiele zuerst z.B. unter Verwendung einer Trockenbehandlung, einer Nassbehandlung, einer Plasmabehandlung, einer Exposition gegenüber einem Inertgasplasma, einer Exposition gegenüber H2, einer Exposition gegenüber N2, einer Exposition gegenüber O2, oder Kombinationen davon oder dergleichen aktiviert werden. Jedoch kann ein beliebiger geeigneter Aktivierungsprozess verwendet werden.
  • Nach dem Aktivierungsprozess können die erste Halbleitervorrichtung 701 und der optische Interposer 100 z.B. unter Verwendung einer chemischen Spülung, gereinigt werden, und dann wird die erste Halbleitervorrichtung 701 ausgerichtet und in physischem Kontakt mit dem optischen Interposer 100 angeordnet. Die erste Halbleitervorrichtung 701 und der optische Interposer 100 werden dann einer thermischen Behandlung und einem Pressdruck ausgesetzt, um die erste Halbleitervorrichtung 701 und den optischen Interposer 100 zu bonden. Zum Beispiel können die erste Halbleitervorrichtung 701 und der optische Interposer 100 einem Druck von ungefähr 200 kPa oder weniger und einer Temperatur zwischen ungefähr 25 °C und ungefähr 250 °C ausgesetzt werden, um die erste Halbleitervorrichtung 701 und den optischen Interposer 701 zu schmelzen. Die erste Halbleitervorrichtung 701 und der optische Interposer 100 können dann einer Temperatur am oder über dem eutektischen Punkt des Materials der ersten Bondpads 507, z.B. zwischen ungefähr 150 °C und ungefähr 650°C, ausgesetzt werden, um die Metallbondpads zu schmelzen. Auf diese Weise bilden die erste Halbleitervorrichtung 701 und der optische Interposer 100 eine gebondete Vorrichtung. In einigen Ausführungsformen werden die gebondeten Dies anschließend gebacken, getempert, gepresst oder auf eine andere Weise behandelt, um den Bond zu stärken oder zu festigen.
  • Obwohl die vorstehende Beschreibung einen Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozess beschreibt, soll dies außerdem veranschaulichend sein und soll nicht beschränkend sein. In noch anderen Ausführungsformen kann der optische Interposer 100 an die erste Halbleitervorrichtung 701 durch ein Metall-zu-Metall-Bonden oder einen anderen Bondprozess gebondet werden. Zum Beispiel können die erste Halbleitervorrichtung 701 und der optische Interposer 100 durch ein Metall-zu-Metall-Bonden gebondet werden, das durch Verschmelzen leitfähiger Elemente erreicht wird. Ein beliebiger geeigneter Bondprozess kann verwendet werden, und alle solchen Verfahren sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • 7 zeigt außerdem, dass, nachdem der Laser-Die 600 und die erste Halbleitervorrichtung 701 gebondet wurden, ein zweites Lückenfüllmaterial 713 abgeschieden wird, um die Räume zwischen dem Laser-Die 600 und der ersten Halbleitervorrichtung 701 zu füllen und eine zusätzliche Unterstützung bereitzustellen. In einer Ausführungsform kann das zweite Lückenfüllmaterial 713 ein Material, wie z.B. Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Kombinationen von diesen oder dergleichen, sein, das abgeschieden wird, um die Räume zwischen dem Laser-Die 600 und der ersten Halbleitervorrichtung 701 zu füllen und überfüllen. Jedoch können ein beliebiges geeignetes Material und Abscheidungsverfahren verwendet werden.
  • Nachdem das zweite Lückenfüllmaterial 713 abgeschieden wurde, kann das zweite Lückenfüllmaterial 713 planarisiert werden, um den Laser-Die 600 und die erste Halbleitervorrichtung 701 freizulegen. In einer Ausführungsform kann der Planarisierungsprozess ein chemisch-mechanischer Planarisierungsprozess, ein Schleifprozess, oder dergleichen sein. Jedoch kann ein beliebiger geeigneter Planarisierungsprozess verwendet werden.
  • 8 zeigt ein Anbringen eines Trägersubstrats 801 an der ersten Halbleitervorrichtung 701, dem Laser-Die 600 und dem zweiten Lückenfüllmaterial 713. In einer Ausführungsform kann das Trägersubstrat 801 ein Stützmaterial sein, das für die Lichtwellenlänge, die wunschgemäß verwendet werden soll, transparent ist, wie z.B. Silizium, und kann z.B. unter Verwendung eines Haftmittels angebracht werden (in 8 nicht separat dargestellt). Jedoch kann in anderen Ausführungsformen das Trägersubstrat 801 an die erste Halbleitervorrichtung 701, den Laser-Die 600 und das zweite Lückenfüllmaterial 713 z.B. unter Verwendung eines Bondprozesses gebondet werden. Ein beliebiges geeignetes Verfahren zum Anbringen des Trägersubstrats 701 kann verwendet werden.
  • 8 zeigt außerdem, dass das Trägersubstrat 801 eine Kopplungslinse 803 aufweist, die angeordnet ist, um eine Bewegung von einer optischen Faser 1005 (in 8 nicht dargestellt, aber weiter unten in Bezug auf 10A gezeigt und beschrieben) zu einem Gitterkoppler z.B. innerhalb der ersten optischen Komponenten 203, der zweiten optischen Komponenten 503 der ersten Metallisierungsschichten 501, oder der dritten optischen Komponenten 511 zu erleichtern. In einer Ausführungsform kann die Kopplungslinse 803 ausgebildet werden, indem das Material des Trägersubstrats (z.B. Silizium) unter Verwendung von Maskierungs- und Ätzprozessen geformt wird. Jedoch kann ein beliebiger geeigneter Prozess verwendet werden.
  • 9 zeigt ein Entfernen des ersten Substrats 101 und fakultativ der ersten Isolationsschicht 103, wodurch die erste aktive Schicht 201 erster optischer Komponenten 203 freigelegt wird. In einer Ausführungsform können das erste Substrat 101 und die erste Isolationsschicht 103 unter Verwendung eines Planarisierungsprozesses, wie z.B. eines chemisch-mechanischen Polierprozesses, eines Schleifprozesses, eines oder mehrerer Ätzprozesse, Kombinationen von diesen, oder dergleichen, entfernt werden. Jedoch kann ein beliebiges geeignetes Verfahren verwendet werden, um das erste Substrat 101 und/oder die erste Isolationsschicht 103 zu entfernen.
  • Nachdem das erste Substrat 101 und die erste Isolationsschicht 103 entfernt wurden, kann eine zweite aktive Schicht 901 vierter optischer Komponenten 903 auf einer Rückseite der ersten aktiven Schicht 201 ausgebildet werden. In einer Ausführungsform kann die zweite aktive Schicht 901 vierter optischer Komponenten 903 unter Verwendung ähnlicher Materialien und ähnlicher Prozesse ausgebildet werden wie die zweiten optischen Komponenten 503 der ersten Metallisierungsschichten 501 (vorstehend unter Bezugnahme auf 5 beschriebenen). Zum Beispiel kann die zweite aktive Schicht 901 vierter optischer Komponenten 903 aus abwechselnden Schichten eines Verkleidungsmaterials, wie z.B. Siliziumoxid, und eines Kernmaterials, wie z.B. Siliziumnitrid, die unter Verwendung von Abscheidungs- und Strukturierungsprozessen gebildet werden, ausgebildet werden, um optische Komponenten, wie z.B. Wellenleiter und dergleichen, auszubilden.
  • 10A zeigt ein Ausbilden erster Vorrichtungs-Durchkontaktierungen (TDVs) 1001, ein Ausbilden erster externer Verbinder 1003, und ein Anordnen einer optischen Faser 1005, um ein erstes optisches Package 1000 auszubilden. In einer Ausführungsform erstrecken sich die ersten Vorrichtungs-Durchkontaktierungen 1001 durch die zweite aktive Schicht 901 und die erste aktive Schicht 201, um einen schnellen Leistungs-, Daten- und Erdungsfluss durch den optischen Interposer 100 bereitzustellen. In einer Ausführungsform können die ersten Vorrichtungs-Durchkontaktierungen 1001 ausgebildet werden, indem zuerst Vorrichtungs-Durchkontaktierungsöffnungen im optischen Interposer 100 ausgebildet werden. Die Vorrichtungs-Durchkontaktierungsöffnungen können ausgebildet werden, indem ein geeigneter Fotolack (nicht dargestellt) aufgebracht und entwickelt wird, und Abschnitte der zweiten aktiven Schicht 901 und des optischen Interposers 100, die freigelegt sind, entfernt werden.
  • Nachdem die Vorrichtungs-Durchkontaktierungsöffnungen innerhalb des optischen Interposers 100 ausgebildet wurden, können die Vorrichtungs-Durchkontaktierungsöffnungen mit einem Liner ausgekleidet werden. Der Liner kann z.B. ein aus Tetraethylorthosilikat (TEOS) gebildetes Oxid oder Siliziumnitrid sein, obwohl ein beliebiges geeignetes dielektrisches Material alternativ verwendet werden kann. Der Liner kann unter Verwendung eines plasmaunterstützten chemischen Gasphasenabscheidungsprozesses (PECVD-Prozesses) ausgebildet werden, obwohl andere geeignete Prozesse, wie z.B. ein physikalischer Gasphasenabscheidungs- oder ein thermischer Prozess, alternativ verwendet werden können.
  • Nachdem der Liner entlang der Seitenwände und der Unterseite der Vorrichtungs-Durchkontaktierungsöffnungen ausgebildet wurde, kann eine Barriereschicht (ebenfalls nicht unabhängig dargestellt) ausgebildet werden und der restliche Teil der Vorrichtungs-Durchkontaktierungsöffnungen kann mit einem ersten leitfähigen Material gefüllt werden. Das erste leitfähige Material kann Kupfer enthalten, obwohl andere geeignete Materialien, wie z.B. Aluminium, Legierungen, dotiertes Polysilizium, Kombinationen davon und dergleichen, verwendet werden können. Das erste leitfähige Material kann ausgebildet werden, indem Kupfer auf einer Keimschicht (nicht dargestellt) elektroplattiert wird, so dass die Vorrichtungs-Durchkontaktierungsöffnungen gefüllt und überfüllt werden. Nachdem die Vorrichtungs-Durchkontaktierungsöffnungen gefüllt wurden, können überschüssiger Liner, Barriereschicht, Keimschicht und erstes leitfähiges Material außerhalb der Vorrichtungs-Durchkontaktierungsöffnungen mithilfe eines Planarisierungsprozesses, wie z.B. eines chemisch-mechanischen Polierens (CMP), entfernt werden, obwohl ein beliebiger geeigneter Entfernungsprozess verwendet werden kann.
  • In einigen Ausführungsformen können fakultativ, nachdem die ersten Vorrichtungs-Durchkontaktierungen 1001 ausgebildet wurden, zweite Metallisierungsschichten (in 10A nicht separat dargestellt) in elektrischer Verbindung mit den ersten Vorrichtungs-Durchkontaktierungen 1001 ausgebildet werden. In einer Ausführungsform können die zweiten Metallisierungsschichten unter Verwendung von Damascene-Prozessen, einem Dual-Damascene-Prozess oder dergleichen ausgebildet werden, wie vorstehend unter Bezugnahme auf die ersten Metallisierungsschichten 501 beschrieben, so dass sie z.B. abwechselnde Schichten aus dielektrischen und leitfähigen Materialien sind. In anderen Ausführungsformen können die zweiten Metallisierungsschichten unter Verwendung eines Plattierungsprozesses ausgebildet werden, um ein leitfähiges Material auszubilden und zu formen, und dann das leitfähige Material mit einem dielektrischen Material abzudecken. Jedoch können beliebige geeignete Strukturen und Herstellungsverfahren verwendet werden.
  • Die ersten externen Verbinder 1003 können ausgebildet werden, um leitfähige Gebiete für einen Kontakt entweder zwischen den ersten Vorrichtungs-Durchkontaktierungen 1001 oder den zweiten Metallisierungsschichten mit anderen externen Vorrichtungen bereitzustellen. Die ersten externen Verbinder 1003 können leitfähige Hügel (z.B. C4-Hügel, Ball-Grid-Arrays, Mikrohügel usw.) oder leitfähige Säulen sein, die Materialien, wie z.B. Lötzinn und Kupfer, verwenden. In einer Ausführungsform, in der die ersten externen Verbinder 1003 Kontakthügel sind, können die ersten externen Verbinder 1003 ein Material, wie z.B. Zinn, oder andere geeignete Materialien, wie z.B. Silber, bleifreies Zinn oder Kupfer, enthalten. In einer Ausführungsform, in der die ersten externen Verbinder 1003 Zinnlothügel sind, können die ersten externen Verbinder 1003 ausgebildet werden, indem zuerst eine Schicht aus Zinn mithilfe solcher allgemein verwendeter Verfahren, wie z.B. Verdampfen, Elektroplattieren, Drucken, Lötzinnübertragen, Kugelanordnen usw., ausgebildet wird. Nachdem eine Schicht aus Zinn auf der Struktur ausgebildet wurde, kann ein Aufschmelzen durchgeführt werden, um das Material zur gewünschten Hügelform zu formen.
  • Fakultativ kann zu diesem Zeitpunkt im Prozess eine optische Faser 1005 angebracht werden. In einer Ausführungsform wird die optische Faser 1005 als ein optischer Eingangs-/Ausgangsanschluss zum optischen Interposer 100 verwendet werden. In einer Ausführungsform wird die optische Faser 1005 angeordnet, um die optische Faser 1005 und einen optischen Eingang, wie z.B. einen Gitterkoppler (in 10A nicht separat dargestellt), der Teil der ersten optischen Komponenten 203, der zweiten optischen Komponenten 503 oder der dritten optischen Komponenten 511 ist, optisch zu koppeln. Durch derartiges Anordnen der optischen Faser 1005 werden optische Signale, die die optische Faser 1005 verlassen, z.B. auf die erste aktive Schicht 201 erster optischer Komponenten 203 gelenkt. Gleichermaßen wird die optische Faser 1005 derart angeordnet, dass optische Signale, die die erste aktive Schicht 201 erster optischer Komponenten 203 verlassen, in die optische Faser 1005 für eine Übertragung gelenkt werden. Jedoch kann eine beliebige geeignete Position verwendet werden.
  • Die optische Faser 1005 kann z.B. unter Verwendung eines optischen Klebstoffs 1007 an Ort und Stelle gehalten werden. In einigen Ausführungsformen enthält der optische Klebstoff 1007 ein Polymermaterial, wie z.B. Epoxidacrylat-Oligomere, und kann einen Brechungsindex zwischen ungefähr 1 und ungefähr 3 aufweisen. Jedoch kann ein beliebiges geeignetes Material verwendet werden.
  • Obwohl die optische Faser 1005 derart dargestellt ist, dass sie zu diesem Zeitpunkt im Herstellungsprozess angebracht wird, soll dies außerdem veranschaulichend sein und soll nicht beschränkend sein. Vielmehr kann die optische Faser 1005 zu einem beliebigen geeigneten Zeitpunkt im Prozess angebracht werden, wie z.B. nach anschließenden Verkapselungen (weiter unten beschrieben). Ein beliebiger geeigneter Befestigungspunkt kann verwendet werden und alle solchen Befestigungen zu einem beliebigen Zeitpunkt im Prozess sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • In Betrieb werden die optischen Komponenten (z.B. die ersten optischen Komponenten 203, die zweiten optischen Komponenten 503 und die dritten optischen Komponenten 511) sowohl durch Licht aus der optischen Faser 1005 als auch Licht vom Laser-Die 600 versorgt (anstatt nur durch Licht aus der optischen Faser 1005 versorgt zu werden). Dies ermöglicht es, dass die optische Faser 1005 als zusätzlicher optischer I/O oder als Verbindung zwischen Vorrichtungen und nicht als die einzige Lichtquelle verwendet wird. Wenn zunächst das von der optischen Faser 1005 ausgehende Licht betrachtet wird, wird dieses Licht von der optischen Faser 1005 z.B. zu einem Gitterkoppler geleitet, der innerhalb der ersten optischen Komponenten 203, der zweiten optischen Komponenten 503 oder der dritten optischen Komponenten 511 angeordnet ist. Von dort lenken Wellenleiter innerhalb der ersten optischen Komponenten 203, der zweiten optischen Komponenten 503, oder der dritten optischen Komponenten 511 die empfangenen Signale von der optischen Faser 1005 wie gewünscht, und Wandler innerhalb der ersten optischen Komponenten 203, der zweiten optischen Komponenten 503 oder der dritten optischen Komponenten 511 können die empfangenen Signale in elektrische Signale umwandeln, bevor diese elektrischen Signale an andere Vorrichtungen, wie z.B. die erste Halbleitervorrichtung 701, gesendet werden. Ebenso kann die optische Faser 1005 auch als ein Ausgangsanschluss für Licht, das durch die ersten optischen Komponenten 203, die zweiten optischen Komponenten 503 oder die dritten optischen Komponenten 511 generiert wird, dienen, wodurch sie als ein I/O-Anschluss dient.
  • Wenn als Nächstes das durch den Laser-Die 600 generierte Licht betrachtet wird, zeigt 10B einen möglichen Weg (repräsentiert durch die mit 1009 gekennzeichneten Pfeile) für dieses Licht, nachdem es generiert wurde (wobei andere Strukturen, wie z.B. das zweite Lückenfüllmaterial 713, weggelassen wurden, um den Weg besser darzustellen). Insbesondere wird das durch den Laser-Die 600 (z.B. von der ersten aktiven Diodenschicht 607 durch den ersten Kontakt 603) generierte Licht in die dritten optischen Komponenten 511 der ersten Bondschicht 505 evaneszent eingekoppelt. Von den dritten optischen Komponenten 511 kann das Licht dann in die zweiten optischen Komponenten 503 innerhalb der ersten Metallisierungsschicht 501 eingekoppelt werden. Von dort kann das Licht wie gewünscht in der Vorrichtung gelenkt werden, wie z.B. nach unten zu den ersten optischen Komponenten 203.
  • 10C zeigt eine dreidimensionale perspektivische Ansicht der Laserdiode 602 mit dem ersten Kontakt 603 und dem zweiten Kontakt 611 (zusammen mit Zwischenschichten) zusammen mit zwei Schichten von Wellenleitern, die innerhalb der ersten Bondschicht 505 und der ersten Metallisierungsschichten 501 angeordnet sind. Zusätzlich ist der Weg dargestellt (repräsentiert durch die mit 1009 gekennzeichneten Pfeile), den das durch den Laser-Die 600 generierte Licht vom ersten Kontakt 603 zurücklegt und in die benachbarten dritten optischen Komponenten 511 der ersten Bondschicht 505 eingekoppelt wird. Der benachbarte Wellenleiter lenkt das Licht wie gewünscht und dann wird das Licht in die zweiten optischen Komponenten 503 (z.B. einen weiteren Wellenleiter) der ersten Metallisierungsschichten 501 eingekoppelt.
  • 10D zeigt eine weitere Ausführungsform des Koppelns des Laser-Die 600 mit den dritten optischen Komponenten 511 der ersten Bondschicht 505. In dieser Ausführungsform werden jedoch mehrere Laser-Dies 600 verwendet, anstatt dass ein einziger Laser-Die 600 zum Liefern des gesamten gewünschten Laserlichts verwendet wird. Zum Beispiel können in der in 10D dargestellten Ausführungsform ein erster von den Laser-Dies 600 und ein zweiter von den Laser-Dies 600 identisch zueinander hergestellt werden, oder sie können ansonsten unter Verwendung verschiedener Verfahren (z.B. für unterschiedliche Lichtgenerierung) separat hergestellt werden. Nachdem sie vorbereitet wurden, können sowohl der erste von den Laser-Dies 600 als auch der zweite von den Laser-Dies 600 an die erste Bondschicht 505 gebondet werden, wie vorstehend unter Bezugnahme auf 7 beschrieben, wodurch beide der Laser-Dies 600 mit darunterliegenden Abschnitten der ersten optischen Komponenten 511 evaneszent gekoppelt werden.
  • 10E zeigt eine andere Ausführungsform mit mehreren Laser-Dies 600 mit einer anderen Ausgestaltung. In dieser Ausführungsform sind mehrere Laser-Dies 600 an die erste Bondschicht 505 gebondet, ähnlich der in 10D dargestellten Ausführungsform. In dieser Ausführungsform sind jedoch separate von den Laser-Dies 600 auf entgegengesetzten Seiten der ersten Halbleitervorrichtung 710 angeordnet. Eine solche Anordnung ermöglicht weitere Möglichkeiten und Positionen für eine wunschgemäße Wegführung der dritten optischen Komponenten 511.
  • 10F bis 10G zeigen jeweils eine Querschnittsansicht bzw. eine dreidimensionale perspektivische Ansicht einer weiteren Ausführungsform des Laser-Die 600, in der mehrere parallele Laserdioden 602 benachbart zueinander hergestellt werden, um eine beliebige geeignete und gewünschte Anzahl von Lasern zu liefern. In dieser Ausführungsform wird jede der Laserdioden 602 gleichzeitig hergestellt, wie vorstehend unter Bezugnahme auf 6A bis 6N beschrieben, wobei jedoch mehrere von den Laserdioden 602 aus dem Anfangsstapel von Materialien (siehe z.B. 6A) ausgebildet werden. Obwohl drei Laserdioden 602 in 10F bis 10G dargestellt sind, soll dies außerdem veranschaulichend sein, da eine beliebige geeignete Anzahl von Laserdioden 602 hergestellt werden kann, und alle solchen Anzahlen sollen vollständig im Umfang der Ausführungsformen aufgenommen sein.
  • 11 zeigt, dass, nachdem das erste optische Package 1000 ausgebildet wurde, das erste optische Package 1000 an einem Interposer-Substrat 1101 angebracht werden kann, das zum Koppeln des ersten optischen Package 1000 mit anderen Vorrichtungen verwendet wird, um zum Beispiel ein Chip-on-Wafer-on-Substrat (CoWoS®) auszubilden. In einer Ausführungsform weist das Interposer-Substrat 1101 ein Halbleitersubstrat 1103, dritte Metallisierungsschichten 1105, zweite Vorrichtungs-Durchkontaktierungen (TDVs) 1107 und zweite externe Verbinder 1109 auf. Das Halbleitersubstrat 1103 kann dotiertes oder undotiertes Bulk-Silizium oder eine aktive Schicht aus einem SOI-Substrat (Silizium auf einem Isolator) aufweisen. Im Allgemeinen weist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, wie z.B. Silizium, Germanium, Siliziumgermanium, SOI, Siliziumgermanium auf einem Isolator (SGOI) oder Kombinationen davon, auf. Andere Substrate, die verwendet werden können, weisen mehrschichtige Substrate, Gradientensubstrate oder Substrate mit Hybridorientierung auf.
  • Fakultativ können erste aktive Vorrichtungen (nicht separat dargestellt) dem Halbleitersubstrat 1103 hinzugefügt werden. Die ersten aktiven Vorrichtungen weisen eine breite Vielfalt von aktiven Vorrichtungen und passiven Vorrichtungen auf, wie z.B. Kondensatoren, Widerständen, Induktivitäten und dergleichen, die verwendet werden können, um die gewünschten strukturellen und funktionellen Anforderungen des Designs für das Halbleitersubstrat 1103 zu erzeugen. Die ersten aktiven Vorrichtungen können unter Verwendung beliebiger geeigneter Verfahren entweder innerhalb oder ansonsten auf dem Halbleitersubstrat 1103 ausgebildet werden.
  • Die dritten Metallisierungsschichten 1105 werden über dem Halbleitersubstrat 1103 und den ersten aktiven Vorrichtungen ausgebildet und sind derart ausgelegt, dass sie die verschiedenen aktiven Vorrichtungen verbinden, um eine Funktionsschaltung zu bilden. In einer Ausführungsform werden die dritten Metallisierungsschichten 1105 aus abwechselnden Schichten eines dielektrischen (z.B. Low-k-Dielektrikumsmaterialien, ein Extremely-Low-k-Dielektrikumsmaterial, Ultra-Low-k-Dielektrikumsmaterialien, Kombinationen von diesen oder dergleichen) und eines leitfähigen Materials ausgebildet und können mithilfe eines beliebigen geeigneten Prozesses (wie z.B. Abscheiden, Damascene, Dual-Damascene usw.) ausgebildet werden. Jedoch können beliebige geeignete Materialien und Prozesse verwendet werden.
  • Außerdem können zu einem beliebigen gewünschten Zeitpunkt im Herstellungsprozess die zweiten TDVs 1107 innerhalb des Halbleitersubstrats 1103 und, falls gewünscht, einer oder mehrerer Schichten der dritten Metallisierungsschichten 1105 ausgebildet werden, um elektrische Konnektivität von einer Vorderseite des Halbleitersubstrats 1103 zu einer Rückseite des Halbleitersubstrats 1103 bereitzustellen. In einer Ausführungsform können die zweiten TDVs 1107 ausgebildet werden, indem zuerst Vorrichtungs-Durchkontaktierungsöffnungen (TDV-Öffnungen) im Halbleitersubstrat 1103 und, falls gewünscht, beliebigen der darüberliegenden dritten Metallisierungsschichten 1105 (z.B. nachdem die gewünschte dritte Metallisierungsschicht 1105 ausgebildet wurde aber vor dem Ausbilden der nächsten darüberliegenden dritten Metallisierungsschicht 1105) ausgebildet werden. Die TDV-Öffnungen können ausgebildet werden, indem ein geeigneter Fotolack aufgebracht und entwickelt wird, und Abschnitte der darunterliegenden Materialien, die freigelegt sind, zu einer gewünschten Tiefe entfernt werden. Die TDV-Öffnungen können derart ausgebildet werden, dass sie sich in das Halbleitersubstrat 1103 zu einer Tiefe erstrecken, die größer ist als die letztendlich gewünschte Höhe des Halbleitersubstrats 1103.
  • Nachdem die TDV-Öffnungen innerhalb des Halbleitersubstrats 1103 und/oder beliebiger dritter Metallisierungsschichten 1105 ausgebildet wurden, können die TDV-Öffnungen mit einem Liner ausgekleidet werden. Der Liner kann z.B. ein aus Tetraethylorthosilikat (TEOS) gebildetes Oxid oder Siliziumnitrid sein, obwohl ein beliebiges geeignetes dielektrisches Material verwendet werden kann. Der Liner kann unter Verwendung eines plasmaunterstützten chemischen Gasphasenabscheidungsprozesses (PECVD-Prozesses) ausgebildet werden, obwohl andere geeignete Prozesse, wie z.B. ein physikalischer Gasphasenabscheidungs- oder ein thermischer Prozess, verwendet werden können.
  • Nachdem der Liner entlang der Seitenwände und der Unterseite der TDV-Öffnungen ausgebildet wurde, kann eine Barriereschicht ausgebildet werden und der restliche Teil der TDV-Öffnungen kann mit einem ersten leitfähigen Material gefüllt werden. Das erste leitfähige Material kann Kupfer enthalten, obwohl andere geeignete Materialien, wie z.B. Aluminium, Legierungen, dotiertes Polysilizium, Kombinationen davon und dergleichen, verwendet werden können. Das erste leitfähige Material kann ausgebildet werden, indem Kupfer auf einer Keimschicht elektroplattiert wird, so dass es die TDV-Öffnungen füllt und überfüllt. Nachdem die TDV-Öffnungen gefüllt wurden, können überschüssiger Liner, Barriereschicht, Keimschicht und erstes leitfähiges Material außerhalb der TDV-Öffnungen mithilfe eines Planarisierungsprozesses, wie z.B. eines chemisch-mechanischen Polierens (CMP), entfernt werden, obwohl ein beliebiger geeigneter Entfernungsprozess verwendet werden kann.
  • Nachdem die TDV-Öffnungen gefüllt wurden, kann das Halbleitersubstrat 1103 gedünnt werden, bis die zweiten TDVs 1107 freigelegt werden. In einer Ausführungsform kann das Halbleitersubstrat 1103 z.B. unter Verwendung eines chemisch-mechanischen Polierprozesses, eines Schleifprozesses, oder dergleichen gedünnt werden. Außerdem können die zweiten TVDs 1107, nachdem sie freigelegt wurden, z.B. unter Verwendung eines oder mehrerer Ätzprozesse, wie z.B. eines Nassätzprozesses, ausgespart werden, um das Halbleitersubstrat 1103 auszusparen, so dass sich die zweiten TDVs 1107 aus dem Halbleitersubstrat 1103 erstrecken.
  • In einer Ausführungsform können die zweiten externen Verbinder 1109 auf dem Halbleitersubstrat 1103 in elektrischer Verbindung mit den zweiten TDVs 1107 angeordnet werden und können z.B. ein Ball-Grid-Array (BGA) sein, das ein eutektisches Material, wie z.B. Lötzinn, enthält, obwohl beliebige geeignete Materialien verwendet werden können. Fakultativ kann eine lötfähige Metallisierung oder zusätzliche Metallisierungsschichten (in 11 nicht separat dargestellt) zwischen dem Halbleitersubstrat 1103 und den zweiten externen Verbindern 1109 verwendet werden. In einer Ausführungsform, in der die zweiten externen Verbinder 1109 Lothügel sind, können die zweiten externen Verbinder 1109 unter Verwendung eines Ball-Drop-Verfahrens, wie z.B. eines direkten Ball-Drop-Prozesses, ausgebildet werden. In einer anderen Ausführungsform können die Lothügel ausgebildet werden, indem zunächst eine Schicht aus Zinn mithilfe eines beliebigen geeigneten Verfahrens, wie z.B. Aufdampfen, Elektroplattieren, Drucken, Lötzinnübertragen, ausgebildet wird, und anschließend ein Aufschmelzen durchgeführt wird, um das Material zur gewünschten Hügelform zu formen. Nachdem die zweiten externen Verbinder 1109 ausgebildet wurden, kann ein Test durchgeführt werden, um sicherzustellen, dass die Struktur für eine weitere Verarbeitung geeignet ist.
  • Nachdem das Interposer-Substrat 1103 ausgebildet wurde, kann das erste optische Package 1000 am Interposer-Substrat 1101 angebracht werden. In einer Ausführungsform kann das erste optische Package 1000 am Interposer-Substrat 1101 angebracht werden, indem die ersten externen Verbinder 1003 auf leitfähige Abschnitte des Interposer-Substrats 1101 ausgerichtet werden. Nachdem sie ausgerichtet wurden und sich in physischem Kontakt befinden, werden die ersten externen Verbinder 1003 aufgeschmolzen, indem die Temperatur der ersten externen Verbinder 1003 über einen eutektischen Punkt der ersten externen Verbinder 1103 erhöht wird, wodurch das Material der ersten externen Verbinder 1003 in eine flüssige Phase überführt wird. Nach dem Aufschmelzen wird die Temperatur reduziert, um das Material der ersten externen Verbinder 1003 zurück in eine feste Phase zu überführen, wodurch das erste optische Package 1000 an das Interposer-Substrat 1101 gebondet wird.
  • 11 zeigt außerdem ein Bonden einer zweiten Halbleitervorrichtung 1111 und einer dritten Halbleitervorrichtung 1113 an das Halbleitersubstrat 1103. In einigen Ausführungsformen ist die zweite Halbleitervorrichtung 1111 eine elektronische integrierte Schaltung (EIC), wie z.B. eine gestapelte Vorrichtung, die mehrere miteinanderverbundene Halbleitersubstrate aufweist. Zum Beispiel kann die zweite Halbleitervorrichtung 1111 eine Speichervorrichtung, wie z.B. ein HBM-Modul (High Bandwidth Memory), ein HMC-Modul (Hybrid Memory Cube) oder dergleichen, sein, die mehrere gestapelte Speicher-Dies aufweist. In solchen Ausführungsformen weist die zweite Halbleitervorrichtung 1111 mehrere Halbleitersubstrate auf, die durch Vorrichtungs-Durchkontaktierungen (TDVs) miteinander verbunden sind. Jedes der Halbleitersubstrate kann eine Schicht aktiver Vorrichtungen und eine darüberliegende Interconnect-Struktur, eine Bondschicht, und assoziierte Bondpads aufweisen (oder nicht), um die mehreren Vorrichtungen innerhalb der zweiten Halbleitervorrichtung 1111 miteinander zu verbinden,
  • Obwohl die zweite Halbleitervorrichtung 1111 in einer Ausführungsform ein HBM-Modul ist, sind die Ausführungsformen selbstverständlich nicht darauf beschränkt, dass die zweite Halbleitervorrichtung 1111 ein HBM-Modul ist. Vielmehr kann die zweite Halbleitervorrichtung 1111 eine beliebige geeignete Halbleitervorrichtung sein, wie z.B. ein Prozessor-Die oder eine andere Art eines Funktions-Die. In bestimmten Ausführungsformen kann die zweite Halbleitervorrichtung 1111 eine xPU, ein Logik-Die, ein 3DIC-Die, eine CPU, eine GPU, ein SoC-Die, ein MEMS-Die, Kombinationen von diesen oder dergleichen sein. Eine beliebige Vorrichtung mit einer beliebigen geeigneten Funktionalität kann verwendet werden, und alle solchen Vorrichtungen sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • Die dritte Halbleitervorrichtung 1113 kann eine weitere EIC sein, die sowohl mit dem ersten optischen Package 1000 als auch der zweiten Halbleitervorrichtung 1111 arbeiten soll. In einigen Ausführungsformen kann die dritte Halbleitervorrichtung 1113 eine andere Funktionalität als die zweite Halbleitervorrichtung 1111 aufweisen, indem sie z.B. eine ASIC-Vorrichtung ist, oder sie kann eine gleiche Funktionalität wie die zweite Halbleitervorrichtung 1111 aufweisen, indem sie eine weitere HBM-Vorrichtung (High Bandwidth Memory) ist.
  • In einer Ausführungsform können sowohl die zweite Halbleitervorrichtung 1111 als auch die dritte Halbleitervorrichtung 1113 an das Interposer-Substrat 1101 z.B. unter Verwendung dritter externer Verbindungen 1115 gebondet werden. Die dritten externen Verbindungen 1115 können leitfähige Hügel (z.B. Ball-Grid-Arrays, Mikrohügel usw.) oder leitfähige Säulen sein, die Materialien, wie z.B. Lötzinn und Kupfer, verwenden. In einer Ausführungsform, in der die dritten externen Verbindungen 1115 Kontakthügel sind, können die dritten externen Verbindungen 1115 ein Material, wie z.B. Zinn, oder andere geeignete Materialien, wie z.B. Silber, bleifreies Zinn oder Kupfer, enthalten. In einer Ausführungsform, in der die dritten externen Verbindungen 1115 Zinnlothügel sind, können die dritten externen Verbindungen 1115 ausgebildet werden, indem zuerst eine Schicht aus Zinn mithilfe solcher allgemein verwendeter Verfahren, wie z.B. Verdampfen, Elektroplattieren, Drucken, Lötzinnübertragen, Kugelanordnen usw., ausgebildet wird. Nachdem eine Schicht aus Zinn auf der Struktur ausgebildet wurde, kann ein Aufschmelzen durchgeführt werden, um das Material zur gewünschten Hügelform zu formen.
  • Nachdem die dritten externen Verbindungen 1115 angeordnet wurden, werden außerdem die zweite Halbleitervorrichtung 1111 und die dritte Halbleitervorrichtung 1113 auf das Interposer-Substrat 1101 ausgerichtet. Nachdem sie ausgerichtet wurden und sich in physischem Kontakt befinden, werden die dritten externen Verbindungen 1115 aufgeschmolzen, indem die Temperatur der dritten externen Verbindungen 1115 über einen eutektischen Punkt der dritten externen Verbindungen 1115 erhöht wird, wodurch das Material der dritten externen Verbindungen 1115 in eine flüssige Phase überführt wird. Nach dem Aufschmelzen wird die Temperatur reduziert, um das Material der dritten externen Verbindungen 1115 zurück in eine feste Phase zu überführen, wodurch die zweite Halbleitervorrichtung 1111 und die dritte Halbleitervorrichtung 1113 an das Interposer-Substrat 1101 gebondet werden.
  • Nachdem die zweite Halbleitervorrichtung 1111 und die dritte Halbleitervorrichtung 1113 gebondet wurden, kann ein Underfill-Material 1117 angeordnet werden. Das Underfill-Material 1117 kann Verspannungen reduzieren und die aus dem Aufschmelzen der dritten externen Verbindungen 1115 und der ersten externen Verbinder 1003 resultierenden Verknüpfungen schützen. Das Underfill-Material 1117 kann durch einen Kapillarflussprozess ausgebildet werden, nachdem das erste optische Package 1000, die zweite Halbleitervorrichtung 1111 und die dritte Halbleitervorrichtung 1113 angebracht wurden.
  • Nachdem das Underfill-Material 1117 angeordnet wurde, werden die zweite Halbleitervorrichtung 1111, die dritte Halbleitervorrichtung 1113 und das erste optische Package 1000 mit einem Verkapselungsmaterial 1119 verkapselt. In einer Ausführungsform kann das Verkapselungsmaterial 1119 eine Moldmasse, ein Epoxid oder dergleichen sein. Das Verkapselungsmaterial 1119 kann durch Formpressen, Spritzpressen oder dergleichen aufgebracht werden. Das Verkapselungsmaterial 1119 wird ferner in Spaltgebieten zwischen der zweiten Halbleitervorrichtung 1111, der dritten Halbleitervorrichtung 1113 und dem ersten optischen Package 1000 angeordnet. Das Verkapselungsmaterial 1119 kann in einer flüssigen oder halbflüssigen Form aufgebracht und dann anschließend gehärtet werden.
  • Ein Planarisierungsprozess wird am Verkapselungsmaterial 1119 durchgeführt, nachdem das Verkapselungsmaterial 1119 angeordnet wurde. Nachdem es planarisiert wurde, sind nach dem Planarisierungsprozess obere Flächen des Verkapselungsmaterials 1119, der zweiten Halbleitervorrichtung 1111, der dritten Halbleitervorrichtung 1113, und des ersten optischen Package 1000 innerhalb von Prozessschwankungen im Wesentlichen komplanar. Der Planarisierungsprozess kann zum Beispiel ein chemisch-mechanisches Polieren (CMP), ein Schleifprozess oder dergleichen sein. In einigen Ausführungsformen kann die Planarisierung weggelassen werden.
  • Nachdem die zweite Halbleitervorrichtung 1111, die dritte Halbleitervorrichtung 1113 und das erste optische Package 1000 an das Interposer-Substrat 1101 gebondet wurden, kann das Interposer-substrat 1101 an ein zweites Substrat 1121 z.B. mit den zweiten externen Verbindern 1109 gebondet werden. In einer Ausführungsform kann das zweite Substrat 1121 ein Package-Substrat sein, das eine Leiterplatte (PCB) oder dergleichen sein kann. Das zweite Substrat 1121 kann eine oder mehrere dielektrische Schichten und elektrisch leitfähige Elemente, wie z.B. leitfähige Leitungen und Durchkontaktierungen, aufweisen. In einigen Ausführungsformen kann das zweite Substrat 1121 Durchkontaktierungen, aktive Vorrichtungen, passive Vorrichtungen und dergleichen aufweisen. Das zweite Substrat 1121 kann ferner leitfähige Pads aufweisen, die an den oberen und unteren Flächen des zweiten Substrats 1121 ausgebildet sind.
  • Die zweiten externen Verbinder 1109 können auf entsprechende leitfähige Verbindungen auf dem zweiten Substrat 1121 ausgerichtet werden. Nachdem sie ausgerichtet wurden, können die zweiten externen Verbinder 1109 dann aufgeschmolzen werden, um das zweite Substrat 1121 an das Interposer-Substrat 1101 zu bonden. Jedoch kann ein beliebiger geeigneter Bondprozess verwendet werden, um das Interposer-Substrat 1101 mit dem zweiten Substrat 1121 zu verbinden.
  • Außerdem kann das zweite Substrat 1121 auf ein weiteres Anordnen vorbereitet werden, indem vierte externe Verbindungen 1123 auf einer Seite des zweiten Substrats 1121, die dem ersten optischen Package 1000 entgegengesetzt ist, ausgebildet werden. In einer Ausführungsform können die vierten externen Verbindungen 1123 unter Verwendung ähnlicher Prozesse und Materialien ausgebildet werden wie die zweiten externen Verbinder 1109. Jedoch können beliebige geeignete Materialien und Prozesse verwendet werden.
  • Durch Einbetten des Laser-Die 600 in das erste optische Package 1000 kann Platz, der in der Regel für die Integration eines sperrigen und übergroßen externen Lasers verwendet wird, eingespart werden. Zum Beispiel kann der Laser-Die 600 durch interne Metallwegführung auf dem Interposer-Substrat 1101, wie z.B. die dritten Metallisierungsschichten 1105, und durch interne Metallwegführung innerhalb des ersten optischen Package 1000, wie z.B. die erste Metallisierungsschicht 501, elektrisch angetrieben werden. Da keine zusätzliche optische Faserkopplung eines solchen externen Lasers vorhanden ist, wird die Antriebsleistung, die andernfalls verloren gegangen wäre (z.B. Einfügungsverluste durch einen Gitterkoppler oder Verluste, die durch polarisationsempfindliche passive Komponenten herbeigeführt werden), stattdessen durch evaneszente Einkopplung des Lichts in die gewünschten optischen Komponenten aufrechterhalten.
  • 12 zeigt eine Abwandlung, in der das erste optische Package 1000, die zweite Halbleitervorrichtung 1111 und die dritte Halbleitervorrichtung 1113 an ein integriertes Fan-Out-Substrat 1200 gebondet werden. In dieser Ausführungsform werden zunächst InFO-TDVs 1201 auf einem Substrat (nicht separat dargestellt) (z.B. unter Verwendung eines fotolithografischen Maskierungs- und Plattierungsprozesses) benachbart zu einer vierten Halbleitervorrichtung 1203 und einer fünften Halbleitervorrichtung 1205, die der zweiten Halbleitervorrichtung 1111 und/oder der dritten Halbleitervorrichtung 1113 ähnlich sein können, ausgebildet. Nachdem sie angeordnet wurden, werden die InFO-TDVs 1201, die vierte Halbleitervorrichtung 1203 und die fünfte Halbleitervorrichtung 1205 mit einem zweiten Verkapselungsmaterial 1207 (ähnlich dem Verkapselungsmaterial 1119) verkapselt, und vierte Metallisierungsschichten 1209 (ähnlich den ersten Metallisierungsschichten 501) können ausgebildet werden. Das Substrat kann dann entfernt werden, und die fünften Metallisierungsschichten 1211 können auf einer entgegengesetzten Seite der IFO-TDVs 1201 ausgebildet werden.
  • Nachdem das InFO-Package 1200 ausgebildet wurde, können die zweite Halbleitervorrichtung 1111 und die dritte Halbleitervorrichtung 1113 an das InFO-Substrat 1200 unter Verwendung der dritten externen Verbindungen 1115 gebondet werden und das erste optische Package 100 wird unter Verwendung der ersten externen Verbinder 1003 angebracht. Außerdem kann das InFO-Substrat 1200 an das zweite Substrat 1121 z.B. unter Verwendung der zweiten externen Verbinder 1109 gebondet werden, und die vierten externen Verbindungen 1123 werden auf dem zweiten Substrat 1121 ausgebildet. Jedoch können beliebige geeignete Prozesse und Strukturen verwendet werden.
  • 13A - 13B zeigen die Anfangsschritte in einer anderen Ausführungsform des Bondens des Laser-Die 600 an die erste Bondschicht 505, wobei 13B eine seitliche Ansicht der in 13A dargestellten Struktur zeigt. In dieser Ausführungsform wird jedoch ein einzelner Fusionsbond zwischen dem Laser-Die 600 und der ersten Bondschicht 505 des optischen Interposers 100 ausgebildet, anstatt dass sowohl ein Dielektrikum-zu-Dielektrikum-Bond verwendet wird als auch ein Metall-zu-Metall-Bond verwendet wird (wie vorstehend unter Bezugnahme auf 1 bis 12 beschrieben). Wenn zunächst 13A betrachtet wird, wird in dieser Ausführungsform der Laser-Die 600 ausgebildet, wie vorstehend unter Bezugnahme auf 6A bis 6K beschrieben, jedoch ohne das Ausbilden der leitfähigen Durchkontaktierungen 635.
  • In dieser Ausführungsform wird jedoch vor dem Vereinzeln eine zweite aktive Schicht 1305 fünfter optischer Komponenten 1309 ausgebildet, die zum Koppeln von Lasern, die durch die Laserdioden 602 erzeugt werden, an die anschließend verbundenen Vorrichtungen verwendet sein wird. In einer Ausführungsform kann die zweite aktive Schicht 1305 der fünften optischen Komponenten 1309 aus abwechselnden Schichten eines Verkleidungsmaterials 1307 (z.B. ein Dielektrikum, wie z.B. Siliziumoxid) und den fünften optischen Komponenten 1309 ausgebildet werden. Die fünften optischen Komponenten 1309 können unter Verwendung ähnlicher Materialien und Verfahren wie die zweiten optischen Komponenten 503 (die vorstehend unter Bezugnahme auf 5 beschriebenen wurden) ausgebildet werden, um Strukturen, wie z.B. Wellenleiter, auszubilden. Obwohl zwei Schichten optischer Komponenten in 13A dargestellt sind, kann außerdem eine beliebige geeignete Anzahl von Schichten von Wellenleitern und beliebigen anderen geeigneten Vorrichtungen verwendet werden und beliebige geeignete Materialien und beliebige geeignete Herstellungsverfahren können verwendet werden.
  • 13A - 13B zeigen außerdem ein Abscheiden einer sechsten Passivierungsschicht 1311 über der zweiten aktiven Schicht 1305 fünfter optischer Komponenten 1309. In einer Ausführungsform kann die sechste Passivierungsschicht 1311 ein isolierendes Verkleidungsmaterial, wie z.B. Siliziumoxid, sein, das unter Verwendung einer chemischen Gasphasenabscheidung, einer Atomlagenabscheidung, einer physikalischen Gasphasenabscheidung, Kombinationen von diesen, oder dergleichen abgeschieden wird. Jedoch können beliebige geeignete Materialien oder Arbeitsverfahren verwendet werden.
  • 13C zeigt ein Bonden des Laser-Die 600 an den optischen Interposer 100 unter Verwendung von lediglich der sechsten Passivierungsschicht 1311 und dem ersten dielektrischen Material 509 der ersten Bondschicht 505. In dieser Ausführungsform werden keine leitfähigen Kontakte innerhalb des optischen Interposers 100 ausgebildet, wo der Laser-Die 600 angebracht sein wird, und die Fläche verbleibt ein Abschnitt des ersten dielektrischen Materials 509 der ersten Bondschicht 505. Um den Prozess des Bondens des Laser-Die 600 an die erste Bondschicht 505 zu beginnen, können die Flächen des Laser-Die 600 und die Flächen der ersten Bondschicht 505 zunächst aktiviert werden. Das Aktivieren der oberen Flächen der ersten Bondschicht 505 und des Laser-Die 600 kann als Beispiele eine Trockenbehandlung, eine Nassbehandlung, eine Plasmabehandlung, eine Exposition gegenüber einem Inertgasplasma, eine Exposition gegenüber H2, eine Exposition gegenüber N2, eine Exposition gegenüber O2, Kombinationen davon oder dergleichen umfassen. In Ausführungsformen, in denen eine Nassbehandlung verwendet wird, kann zum Beispiel ein RCA-Reinigen verwendet werden. In einer anderen Ausführungsform kann der Aktivierungsprozess andere Behandlungsarten umfassen. Der Aktivierungsprozess unterstützt das Bonden der ersten Bondschicht 505 und des Laser-Die 600.
  • Nach dem Aktivierungsprozess können die erste Bondschicht 505 und der Laser-Die 600 in physischem Kontakt angeordnet werden. In einer Ausführungsform wird der Laser-Die 600 in physischem Kontakt mit der ersten Bondschicht 505 z.B. unter Verwendung eines Ausrichtungsprozesses angeordnet, um Überdeckungsunterschiede während des Anordnungsprozesses zu minimieren. Durch den Aktivierungsprozess werden die Flächen chemisch verändert und der Bondprozess zwischen den Materialien wird beim physischen Kontakt begonnen.
  • Nachdem ein physischer Kontakt den Bondprozess begonnen hat, kann das Bonden dann gestärkt werden, indem die Anordnung einer thermischen Behandlung unterzogen wird. In einer Ausführungsform können die erste Bondschicht 505 und der Laser-Die 600 einer Temperatur zwischen ungefähr 200 °C und ungefähr 400 °C ausgesetzt werden, um den Bond zu stärken. Auf diese Weise bildet ein Schmelzen der ersten Bondschicht 505 und des Laser-Die 600 eine gebondete Vorrichtung.
  • Obwohl konkrete Prozesse zum Initiieren und Stärken der Bonds zwischen der ersten Bondschicht 505 und dem Laser-Die 600 beschrieben wurden, sollen außerdem diese Beschreibungen veranschaulichend sein und sollen die Ausführungsformen nicht einschränken. Vielmehr kann eine beliebige geeignete Kombination von Backen, Tempern, Pressen, oder eine Kombination von Prozessen verwendet werden. Alle derartigen Prozesse sollen im Umfang der Ausführungsformen vollständig aufgenommen sein.
  • 13C zeigt ferner ein Fortsetzen des Prozesses, nachdem der Laser-Die 600 mit dem Fusionsbondprozess und keinen elektrischen Verbindungen gebondet wurde. Nachdem der Laser-Die 600 gebondet wurde, wird insbesondere die erste Halbleitervorrichtung 701 an die erste Bondschicht 505 z.B. unter Verwendung eines Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozesses, wie vorstehend unter Bezugnahme auf 7 beschrieben, gebondet. Jedoch kann ein beliebiger geeigneter Prozess verwendet werden.
  • 13D zeigt ein weiteres Fortsetzen des Prozesses, wobei das zweite Lückenfüllmaterial 713 zwischen und/oder über der ersten Halbleitervorrichtung 701 und dem Laser-Die 600 abgeschieden und planarisiert wird. Außerdem wird das Trägersubstrat 801 angebracht, das erste Substrat 101 und die erste Isolationsschicht 103 werden entfernt, und die zweite aktive Schicht 901 der vierten optischen Komponenten 903 wird ausgebildet. Diese Strukturen können ausgebildet werden wie vorstehend unter Bezugnahme auf 7 bis 9 beschrieben, obwohl beliebige geeignete Strukturen und Prozesse verwendet werden können.
  • 13D zeigt außerdem ein Ausbilden von Laser-Die-Durchkontaktierungen 1303 (von denen lediglich eine in 13D dargestellt ist) zum Laser-Die 600 durch die erste aktive Schicht 201 der ersten optischen Komponenten 203. In einer Ausführungsform erstrecken sich die Laser-Die-Durchkontaktierungen 1303 durch den optischen Interposer 100, um einen schnellen Fluss von Leistung und Erdung durch den optischen Interposer 100 zum Laser-Die 600 bereitzustellen. In einer Ausführungsform können die Laser-Die-Durchkontaktierungen 1303 ausgebildet werden, indem zuerst Vorrichtungs-Durchkontaktierungsöffnungen ausgebildet werden. Die Vorrichtungs-Durchkontaktierungsöffnungen können ausgebildet werden, indem ein geeigneter Fotolack (nicht dargestellt) aufgebracht und entwickelt wird, und Abschnitte des optischen Interposers 100 entfernt werden, um den Laser-Die 600 freizulegen.
  • Nachdem die Vorrichtungs-Durchkontaktierungsöffnungen innerhalb des optischen Interposers 100 ausgebildet wurden, können die Vorrichtungs-Durchkontaktierungsöffnungen mit einem Liner ausgekleidet werden. Der Liner kann z.B. ein aus Tetraethylorthosilikat (TEOS) gebildetes Oxid oder Siliziumnitrid sein, obwohl ein beliebiges geeignetes dielektrisches Material alternativ verwendet werden kann. Der Liner kann unter Verwendung eines plasmaunterstützten chemischen Gasphasenabscheidungsprozesses (PECVD-Prozesses) ausgebildet werden, obwohl andere geeignete Prozesse, wie z.B. ein physikalischer Gasphasenabscheidungs- oder ein thermischer Prozess, alternativ verwendet werden können.
  • Nachdem der Liner entlang der Seitenwände und der Unterseite der Vorrichtungs-Durchkontaktierungsöffnungen ausgebildet wurde, kann eine Barriereschicht (ebenfalls nicht unabhängig dargestellt) ausgebildet werden und der restliche Teil der Vorrichtungs-Durchkontaktierungsöffnungen kann mit einem leitfähigen Material gefüllt werden. Das leitfähige Material kann Kupfer enthalten, obwohl andere geeignete Materialien, wie z.B. Aluminium, Legierungen, dotiertes Polysilizium, Kombinationen davon und dergleichen, verwendet werden können. Das leitfähige Material kann ausgebildet werden, indem Kupfer auf einer Keimschicht (nicht dargestellt) elektroplattiert wird, so dass es die Vorrichtungs-Durchkontaktierungsöffnungen füllt und überfüllt. Nachdem die Vorrichtungs-Durchkontaktierungsöffnungen gefüllt wurden, können überschüssiger Liner, Barriereschicht, Keimschicht und das leitfähige Material außerhalb der Vorrichtungs-Durchkontaktierungsöffnungen mithilfe eines Planarisierungsprozesses, wie z.B. eines chemisch-mechanischen Polierens (CMP), entfernt werden, obwohl ein beliebiger geeigneter Entfernungsprozess verwendet werden kann.
  • 13E zeigt ein Fortsetzen des Prozesses nach dem Ausbilden der Laser-Die-Durchkontaktierungen 1303. Zum Beispiel können die ersten Vorrichtungs-Durchkontaktierungen 1001 ausgebildet werden, die ersten externen Verbinder 1003 können angeordnet werden, und, falls gewünscht, die optische Faser 1005 kann verbunden werden (in 13E nicht separat dargestellt). Außerdem werden in dieser in 13E dargestellten Ausführungsform die fakultativen zweiten Metallisierungsschichten (in 13E als 1301 gekennzeichnet), die vorstehend unter Bezugnahme auf 10A besprochen wurden, ausgebildet, um die ersten Vorrichtungs-Durchkontaktierungen 1001 und die Laser-Die-Durchkontaktierungen 1303 und die ersten externen Verbinder 1003 miteinander zu verbinden. Jedoch können beliebige geeignete Strukturen und Verfahren verwendet werden.
  • Durch Verwenden eines Fusionsbonds zum Verbinden des Laser-Die 600 kann eine zusätzliche Flexibilität dem Designprozess des ersten optischen Package 1000 hinzugefügt werden. Eine solche Flexibilität ermöglicht es, das die Vorrichtung all die Vorteile einer evaneszenten Kopplung des Laser-Die 600 in den optischen Interposer 100 erlangt, während es den Designern weiterhin möglich ist, elektrische Verbindungen wunschgemäß und in ihrer besten Position für das Design anzuordnen.
  • 13F - 13G zeigen, dass, nachdem das optische Package 1000 mit dem Fusionsbond ausgebildet wurde, das erste optische Package 1000 in die vorstehend jeweils unter Bezugnahme auf 11 bzw. 12 beschriebenen Strukturen aufgenommen werden kann. Insbesondere kann das erste optische Package 1000 mit dem Fusionsbond entweder an das Interposer-Substrat 1101 (13F) oder das InFO-Substrat 1200 (13G) gebondet werden, und die Verarbeitung kann fortgesetzt werden, wie vorstehend unter Bezugnahme auf 11 und 12 beschrieben. Jedoch können beliebige geeignete Prozesse und Strukturen verwendet werden.
  • 14A zeigt andere Ausführungsformen des Koppelns des Laser-Die 600 mit dem optischen Interposer 100, in denen jedoch der Laser-Die 600 in Verbindung mit einem externen Hohlraum 1401 ausgebildet wird, der separat vom Laser-Die 600 und als eine der dritten optischen Komponenten 511 innerhalb der ersten Bondschicht 505 ausgebildet wird, wobei der externe Hohlraum 1401 aus Wellenleitern ausgebildet ist, die als Resonanzhohlraum oder Reflektoren des Lichts ausgelegt sind. In dieser Ausführungsform, und wenn zunächst der in 14A dargestellte Abschnitt der ersten Bondschicht 505 betrachtet wird, ist zusätzlich zu anderen Vorrichtungen (z.B. Wellenleitern usw.), die als Teil der dritten optischen Komponenten 511 der ersten Bondschicht 505 ausgebildet sind, gezeigt, dass der externe Hohlraum 1401 ebenfalls als Teil der ersten Bondschicht 505 ausgebildet wird. In einer Ausführungsform kann der externe Hohlraum 1401 auf eine ähnliche Weise und unter Verwendung ähnlicher Prozesse ausgebildet werden wie die in den zweiten optischen Komponenten 503 ausgebildeten Wellenleiter, wie z.B. indem ein Kernmaterial, wie z.B. Siliziumnitrid, über einem Verkleidungsmaterial abgeschieden wird und dann z.B. ein fotolithografischer Maskierungs- und Ätzprozess verwendet wird, um das Kernmaterial in die gewünschten Formen zu formen, bevor zusätzliches Verkleidungsmaterial, wie z.B. das erste dielektrische Material 509 der ersten Bondschicht 505, abgeschieden wird. Jedoch können beliebige geeignete Materialien und beliebige geeignete Prozesse verwendet werden.
  • 14A bis 14E zeigen perspektivische Ansichten verschiedener Ausführungsformen der externen Hohlräume 1401, die in der ersten Bondschicht 505 ausgebildet werden können. Wenn zunächst 14B betrachtet wird, kann der externe Hohlraum 1401 eine Ring-Bus-Ring-Ausgestaltung aufweisen, die einen oder mehrere Wellenleiter 1403, einen oder mehrere Ringe 1405, die mit den Wellenleitern 1403 gekoppelt sind, und einen oder mehrere Reflektoren 1407 aufweist. In einer Ausführungsform können, nachdem der Laser-Die 600 angebracht wurde, der eine oder die mehreren Wellenleiter 1403 verwendet werden, um das durch den Laser-Die 600 generierte Licht zu empfangen und zu übertragen. In einer bestimmten Ausführungsform können der eine oder die mehreren Wellenleiter 1403 mindestens einen Bus-Wellenleiter aufweisen und können ausgebildet werden, indem zunächst ein Kernmaterial, wie z.B. Siliziumnitrid, abgeschieden wird und das Kernmaterial dann strukturiert wird, um den Wellenleiter 1403 auszubilden. Jedoch können ein beliebiges geeignetes Material und Herstellungsverfahren verwendet werden.
  • Der eine oder die mehreren Ringe 1405 können ausgebildet werden, um mit dem Wellenleiter 1403 gekoppelt zu werden, um einen Ringresonator auszubilden und verwendet zu werden, um unerwünschte Wellenlängen aus dem durch den Laser-Die 600 in Betrieb generieten Lichts auszufiltern. In einer Ausführungsform können der eine oder die mehreren Ringe 1405 auf eine ähnliche Weise und mithilfe ähnlicher Verfahren ausgebildet werden wie der Wellenleiter 1403 (z.B. Abscheiden und Strukturieren eines Kernmaterials, wie z.B. Siliziumnitrid). Jedoch können beliebige geeignete Materialien und Herstellungsverfahren verwendet werden.
  • Der eine oder die mehreren Reflektoren 1407 können ausgebildet werden, um dabei zu helfen, das durch den Laser-Die 600 generierte Licht in einer gewünschten Richtung zu reflektieren. In einer Ausführungsform können der eine oder die mehreren Reflektoren 1407 ein Sagnac-Reflektor sein, der Licht in einen Ring einkoppelt, so dass sich das Licht in einer Rotation sowohl im Uhrzeigersinn als auch gegen den Uhrzeigersinn bewegt, wodurch ein Abschnitt des Lichts reflektiert wird. In dieser Ausführungsform kann der Sagnac-Reflektor auf eine ähnliche Weise und mithilfe ähnlicher Verfahren ausgebildet werden wie der Wellenleiter 1403 (z.B. Abscheiden und Strukturieren eines Kernmaterials, wie z.B. Siliziumnitrid). Jedoch kann ein beliebiger geeigneter Reflektor, der beliebige geeignete Materialien und Herstellungsverfahren verwendet, genutzt werden.
  • 14C zeigt eine weitere Ausführungsform des externen Hohlraums 1401, die verwendet werden kann, wobei der externe Hohlraum 1401 mit dem einen oder den mehreren Wellenleitern 1403 und dem einen oder den mehreren Ringen 1405 ausgebildet wird. In dieser Ausführungsform werden jedoch der eine oder die mehreren Wellenleiter 1403 und der eine oder die mehreren Ringe 1405 in einer Rennstrecken-Ausgestaltung angeordnet, anstatt den einen oder die mehreren Reflektoren 1407 zu verwenden, wodurch die Wellenlängenfilterung durch einen Doppelringfilter durchgeführt wird. In dieser Ausführungsform kann jede Komponente des externen Hohlraums 1401 auf eine ähnliche Weise und mithilfe ähnlicher Verfahren ausgebildet werden wie der eine oder die mehreren Wellenleiter 1403 (z.B. Abscheiden und Strukturieren eines Kernmaterials, wie z.B. Siliziumnitrid). Jedoch können beliebige geeignete Vorrichtungen, die beliebige geeignete Materialien und Herstellungsverfahren verwenden, genutzt werden.
  • 14D zeigt eine noch andere Ausführungsform des externen Hohlraums 1401, die den einen oder die mehreren Wellenleiter 1403, den einen oder die mehreren Ringe 1405 und den einen oder die mehreren Reflektoren 1407 verwendet. In dieser Ausführungsform werden der eine oder die mehreren Ringe 1405 benachbart zueinander und mit dem einen oder den mehreren Wellenleitern 1403 gekoppelt ausgebildet, um eine Filterung des generierten Lichts zu unterstützen, während der eine oder die mehreren Reflektoren 1407 (z.B. ein Sagnac-Reflektor) verwendet werden, um Teil des durch den Laser-Die 600 generierten Lichts zurück in die gewünschte Richtung zu reflektieren. In dieser Ausführungsform können die Komponenten des externen Hohlraums 1401 auf eine ähnliche Weise und mithilfe ähnlicher Verfahren ausgebildet werden wie der eine oder die mehreren Wellenleiter 1403 (z.B. Abscheiden und Strukturieren eines Kernmaterials, wie z.B. Siliziumnitrid). Jedoch können beliebige geeignete Vorrichtungen, die beliebige geeignete Materialien und Herstellungsverfahren verwenden, genutzt werden.
  • 14E zeigt eine noch andere Ausführungsform des externen Hohlraums 1401, die den einen oder die mehreren Wellenleiter 1403, den einen oder die mehreren Reflektoren 1407 und ein oder mehrere Bragg-Gitter 1409 verwendet, um beim Unterstützen eines Hochleistungslasers mit einer einzelnen Wellenlänge zu helfen. In dieser Ausführungsform werden der eine oder die mehreren Reflektoren 1407 (z.B. ein Sagnac-Reflektor) mit dem einen oder den mehreren Wellenleitern 1403 hergestellt, und das Bragg-Gitter 1400 wird auf jeder Seite des einen oder der mehreren Wellenleiter 1403 hergestellt. In dieser Ausführungsform können die Bragg-Gitter 1409 auf eine ähnliche Weise und mithilfe ähnlicher Verfahren ausgebildet werden wie der eine oder die mehreren Wellenleiter 1403 (z.B. Abscheiden und Strukturieren eines Kernmaterials, wie z.B. Siliziumnitrid). Jedoch können beliebige geeignete Vorrichtungen, die beliebige geeignete Materialien und Herstellungsverfahren verwenden, genutzt werden.
  • 15A zeigt den Laser-Die 600, der in Ausführungsformen, in denen der externe Hohlraum 1401 separat vom Laser-Die 600 und im optischen Interposer 100 ausgebildet wird, verwendet werden kann. In einer Ausführungsform kann der Laser-Die 600 zunächst ausgebildet werden, wie vorstehend unter Bezugnahme auf 6A bis 6M beschrieben. Jedoch wird in dieser Ausführungsform, um mit verschiedenen Abschnitten der externen Hohlräume 1401 gekoppelt zu werden, die Laserdiode 602 geformt, wie in der Draufsicht von 15B dargestellt, so dass sie z.B. mehrere adiabatische Verjüngungsabschnitte aufweist, die auf entgegengesetzten Seiten der Laserdiode 602 angeordnet sind. In einer Ausführungsform kann das Formen während der Ätzprozesse durchgeführt werden, wie vorstehend unter Bezugnahme auf 6B besprochen, z.B. als ein fotolithografischer Maskierungs- und Ätzprozess vor dem Abscheiden der ersten Passivierungsschicht 613. Jedoch kann eine beliebige geeignete Form verwendet werden.
  • Die Laserdiode 602 kann in dieser Draufsicht den zweiten Kontakt 611 (und nicht sichtbare darunterliegende Schichten) aufweisen, der eine erste Breite W1 von zwischen ungefähr 1 µm und ungefähr 4 µm aufweist, während der erste Kontakt 603 eine zweite Breite W2 von zwischen ungefähr 30 µm und ungefähr 100 µm aufweisen kann. Außerdem kann der zweite Kontakt 611 adiabatische verjüngte Abschnitte aufweisen, die sich über eine verjüngte Distanz DT von zwischen ungefähr 50 µm und ungefähr 300 µm erstrecken, was einen Rest des zweiten Kontakts 611 belässt, der eine nicht verjüngte Distanz DNT von zwischen ungefähr 1 mm und ungefähr 3 mm aufweist. Jedoch können beliebige geeignete Abmessungen verwendet werden.
  • 15A zeigt außerdem ein Ausbilden der zweiten Bondpads 636 über den leitfähigen Durchkontaktierungen 635. In einer Ausführungsform können die zweiten Bondpads 636 ausgebildet werden wie vorstehend unter Bezugnahme auf 6M beschrieben. Jedoch können beliebige geeignete Materialien und ein beliebiger geeigneter Ausbildungsprozess verwendet werden.
  • 16 zeigt ein Abscheiden und Planarisieren einer fünften Passivierungsschicht 638 über den zweiten Bondpads 636. In einer Ausführungsform kann die fünfte Passivierungsschicht 638 unter Verwendung ähnlicher Materialien und Prozesse ausgebildet werden wie das vorstehend unter Bezugnahme auf 6H beschriebene Lückenfüllmaterial 627, indem sie z.B. Siliziumoxid ist, das unter Verwendung eines chemischen Gasphasenabscheidungsprozesses ausgebildet wird. Jedoch können ein beliebiges geeignetes Material und ein beliebiger geeigneter Prozess verwendet werden.
  • Nachdem das Material der fünften Passivierungsschicht 638 abgeschieden wurde, wird die fünfte Passivierungsschicht 638 planarisiert, um die zweiten Bondpads 636 erneut freizulegen und auch die zweiten Bondpads 636 und die fünfte Passivierungsschicht 638 als Vorbereitung auf ein anschließendes Koppeln mit dem externen Hohlraum 1401 zu dünnen. In einer bestimmten Ausführungsform kann der Planarisierungsprozess ein chemischmechanischer Polierprozess, ein Schleifprozess, Kombinationen von diesen oder dergleichen sein, und kann verwendet werden, um die fünfte Passivierungsschicht 638 auf eine Dicke zu dünnen, die zum Koppeln geeignet ist, wie z.B. eine Dicke von zwischen ungefähr 50 nm und ungefähr 400 nm. Jedoch können ein beliebiger geeigneter Prozess und eine beliebige geeignete Dicke verwendet werden.
  • 17A bis 17B zeigen einen Vereinzelungsprozess, der verwendet werden kann, um die einzelnen Laser-Dies 600 als Vorbereitung auf ein Bonden zu vereinzeln. In einer Ausführungsform, und wie in 17A dargestellt, kann der Vereinzelungsprozess durch Ätzen von Öffnungen zwischen den einzelnen Laser-Dies 600 und zumindest teilweise, jedoch nicht vollständig, in das Halbleitersubstrat 626 initiiert werden. Jedoch kann ein beliebiges geeignetes Verfahren zum teilweisen Vereinzeln der Laser-Dies 600, wie z.B. mittels eines Laservereinzelungsprozesses, ebenfalls verwendet werden.
  • 17B zeigt, dass, nachdem die Laser-Dies 600 teilweise vereinzelt wurden, das Halbleitersubstrat 626 gedünnt werden kann, um die Öffnungen freizulegen und die Vereinzelung abzuschließen. In einer Ausführungsform kann das Dünnen z.B. unter Verwendung eines Planarisierungsprozesses, wie z.B. eines Schleifprozesses, eines chemisch-mechanischen Polierprozesses, Kombinationen von diesen oder dergleichen, durchgeführt werden. Jedoch kann ein beliebiger geeigneter Dünnungsprozess verwendet werden.
  • 18A zeigt ein Bonden des Laser-Die 600 an die erste Bondschicht 505. In einer Ausführungsform wird der Laser-Die 600 derart angeordnet, dass die Laserdiode 602 innerhalb des Laser-Die 600 mit dem darunterliegenden externen Hohlraum 1401 innerhalb der ersten Bondschicht 505 gekoppelt wird. Die Ausrichtung kann z.B. unter Verwendung eines Bestückungsprozesses durchgeführt werden, obwohl ein beliebiger geeigneter Prozess verwendet werden kann.
  • Nachdem der Laser-Die 600 auf den externen Hohlraum 1401 ausgerichtet wurde, wird der Laser-Die 600 physisch und elektrisch an die erste Bondschicht 505 gebondet. In einer Ausführungsform kann das Bonden durchgeführt werden, wie vorstehend unter Bezugnahme auf 7 beschrieben, wie z.B. indem ein Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozess verwendet wird. Jedoch kann ein beliebiger geeigneter Bondprozess verwendet werden.
  • Obwohl das Bonden des mit dem externen Hohlraum 1401 gekoppelten Laser-Die 600 vorstehend z.B. unter Verwendung eines Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bonds beschrieben wurde, soll dies selbstverständlich veranschaulichend sein und soll die Ausführungsformen nicht einschränken. Vielmehr kann ein beliebiges geeignetes Bonden, wie z.B. der Fusionsbondprozess, der vorstehend unter Bezugnahme auf 13A bis 13E beschrieben wurde, verwendet werden. Zum Beispiel kann der Laser-Die 600 mit dem externen Hohlraum 1401 unter Verwendung von lediglich einem Dielektrikum-Bonden gekoppelt werden, und eine Laser-Die-Durchkontaktierung 1303 kann anschließend ausgebildet werden, um Leistungs- und Erdungsverbindungen bereitzustellen, nachdem das Bonden abgeschlossen wurde. Ein beliebiges geeignetes Verfahren zum Bonden und Verbinden des Laser-Die 600 mit der ersten Bondschicht 505 kann verwendet werden.
  • 18B bis 18E zeigen dreidimensionale Ansichten, die den in 14B bis 14E dargestellten dreidimensionalen Ansichten ähnlich sind, jedoch mit der Hinzufügung der Laserdioden 602. Wie ersichtlich, generieren die Laserdioden 602 das Licht, das in die darunterliegenden externen Hohlräume 1401 eingekoppelt wird, wo das Licht separat von der Generierung des Lichts gefiltert, reflektiert oder dergleichen wird, bevor es an andere Vorrichtungen weitergelenkt wird.
  • 19A zeigt, dass, nachdem der Laser-Die 600 derart gebondet wurde, dass der Laser-Die 600 mit dem externen Hohlraum 1401 gekoppelt ist, der Prozess fortgesetzt werden kann, wie vorstehend unter Bezugnahme auf 8 bis 12 beschrieben. Zum Beispiel wird die erste Halbleitervorrichtung 701 an den optischen Interposer 100 gebondet, das erste optische Package 100 wird vervollständigt und an das Interposer-Substrat 1101 zusammen mit der zweiten Halbleitervorrichtung 1111 und der dritten Halbleitervorrichtung 1113 gebondet, und das Interposer-Substrat 1101 kann an das zweite Substrat 1121 gebondet werden. Jedoch können beliebige geeignete Befestigungen und beliebige geeignete Herstellungsprozesse verwendet werden.
  • 19B zeigt eine andere Ausführungsform, die das InFO-Substrat 1200 nutzt. In dieser Ausführungsform wird die erste Halbleitervorrichtung 701 an den optischen Interposer 100 gebondet, das erste optische Package 1000 wird vervollständigt und an das InFO-Substrat 1200 zusammen mit der zweiten Halbleitervorrichtung 1111 und der dritten Halbleitervorrichtung 1113 gebondet, und das InFO-Substrat 1200 kann an das zweite Substrat 1121 gebondet werden. Jedoch können beliebige geeignete Befestigungen und beliebige geeignete Herstellungsprozesse verwendet werden.
  • Durch Verwenden des externen Hohlraums 1401, wie vorstehend beschrieben, werden mehrere Vorteile erreicht. Zum Beispiel bedeutet das Verwenden des externen Hohlraums 1401, das die Filterung der durch die Laserdiode 602 generierten Wellenlängen separat von der Laserdiode 602 und durch die Wellenleiter (z.B. die Ringresonatoren, die verteilten Bragg-Reflektoren usw.), die in der ersten Bondschicht 505 angeordnet sind, durchgeführt wird. Von daher übernehmen die Materialien in der Laserdiode 602 (z.B. die III-V-Materialien) keine Verantwortung bei der Wellenlängenauswahl. Dementsprechend kann der Verstärkungsprozess frei von Schäden sein, die durch Gitterätzungen und Definitionen der modalen Beschränkung verursacht werden, wodurch die Herstellung der Materialien vereinfacht wird. Außerdem bedeutet die Einfachheit in einem solchen Herstellungsprozess, dass das Design vielseitig sein kann und auf der gewünschten Funktion des Lasers basieren kann, wie z.B. auf der Aufnahme von Ringresonatoren für eine schmale Bandbreite und abstimmbares Laserdesign, oder der Aufnahme verteilter Bragg-Reflektoren für einen Hochleistungslaser mit einer einzigen Wellenlänge, oder auf der gleichzeitigen Realisierung mehrerer Laserdesigns auf demselben Chip.
  • Durch Ausbilden des externen Hohlraums 1401 in der ersten Bondschicht 505 kann außerdem der externe Hohlraum 1401 aus Siliziumnitrid oder Silizium ausgebildet werden, die robust und widerstandsfähig gegenüber einer starken Lichtwechselwirkung sind, ohne Seitenwandhohlräume oder Defekte zu bilden, wodurch die Lebensdauer des Laser-Die 600 verlängert wird. Wenn außerdem Siliziumnitrid verwendet wird, werden die Vorteile von Siliziumnitrid, wie z.B. eine höhere Widerstandsfähigkeit gegenüber thermischen Schwankungen (weswegen optische Eigenschaften bei leichten Temperaturschwankungen beibehalten werden) erreicht, sowie das Vorhandensein eines Wellenleiter, der weniger Verluste aufweist, wodurch die erforderliche elektrische Antriebsleistung, die genutzt wird, verringert wird. Schließlich wird die Laserlinienbreite z.B. im Vergleich mit Silizium-basierten Hohlraummaterialien verbessert, wodurch der Laser-Die 600 im Hinblick auf anspruchsvollere Modulationsanforderungen eine bessere Leistungsfähigkeit zeigt.
  • 20A zeigt eine andere Ausführungsform des separaten Herstellens des externen Hohlraums 1401, wobei 20B eine seitliche Ansicht von 20A durch die Linie B-B' zeigt. In diesen Ausführungsformen wird der Laser-Die 600 an den optischen Interposer 100 unter Verwendung des Fusionsbonds anstelle eines Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bonds gebondet. Wenn zunächst 20A betrachtet wird, wird in dieser Ausführungsform der Laser-Die 600 auf eine ähnliche Weise ausgebildet, wie vorstehend unter Bezugnahme auf 15 beschrieben, jedoch ohne das Ausbilden der zweiten Bondpads 636 und der leitfähigen Durchkontaktierungen 635. Jedoch können beliebige geeignete Strukturen und Herstellungsverfahren verwendet werden.
  • 20B zeigt ein Ausbilden der zweiten aktiven Schichten 1305 der fünften optischen Komponenten 1309 über dem Lückenfüllmaterial 627 und ein Ausbilden der sechsten Passivierungsschicht 1311. In dieser Ausführungsform können die zweiten aktiven Schichten 1305 der fünften optischen Komponenten 1309 ausgebildet werden, wie vorstehend unter Bezugnahme auf 13A beschrieben, wie z.B. durch Abscheiden eines Kernmaterials, wie z.B. Siliziumnitrid, Strukturieren des Kernmaterials, und Abscheiden eines Verkleidungsmaterials über dem Kernmaterial, und anschließendes Abscheiden der sechsten Passivierungsschicht 1311. Jedoch können beliebige geeignete Strukturen und Materialien verwendet werden.
  • In dieser Ausführungsform können jedoch, zusätzlich zu beliebigen der anderen gewünschten Strukturen, die als Teil der fünften optischen Komponenten 1309 hergestellt werden können, die externen Hohlräume 1401 als Teil der fünften optischen Komponenten 1309 hergestellt werden. Zum Beispiel können beliebige der externen Hohlräume 1401, die vorstehend unter Bezugnahme auf 14B bis 14E beschrieben wurden (z.B. eine Ring-Bus-Ring-Ausgestaltung mit einem Sagnac-Reflektor, eine Rennstrecken-Ausgestaltung usw.), als Teil der fünften optischen Komponenten 1309 hergestellt werden, so dass durch die Laserdiode 602 generiertes Licht in den externen Hohlraum 1401 gelangt. Jedoch kann ein beliebiges geeignetes Design verwendet werden.
  • 20C zeigt eine dreidimensionale perspektivische Ansicht der externen Hohlräume 1401, die als Teil der fünften optischen Komponenten 1309 mit den Laserdioden 602 hergestellt werden, wobei drei Laserdioden 602 in dieser dargestellten Ausführungsform gezeigt sind. In der dargestellten Ausführungsform werden die externen Hohlräume 1401 in der Rennstrecken-Ausgestaltung hergestellt und werden derart angeordnet, dass durch die Laserdioden 602 generiertes Licht durch die externen Hohlräume 1401 erfasst wird, wo das Licht gefiltert werden kann, bevor es an andere Vorrichtungen gelenkt wird.
  • 20D zeigt ein Bonden des Laser-Die 600 an den optischen Interposer 100 unter Verwendung von lediglich der sechsten Passivierungsschicht 1311 und dem ersten dielektrischen Material 509 der ersten Bondschicht 505. In dieser Ausführungsform sind keine leitfähigen Kontakte innerhalb des optischen Interposers 100 vorhanden, wo der Laser-Die 600 angebracht sein wird, und die Fläche verbleibt ein Abschnitt des ersten dielektrischen Materials 509 der ersten Bondschicht 505. In einer Ausführungsform kann der Bondprozess durchgeführt werden, wie vorstehend unter Bezugnahme auf 13C beschrieben, obwohl ein beliebiger geeigneter Prozess verwendet werden kann, so dass die fünften optischen Komponenten 1309 mit den dritten optischen Komponenten 511 der ersten Bondschicht 505 gekoppelt werden.
  • 20D zeigt ferner ein Fortsetzen des Prozesses, nachdem der Laser-Die 600 ohne elektrische Verbindungen gebondet wurde. Nachdem der Laser-Die 600 gebondet wurde, wird insbesondere die erste Halbleitervorrichtung 701 an die erste Bondschicht 505 z.B. unter Verwendung eines Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozesses, wie vorstehend unter Bezugnahme auf 7 beschrieben, gebondet. Jedoch kann ein beliebiger geeigneter Prozess verwendet werden.
  • Nachdem die erste Halbleitervorrichtung 701 gebondet wurde, wird außerdem das zweite Lückenfüllmaterial 713 zwischen und/oder über der ersten Halbleitervorrichtung 701 und dem Laser-Die 600 abgeschieden und planarisiert. Außerdem wird das Trägersubstrat 801 angebracht, das erste Substrat 101 und die erste Isolationsschicht 103 werden entfernt, und die zweite aktive Schicht 901 der vierten optischen Komponenten 903 wird ausgebildet. Diese Strukturen können ausgebildet werden wie vorstehend unter Bezugnahme auf 7 bis 9 beschrieben, obwohl beliebige geeignete Strukturen und Prozesse verwendet werden können.
  • Der Prozess fährt mit einem Ausbilden von Laser-Die-Durchkontaktierungen 1303 (von denen lediglich eine in 20D dargestellt ist) zum Laser-Die 600 durch die erste aktive Schicht 201 der ersten optischen Komponenten 203 fort. In einer Ausführungsform können die Laser-Die-Durchkontaktierungen 1303 hergestellt werden, wie vorstehend unter Bezugnahme auf 13D beschrieben, obwohl beliebige geeignete Verfahren verwendet werden können. Nachdem die Laser-Die-Durchkontaktierungen 1303 ausgebildet wurden, können die ersten Vorrichtungs-Durchkontaktierungen 1001 ausgebildet werden, die ersten externen Verbinder 1003 können angeordnet werden, und, falls gewünscht, die optische Faser 1005 kann verbunden werden (in 20D nicht separat dargestellt). Außerdem werden in dieser in 20D dargestellten Ausführungsform die fakultativen zweiten Metallisierungsschichten 1301, die vorstehend unter Bezugnahme auf 10A besprochen wurden, ausgebildet, um die ersten Vorrichtungs-Durchkontaktierungen 1001 und die Laser-Die-Durchkontaktierungen 1303 und die ersten externen Verbinder 1003 miteinander zu verbinden. Jedoch können beliebige geeignete Strukturen und Verfahren verwendet werden.
  • 20E bis 20F zeigen, dass, nachdem das erste optische Package 1000 mit den externen Hohlräumen 1401 ausgebildet wurde, das erste optische Package 1000 in vorstehend jeweils unter Bezugnahme auf 11 bzw. 12 beschriebene Strukturen aufgenommen werden kann. Insbesondere kann das erste optische Package 1000 mit den externen Hohlräumen 1401 entweder an das Interposer-Substrat 1101 (20E) oder das InFO-Substrat 1200 (20F) gebondet werden, und die Verarbeitung kann fortgesetzt werden, wie vorstehend unter Bezugnahme auf 11 und 12 beschrieben. Jedoch können beliebige geeignete Prozesse und Strukturen verwendet werden.
  • 21A bis 25 zeigen eine noch andere Ausführungsform zum Übertragen von Licht vom Laser-Die 600. In dieser Ausführungsform wird jedoch der Laser-Die 600 innerhalb einer Sendevorrichtung 2300 (in 21A nicht als vervollständigt dargestellt) eingebettet und Licht wird von der Sendevorrichtung 2300 zu einer Empfangsvorrichtung 2400 (in 21A nicht dargestellt, aber weiter unten unter Bezugnahme auf 24 gezeigt und beschrieben) z.B. durch externe Koppler, wie z.B. einen ersten externen Koppler 2201 und einen zweiten externen Koppler 2401 (ebenfalls nicht in 21A dargestellt, aber weiter unten unter Bezugnahme auf 22 und 24 gezeigt und beschrieben) übertragen. 21A zeigt eine Querschnittsansicht der vorstehend unter Bezugnahme auf 6K beschriebenen Struktur und 21B zeigt eine seitliche Ansicht der Struktur von 21A durch die Linie B-B'. In dieser Ausführungsform kann die Struktur von 21A - 21B hergestellt werden, wie vorstehend unter Bezugnahme auf 6A bis 6K beschrieben. Jedoch können beliebige geeignete Strukturen, und beliebige geeignete Herstellungsverfahren verwendet werden.
  • 22A zeigt ein Ausbilden der zweiten aktiven Schichten 1305 fünfter optischer Komponenten 1309, die zum Koppeln der Sendevorrichtung 2300 mit der Empfangsvorrichtung 2400 verwendet sein werden. In dieser Ausführungsform kann die zweite aktive Schicht 1305 der fünften optischen Komponenten 1309 aus abwechselnden Schichten eines Verkleidungsmaterials 1307 (z.B. ein Dielektrikum, wie z.B. Siliziumoxid) und den fünften optischen Komponenten 1309 ausgebildet werden, wie vorstehend unter Bezugnahme auf 13A beschrieben. Jedoch können beliebige geeignete Strukturen und Herstellungsverfahren verwendet werden.
  • Jedoch weist in dieser Ausführungsform die zweite aktive Schicht 1305 fünfter optischer Komponenten 1309 in dieser Ausführungsform, zusätzlich zu den ansonsten ausgebildeten fünften optischen Komponenten 1309 (z.B. Wellenleitern, die zum Lenken von Licht verwendet werden), ferner einen ersten externen Koppler auf (in 22A durch die innerhalb des gestrichelten Kästen, der mit 2201 gekennzeichnet ist, angeordneten Strukturen repräsentiert). In einer Ausführungsform wird der erste externe Koppler 2201 verwendet, um das durch die Laserdiode 602 generierte Licht zu empfangen und das Licht aus der Sendevorrichtung 2300 auszusenden. In einer bestimmten Ausführungsform kann der erste externe Koppler 2201 ein Kantenkoppler, wie z.B. Kantenkoppler mit mehreren Kernen, ein verjüngter Kantenkoppler mit einem Kern, Kombinationen von diesen oder dergleichen, sein. Jedoch kann ein beliebiger geeigneter externer Koppler verwendet werden.
  • 22B zeigt eine dreidimensional perspektivische Ansicht des ersten externen Kopplers 2201 in einer Ausführungsform, in der der erste externe Koppler 2201 ein Kantenkoppler mit mehreren Kernen ist. In der Ausführungsform, in der der erste externe Koppler 2201 ein Kantenkoppler mit mehreren Kernen ist, kann der erste externe Koppler 2201 mehrere Kerne 2203 aufweisen, die um einen verjüngten Abschnitt 2205 angeordnet sind, wobei der verjüngte Abschnitt 2205 kontinuierlich mit einem Wellenleiterabschnitt der fünften optischen Komponenten 1309 ausgebildet ist. In dieser Figur wurden die umgebenden Strukturen, wie z.B. ein Verkleidungsmaterial und dergleichen, die auch zum Unterstützen genutzt werden, entfernt, um die Struktur dieser Ausführungsform des ersten externen Kopplers 2201 leichter darzustellen.
  • In einer Ausführungsform werden die mehreren Kerne 2203 auf eine ähnliche Weise und unter Verwendung ähnlicher Materialien ausgebildet wie die anderen Komponenten der fünften optischen Komponenten 1309, wie z.B. die optischen Wellenleiter (z.B. Abscheiden eines Kernmaterials, wie z.B. Siliziumnitrid, Strukturieren des Kernmaterials, und Abscheiden eines Verkleidungsmaterials über dem Kernmaterial). Außerdem ist in dieser Ausführungsform ein Array mit acht Kernen 2203 in drei Ebenen, wie z.B. einer ersten Ebene 2207, einer zweiten Ebene 2209 und einer dritten Ebene 2211, vorhanden. Die erste Ebene 2207 kann drei Kerne 2203 aufweisen, die aufeinander ausgerichtet sind, die zweite Ebene 2209 kann zwei Kerne 2203 aufweisen, die aufeinander ausgerichtet sind, und die dritte Ebene 2211 kann drei Kerne 2203 aufweisen, die aufeinander ausgerichtet sind, in einer 3-2-3.Ausgestaltung. Außerdem ist jeder der Kerne 2204 auf andere Kerne, die in einer selben Spalte angeordnet sind, ausgerichtet. Jedoch können beliebige geeignete Anzahlen von Kernen 2203 und eine beliebige geeignete Anzahl von Ebenen verwendet werden.
  • 22C zeigt eine Querschnittsansicht der in 22B dargestellten Ausführungsform, wobei die Kerne 2203 in einer 3-2-3-Ausgestaltung mit drei Kernen in der ersten Ebene 2207, zwei Kernen 2203 in der zweiten Ebene 2209 und drei Kernen 2203 in der dritten Ebene 2211 angeordnet sind. In dieser Figur ist das umgebende Verkleidungsmaterial (z.B. das Verkleidungsmaterial 1307) um den ersten externen Koppler 2201 dargestellt, aber es sind keine weiteren Strukturen in dieser Figur gezeigt. Außerdem können in dieser Ausführungsform die einzelnen Kerne 2203 die gleichen Abmessungen aufweisen, obwohl in anderen Ausführungsformen die einzelnen Kerne 2203 derart ausgebildet sein können, dass sie unterschiedliche Abmessungen aufweisen. In einer bestimmten Ausführungsform können die Kerne 2203 eine dritte Breite W3 von zwischen ungefähr 0,2 µm bis ungefähr 0,6 µm aufweisen, und können eine erste Höhe H1 von zwischen ungefähr 0,1 µm und ungefähr 0,3 µm, wie z.B. ungefähr 0,15 µm, aufweisen. Außerdem können die Kerne 2203 in einer ersten Richtung mit einem ersten Pitch P1 von zwischen ungefähr 2 µm und ungefähr 0,6 µm voneinander beabstandet sein, und können in einer zweiten Richtung mit einem zweiten Pitch P2 von zwischen ungefähr 1 µm und ungefähr 4 µm, wie z.B. ungefähr 2,1 µm, voneinander beabstandet sein. Jedoch können beliebige geeignete Abmessungen verwendet werden.
  • 22D bis 22F zeigen jeweils Draufsichten auf die erste Ebene 2207, die zweite Ebene 2209 bzw. die dritte Ebene 2211 des ersten externen Kopplers 2201. In der dargestellten Ausführungsform weisen in 22D die einzelnen Kerne 2203 jeweils einen nicht verjüngten Abschnitt 2210 und einen verjüngten Abschnitt 2213 auf. In einer Ausführungsform kann der nicht verjüngte Abschnitt 2210 derart ausgebildet sein, dass er eine erste Länge L1 von zwischen ungefähr 10 µm und ungefähr 40 µm aufweist, und kann eine vierte Breite W4 von zwischen ungefähr 100 nm und ungefähr 400 nm aufweisen. Außerdem kann der verjüngte Abschnitt 2213 eine zweite Länge L2 von zwischen ungefähr 400 µm und ungefähr 2000 µm aufweisen, und kann sich von der vierten Breite W4 auf eine fünfte Breite W5 von zwischen ungefähr 100 nm und ungefähr 300 nm verjüngen. Jedoch können beliebige geeignete Abmessungen verwendet werden.
  • 22E zeigt eine Draufsicht auf die zweite Ebene 2209, die zwei Kerne 2203 mit ähnlichen Abmessungen wie die Kerne 2203 in der ersten Ebene 2207 (die vorstehend unter Bezugnahme auf 22D besprochen wurden) aufweist. Die zweite Ebene 2209 weist zusätzlich einen Wellenleiter 2215 mit dem verjüngen Abschnitt 2205 auf, wobei sich der verjüngte Abschnitt 2205 in einer entgegengesetzten Richtung als der verjüngte Abschnitt 2213 der Kerne 2203 verjüngt. In dieser Ausführungsform kann der verjüngte Abschnitt 2205 eine zweite Länge L2 aufweisen und sich zu einer Spitze verjüngen, die eine sechste Breite W6 von zwischen ungefähr 100 nm und ungefähr 300 nm aufweist. Jedoch können beliebige geeignete Abmessungen verwendet werden.
  • 22F zeigt eine Draufsicht auf die dritte Ebene 2211, die drei Kerne 2203 mit ähnlichen Abmessungen wie die Kerne 2203 in der ersten Ebene 2207 (die vorstehend unter Bezugnahme auf 22D besprochen wurden) aufweist. Jedoch kann in anderen Ausführungsformen jeder der Kerne 2203 in der dritten Ebene 2211 andere Abmessungen aufweisen.
  • 22G zeigt eine andere Ausführungsform des Wellenleiters 2215, des verjüngten Abschnitts 2205 und der Kerne 2203, wobei sich der verjüngte Abschnitt 2205 derart erstreckt, dass er auf die Ränder der Kerne 2203 ausgerichtet ist. In dieser Ausführungsform kann sich der verjüngte Abschnitt 2205 von einem ersten Ende mit einer siebten Breite W7 von zwischen ungefähr 0,8 µm und ungefähr 2,1 µm (wobei das Ende mit dem Wellenleiter 2215 verbunden ist) verjüngen, und er verjüngt sich auf eine achte Breite W8 von zwischen ungefähr 50 nm und ungefähr 200 nm. Gleichermaßen können die einzelnen Kerne 2203 entweder eine konstante Breite aufweisen (in 22D nicht separat dargestellt) oder sie können sich ansonsten von der vierten Breite W4 (z.B. 200 nm) auf die fünfte Breite W5 (z.B. 100 nm) verjüngen. Jedoch können beliebige geeignete Abmessungen verwendet werden.
  • Durch Nutzen mehrerer Kerne 2203, wie beschrieben, wird das Licht, das durch den verjüngten Abschnitt 2205 in den ersten externen Koppler 2201 gelangt, in jeden der einzelnen Kerne 2203, die den verjüngten Abschnitt 2205 umgeben, eingekoppelt. Diese Einkopplung in die mehreren Kerne 2203 verändert die Wellenfront des aus dem ersten externen Koppler 2201 gesendeten Lichts. Diese Umformung sorgt für eine andere Ausgangswellenfront als dies mit einem Einzelkern-Kantenkoppler erreicht würde, und bietet eine Lösung für eine Übertragung über längere Distanzen durch Vergrößerung der numerischen Apertur und Konvergenz des Lichts während der Übertragung. Eine solche Konvergenz ergibt ähnliche Sende- und Empfangsmoden, wodurch sich höhere Modalüberlappungsverhältnisse ergeben.
  • 23 zeigt ein Fortsetzen des Prozesses nach dem Ausbilden der mehreren Kerne 2203. In einer Ausführungsform werden, nachdem die zweiten aktiven Schichten 1305 mit dem ersten externen Koppler 2201 ausgebildet wurden, zweite Durchkontaktierungen 2301 (ähnlich den ersten Vorrichtungs-Durchkontaktierungen 1001), fakultativ sechste Metallisierungsschichten 2303 (ähnlich den zweiten Metallisierungsschichten 1301), und fünfte externe Verbinder 2305 (ähnlich den ersten externen Verbindern 1003) ausgebildet. In einer bestimmten Ausführungsform können die zweiten Durchkontaktierungen 2301, die fakultativen sechsten Metallisierungsschichten 2303, und die fünften externen Verbinder 2305 (mit assoziierten lötfähigen Metallisierungen) ausgebildet werden, wie vorstehend unter Bezugnahme auf 10A beschrieben. Jedoch können beliebige geeignete Verfahren und beliebige geeignete Strukturen verwendet werden.
  • 24 zeigt, dass, nachdem die Sendevorrichtung 2300 hergestellt wurde, die Sendevorrichtung 2300 an das Interposer-Substrat 1101 gebondet werden kann. In einer Ausführungsform kann die Sendevorrichtung 2300 an das Interposer-Substrat 1101 durch Ausrichten und Aufschmelzen der fünften externen Verbinder 2305 gebondet werden. Jedoch kann ein beliebiges geeignetes Bondverfahren verwendet werden.
  • 24 zeigt außerdem ein Bonden einer Empfangsvorrichtung 2400 an das Interposer-Substrat 1101. In einer Ausführungsform kann die Empfangsvorrichtung 2400 unter Verwendung ähnlicher Strukturen und Prozesse wie das Ausbilden des optischen Interposers 100 ausgebildet werden, wie vorstehend unter Bezugnahme auf 10A beschrieben, aber ohne das Ausbilden und Anordnen des Laser-Die 600 innerhalb der Empfangsvorrichtung 2400. Insbesondere werden die erste aktive Schicht 201, die ersten Metallisierungsschichten 501, die ersten Bondschichten 505 ausgebildet, die erste Halbleitervorrichtung 701 wird gebondet, das zweite Lückenfüllmaterial 713 wird abgeschieden, ohne den Laser-Die 600 zu bonden, das Trägersubstrat 801 wird gebondet, und die zweite aktive Schicht 901, die ersten Vorrichtungs-Durchkontaktierungen 1001, die zweiten Metallisierungsschichten 1301, und die ersten externen Verbinder 1003 werden ausgebildet.
  • Außerdem wird in dieser Ausführungsform die zweite aktive Schicht 901, zusätzlich dazu, dass sie zum Aufnehmen der vierten optischen Komponenten 903 hergestellt wird, wie vorstehend unter Bezugnahme auf 9 beschrieben, auch derart hergestellt, dass sie einen zweiten externen Koppler aufnimmt (in 24 innerhalb des mit 2401 gekennzeichneten gestrichelten Kasten repräsentiert). In einer Ausführungsform kann der zweite externe Koppler 2401 dem ersten externen Koppler 2201 ähnlich sein, indem er z.B. mehrere Kerne 2203 aufweist, die mit einem Wellenleiterabschnitt der zweiten aktiven Schicht 901 optisch gekoppelt sind. Jedoch kann ein beliebiger anderer geeigneter externer Koppler verwendet werden.
  • Nachdem die Empfangsvorrichtung 2400 ausgebildet wurde, kann die Empfangsvorrichtung 2400 an das Interposer-Substrat 1101 gebondet werden. In einer Ausführungsform kann die Empfangsvorrichtung 2400 an das Interposer-Substrat 1101 gebondet werden, wie vorstehend unter Bezugnahme auf 11 beschrieben, wie z.B. durch Verwenden der ersten externen Verbinder 1003. Jedoch kann ein beliebiges geeignetes Bondverfahren verwendet werden.
  • Außerdem werden jedoch in dieser Ausführungsform während des Anordnens von sowohl der Empfangsvorrichtung 2400 als auch der Sendevorrichtung 2300 die Empfangsvorrichtung 2400 und die Sendevorrichtung 2300 derart angeordnet, dass der erste externe Koppler 2201 auf den zweiten externen Koppler 2401 ausgerichtet wird. In einer bestimmten Ausführungsform können die Empfangsvorrichtung 2400 und die Sendevorrichtung 2300 um eine dritte Distanz D3 voneinander entfernt angeordnet werden, wie z.B. eine dritte Distanz D3 von zwischen ungefähr 30 µm und ungefähr 80 µm, wie z.B. ungefähr 40 µm. Jedoch kann eine beliebige geeignete Distanz verwendet werden.
  • 25 zeigt, dass, nachdem die Empfangsvorrichtung 2400 und die Sendevorrichtung 2300 an das Interposer-Substrat 1101 gebondet wurden, der Raum zwischen der Sendevorrichtung 2300 und der Empfangsvorrichtung 2400 zumindest teilweise mit einem optischen Gel 2501 gefüllt werden kann. In einer Ausführungsform kann das optische Gel 2501 dem optischen Klebstoff 1007 ähnlich sein, indem es z.B. ein Polymermaterial, wie z.B. Epoxidacrylat-Oligomere, aufweist, und kann einen Brechungsindex zwischen ungefähr 1 und ungefähr 3 aufweisen. Jedoch kann ein beliebiges geeignetes Material verwendet werden.
  • Nachdem die Empfangsvorrichtung 2400 und die Sendevorrichtung 2300 an das Interposer-Substrat 1101 gebondet wurden, kann außerdem die optische Faser 1005 an der Empfangsvorrichtung 2400 angebracht werden. In einer Ausführungsform kann die optische Faser 1005 angebracht werden, wie vorstehend unter Bezugnahme auf 10A beschrieben, wie z.B. durch Verwenden des optischen Klebstoffs 1007. Jedoch kann ein beliebiges geeignetes Verfahren oder Mittel zum Befestigen verwendet werden.
  • In Betrieb wird durch den Laser-Die 600 generiertes Licht vom Laser in die fünften optischen Komponenten 1309 evaneszent eingekoppelt, die das Licht an den ersten externen Koppler 2201 lenken. Der erste externe Koppler 2201 koppelt dann das Licht von den fünften optischen Komponenten 1309 (z.B. dem Wellenleiter) in jeden der Kerne 2203 evaneszent ein, bevor die Kerne 2203 das Licht aus der Sendevorrichtung 2300 übertragen. Die Kerne 2203 unterstützen das Leiten der erweiterten Mode und ermöglichen eine Ausbreitung über lange Distanzen durch das optische Gel 2501 an die Empfangsvorrichtung 2400. Der zweite externe Koppler 2401 innerhalb der Empfangsvorrichtung 2400 empfängt das Licht vom ersten externen Koppler 2201 und formt, durch eine evaneszente Modalübertragung, das Licht zurück in einen einzigen Wellenleiter um, der dann das Licht in die vierten optischen Komponenten 903 leitet, wobei das Licht wunschgemäß durch die Empfangsvorrichtung 2400 geführt werden kann.
  • 25B zeigt eine andere Ausführungsform, in der das optische Gel 2501, anstatt dass es lediglich in einem Abschnitt des Raums zwischen der Sendevorrichtung 2300 und der Empfangsvorrichtung 2400 angeordnet wird, stattdessen auf eine solche Weise aufgetragen wird, dass es den Raum zwischen der Sendevorrichtung 2300 und der Empfangsvorrichtung 2400 vollständig füllt oder überfüllt. Von daher kann das optische Gel 2501 mit dem Trägersubstrat 801 komplanar oder höher als dieses sein.
  • 26 zeigt eine andere Ausführungsform, die den ersten externen Koppler 2201 und den zweiten externen Koppler 2401 nutzt, um Licht von der Sendevorrichtung 2300 an die Empfangsvorrichtung 2400 zu übertragen. In dieser Ausführungsform werden, anstatt die Empfangsvorrichtung 2400 und die Sendevorrichtung 2300 an das Interposer-Substrat 1101 zu bonden, wie vorstehend unter Bezugnahme auf 24 besprochen, die Empfangsvorrichtung 2400 und die Sendevorrichtung 2300 stattdessen direkt an das zweite Substrat 1121 gebondet. In einer Ausführungsform können die Empfangsvorrichtung 2400 und die Sendevorrichtung 2300 an das zweite Substrat 1121 gebondet werden, wie vorstehend unter Bezugnahme auf 12 beschrieben, wie z.B. durch Verwenden der ersten externen Verbinder 1003 und der fünften externen Verbinder 2305. Jedoch kann ein beliebiges geeignetes Verfahren verwendet werden.
  • 27A bis 27F zeigen zusätzliche Ausführungsformen der ersten externen Koppler 2201 (die auch als zusätzliche Ausführungsformen der zweiten externen Koppler 2401 verwendet werden können). In den in 27A bis 27F dargestellten Ausführungsformen werden die mehreren Kerne 2203 auf eine solche Weise angeordnet, dass die einzelnen Kerne 2203 auf andere der einzelnen Kerne 2203 in denselben Spalten ausgerichtet sind. Zum Beispiel zeigt 27A eine 3-3-3- (z.B. 3 Zeilen einzelner Kerne 2203, wobei jede Zeile 3 einzelne Kerne 2203 aufweist), während 27B eine 2-1-2-Ausgestaltung zeigt. 27C zeigt eine 1-3-1-Ausgestaltung, 27D zeigt eine 2-3-2-Ausgestaltung, 27E zeigt eine 4-4-4-4-Ausgestaltung, und 27F zeigt eine 2-2-Ausgestaltung. Jedoch kann eine beliebige geeignete Ausgestaltung verwendet werden.
  • 27G bis 27K zeigen weitere Ausführungsformen der ersten externen Koppler 2201 (die auch als zusätzliche Ausführungsformen der zweiten externen Koppler 2401 verwendet werden können), wobei 27G eine ähnliche Ausgestaltung zeigt wie 27A, 27H eine ähnliche Ausgestaltung zeigt wie 27B usw. In den in 27G bis 27K dargestellten Ausführungsformen werden jedoch die mehreren Kerne 2203 auf eine solche Weise angeordnet, dass die einzelnen Kerne 2203 zu anderen der einzelnen Kerne 2203 in derselben Spalte versetzt sind. In einer bestimmten Ausführungsform können Kerne 2203 in benachbarten Ebenen um eine erste Versatzdistanz D0 von weniger als ungefähr 200 µm versetzt sein. Jedoch kann eine beliebige geeignete Versatzdistanz verwendet werden.
  • In Betrieb der Ausführungsformen, die den ersten externen Koppler 2201 und den zweiten externen Koppler 2401 nutzen, wird das durch den Laser-Die 600 generierte Licht zuerst vom Laser-Die in die zweiten aktiven Schichten 1305 der fünften optischen Komponenten 1309 evaneszent eingekoppelt (z.B. mit einer Kopplungseffizienz größer als 96 %), wo es dann durch den ersten externen Koppler 2201, der auf dem Seitenrand der Sendevorrichtung 2300 angeordnet ist, auf eine breitere Mode umgeformt wird. In einigen Ausführungsformen könnte diese Umformung innerhalb von 100 µm bei einer Ausbreitung in führungsloser Übertragung konvergent sein und hilft dabei, die Kantenkopplungsszene zu verbessern, was dazu führt, dass sich das durch die Sendevorrichtung 2300 ausgegebene Licht im freien Raum ohne Wegführung mit geringer Divergenz bewegt. Die Ausgabe vom ersten externen Koppler 2201 breitet sich dann durch das optische Gel 2501 aus und trifft auf den zweiten externen Koppler 2401 mit einer effizienten Übertragung von mehr als 93 % auf, wodurch der Kompromiss zwischen Kopplungsdistanz und Kopplungseffizienz gelockert wird.
  • In einem konkreten Beispiel kann in einer Ausführungsform, in der der erste externe Koppler 2201 eine 3-2-3-Ausgestaltung aufweist (ähnlich der in 22C dargestellten Ausführungsform), die Kopplungseffizienz bei 40 µm weniger als -0,2 dB betragen (was -0,1775 dB bei 95 % ergibt), mit einem Z-Versatz von +-4,3 µm und einem Y-Versatz von +-4,3 µm bei einem X-Versatz von mehr als 100 µm. Von daher beträgt ein Übertragungsverlust weniger als - 5 dB auf einer Übertragungsdistanz von sogar mehr als 80 µm.
  • In einem anderen Beispiel kann in einer Ausführungsform, in der der erste externe Koppler 2201 eine 2-2-Ausgestaltung aufweist (ähnlich der in 27F dargestellten Ausführungsform), in der die Kerne Abmessungen von 0,2 µm mal 0,15 µm aufweisen und einen Pitch von ungefähr 4,2 µm aufweisen), die Kopplungseffizienz bei 40 µm weniger als -0,2 dB betragen (was -0,1775 dB bei 95 % ergibt), mit einem Z-Versatz von +-6,5 µm und einem Y-Versatz von +-6,5 µm bei einem X-Versatz von mehr als 100 µm. Von daher kann ein TE-Ausbreitungsverlust ungefähr 30 % betragen und ein TM-Ausbreitungsverlust kann ungefähr 80 % betragen, so dass ein Übertragungsverlust von weniger als -5 dB für eine Übertragungsdistanz von sogar mehr als 80 µm vorliegt.
  • 28 zeigt eine noch andere Ausführungsform, in der der Laser-Die 600 innerhalb der Sendevorrichtung 2300 eingebettet ist und das Licht an die Empfangsvorrichtung 2400 zumindest teilweise durch evaneszente Kopplung übertragen wird. In dieser Ausführungsform wird jedoch das Licht, anstatt dass das generierte Licht durch den ersten externen Koppler 2201 übertragen wird, stattdessen in das Interposer-Substrat 1101 evaneszent eingekoppelt. In dieser Ausführungsform, und wenn zunächst 28 betrachtet wird, wird das Interposer-Substrat 1101 anfangs ausgebildet, wie vorstehend unter Bezugnahme auf 11 beschrieben, und weist das Halbleitersubstrat 1103, die dritten Metallisierungsschichten 1105 und die zweiten TDVs 1107 auf. Jedoch können eine beliebige geeignete Struktur und beliebige geeignete Verfahren verwendet werden.
  • Zusätzlich wird in dieser Ausführungsform, nachdem die dritten Metallisierungsschichten 1105 ausgebildet wurden, eine zweite Bondschicht 2801 über den dritten Metallisierungsschichten 1105 ausgebildet. In einer Ausführungsform kann das Ausbilden der zweiten Bondschicht 2801 begonnen werden, indem zunächst sechste optische Komponenten 2803 und eine zweite dielektrische Schicht 2805 ausgebildet werden. In einer Ausführungsform können die sechsten optischen Komponenten 2803 und die zweite dielektrische Schicht 2805 unter Verwendung ähnlicher Prozesse und ähnlicher Materialien ausgebildet werden wie die dritten optischen Komponenten 511 und das erste dielektrische Material 509, die vorstehend unter Bezugnahme auf 5 beschrieben wurden. Jedoch können beliebige geeignete Materialien und Verfahren verwendet werden.
  • 29 zeigt, dass, nachdem die sechsten optischen Komponenten 2803 und die zweite dielektrische Schicht 2805 hergestellt wurden, vierte Bondpads 2901 innerhalb der zweiten Bondschicht 2801 hergestellt werden. In einer Ausführungsform können die vierten Bondpads 2901 unter Verwendung ähnlicher Materialien und ähnlicher Prozesse hergestellt werden wie die ersten Bondpads 507, die vorstehend unter Bezugnahme auf 5 beschrieben wurden. Jedoch können beliebige geeignete Prozesse und Materialien verwendet werden.
  • 30 zeigt eine Vorbereitung der Sendevorrichtung 2300 auf ein Anbringen am Interposer-Substrat 1101 in dieser Ausführungsform. In einer Ausführungsform kann das Ausbilden der Sendevorrichtung 2300 in dieser Ausführungsform mit einer Struktur anfangen, die der vorstehend unter Bezugnahme auf 22A dargestellten Struktur ähnlich ist. Zum Beispiel kann die Laserdiode 602 hergestellt werden, und die zweite aktive Schicht 1305 fünfter optischer Komponenten 1309 wird hergestellt, um durch die Laserdiode 602 generiertes Licht zu empfangen.
  • In dieser Ausführungsform wird jedoch der erste externe Koppler 2001 nicht notwendigerweise ausgebildet. Vielmehr kann der erste externe Koppler 2201 während der Herstellung der Sendevorrichtung 2300 ausgebildet werden oder er kann ansonsten weggelassen werden. Außerdem kann eine dritte Bondschicht 3001 (ähnlich der ersten Bondschicht 505) hergestellt werden, mit siebten optischen Komponenten 3003 (ähnlich den dritten optischen Komponenten 511) und einem dritten dielektrischen Material 3005 (ähnlich dem ersten dielektrischen Material 509), wobei lediglich die siebten optischen Komponenten 3003 und das dritte dielektrische Material 3005 in 30 dargestellt sind.
  • 31A zeigt ein Fortsetzen des Prozesses zum Ausbilden der Sendevorrichtung 2300. In einer Ausführungsform können, nachdem die siebten optischen Komponenten 3003 und das dritte dielektrische Material 3005 hergestellt wurden, die zweiten Durchkontaktierungen 2301 hergestellt werden und die fünften Bondpads 3101 können in der dritten Bondschicht 3001 hergestellt werden, so dass sowohl die siebten optischen Komponenten 3003 als auch die fünften Bondpads 3101 innerhalb derselben Schicht vorhanden sind. In einer Ausführungsform können die fünften Bondpads 3101 unter Verwendung ähnlicher Prozesse und Materialien ausgebildet werden, wie vorstehend in Bezug auf die ersten Bondpads 507 beschrieben, die vorstehend unter Bezugnahme auf 5 beschrieben wurden. Jedoch können beliebige geeignete Strukturen und Verfahren verwendet werden.
  • 31B zeigt eine andere Ausführungsform, in der die fünften Bondpads 3101 außerhalb der Wellenleiter ausgebildet werden. In dieser Ausführungsform wird die zweite aktive Schicht 1305 ausgebildet, das dritte dielektrische Material 3005 wird ohne ein Ausbilden der siebten optischen Komponenten 3003 abgeschieden, und die fünften Bondpads 3101 werden innerhalb des dritten dielektrischen Materials 3005 ausgebildet. Jedoch können beliebige geeignete Verfahren und Materialien verwendet werden.
  • 32 zeigt ein Ausbilden der Empfangsvorrichtung 2400 in dieser Ausführungsform. In einer Ausführungsform kann das Herstellen dadurch initiiert werden, dass die Empfangsvorrichtung 2400 ausgebildet wird, wie vorstehend in Bezug auf die in Fig. dargestellte Struktur beschrieben, aber ohne die Anordnung und das Bonden des Laser-Die 600. Jedoch können beliebige geeignete Strukturen und Herstellungsverfahren verwendet werden.
  • Außerdem kann, nachdem die zweite aktive Schicht 901 ausgebildet wurde, eine vierte Bondschicht 3201 (ähnlich der ersten Bondschicht 505) hergestellt werden, mit achten optischen Komponenten 3203 (ähnlich den dritten optischen Komponenten 511) und einem vierten dielektrischen Material 3205 (ähnlich dem ersten dielektrischen Material 509), wobei lediglich die achten optischen Komponenten 3203 und das vierte dielektrische Material 3205 in 32 dargestellt sind.
  • 33 zeigt, dass in dieser Ausführungsform, nachdem die achten optischen Komponenten 3203 und das vierte dielektrische Material 3205 ausgebildet wurden, die ersten Vorrichtungs-Durchkontaktierungen 1001 ausgebildet werden können. In einer Ausführungsform können die ersten Vorrichtungs-Durchkontaktierungen 1001 ausgebildet werden wie vorstehend unter Bezugnahme auf 10A beschrieben. Jedoch können ein beliebiges geeignetes Verfahren und beliebige geeignete Materialien verwendet werden.
  • 33 zeigt außerdem ein Ausbilden sechster Bondpads 3301 als Teil der vierten Bondschicht 3201. In einer Ausführungsform können die sechsten Bondpads 3301 unter Verwendung ähnlicher Materialien und ähnlicher Verfahren ausgebildet werden wie die ersten Bondpads 507, die vorstehend unter Bezugnahme auf 5 beschrieben wurden. Jedoch können beliebige geeignete Verfahren verwendet werden.
  • 34 zeigt ein Bonden der Sendevorrichtung 2300 und der Empfangsvorrichtung 2400 mit dem Interposer-Substrat 1101. In einer Ausführungsform kann jede von der Sendevorrichtung 2300 und der Empfangsvorrichtung 2400 an das Interposer-Substrat 1101 z.B. unter Verwendung eines Dielektrikum-zu-Dielektrikum- und eines Metall-zu-Metall-Bondprozesses gebondet werden, ähnlich dem vorstehend unter Bezugnahme auf 7 beschriebenen Prozess. Jedoch kann ein beliebiger anderer geeigneter Prozess, wie z.B. ein Fusionsbondprozess, ebenfalls verwendet werden.
  • 34 zeigt außerdem, dass, nachdem die Sendevorrichtung 2300 und die Empfangsvorrichtung 2400 an das Interposer-Substrat 1101 gebondet wurden, der Prozess fortgesetzt werden kann. Zum Beispiel kann das Verkapselungsmaterial 1119 um die Sendevorrichtung 2300 und die Empfangsvorrichtung 2400 angeordnet werden, das Interposer-Substrat 1101 wird an das zweite Substrat 1121 gebondet, und die optische Faser 1005 kann angebracht werden.
  • In Betrieb wird Licht, das durch die innerhalb der Sendevorrichtung 2300 eingebettete Laserdiode 602 generiert wird, zuerst in die fünften optischen Komponenten 1309 und die siebten optischen Komponenten 3003 innerhalb der dritten Bondschicht 3001 eingekoppelt. Von dort wird das Licht in die sechsten optischen Komponenten 2803 innerhalb des Interposer-Substrats 1101 evaneszent eingekoppelt, wo Wellenleiter innerhalb des Interposer-Substrats 1101 das Licht zur Empfangsvorrichtung 2400 leiten, wo das Licht in die achten optischen Komponenten 3203 der vierten Bondschicht 3201 evaneszent eingekoppelt wird. Das Licht kann dann wunschgemäß um die Empfangsvorrichtung 2400 geleitet werden
  • Von daher sind die Sendevorrichtung 2300 und die Empfangsvorrichtung 2400 durch Wellenleiter und evaneszentes Koppeln durch das Interposer-Substrat 1101 optisch verbunden. Da das Koppeln auf eine solche Weise durchgeführt wird, kann außerdem die Kopplungseffizienz zwischen der Sendevorrichtung 2300 und der Empfangsvorrichtung 2400 größer als 96 % sein. Dementsprechend wird der Großteil der optischen Leistung eingespart und führt zu einer effizienten Energieumwandlung und -nutzung.
  • 35A bis 35C zeigen eine andere Ausführungsform, in der Licht durch das Interposer-Substrat 1101 gekoppelt wird. Wenn zunächst 35A betrachtet wird, werden in dieser Ausführungsform die sechsten optischen Komponenten 2803 und die zweite dielektrische Schicht 2805, anstatt dass sie sich innerhalb einer einzelnen Schicht befinden, stattdessen als mehrere Schichten ausgebildet. Von daher können drei oder mehr Schichten der sechsten optischen Komponenten 2803 vorhanden sein. Jedoch kann eine beliebige geeignete Anzahl von Schichten der sechsten optischen Komponenten 2803 und der zweiten dielektrischen Schicht 2805 ausgebildet werden.
  • 35B zeigt ein Ausbilden der fünften Bondpads 3101. In einer Ausführungsform können die fünften Bondpads 3101 ausgebildet werden, wie vorstehend unter Bezugnahme auf 31A beschrieben, sie werden jedoch derart ausgebildet, dass sie sich durch jede Schicht der sechsten optischen Komponenten 2803 erstrecken, um mit leitfähigen Elementen der dritten Metallisierungsschichten 1105 elektrische Verbindung zu bilden. Jedoch kann ein beliebiges geeignetes Ausbildungsverfahren verwendet werden.
  • 35C zeigt ein Fortsetzen des Prozesses, wobei die Sendevorrichtung 2300 und die Empfangsvorrichtung 2400 an das Interposer-Substrat 1101 gebondet und damit gekoppelt werden. Außerdem werden die Sendevorrichtung 2300 und die Empfangsvorrichtung 2400 verkapselt, und das zweite Substrat 1121 wird gebondet.
  • 36A bis 36C zeigen, dass, obwohl die vorstehend vorgestellten Ausführungsformen in Bezug auf die Kopplung von Laser-Dies 600 dargestellt wurden, die vorgestellten Ideen nicht auf die Kopplung von Laser-Dies 600 beschränkt sind. Vielmehr könnten die vorgestellten Ideen verwendet werden, um eine breite Vielfalt heterogener Vorrichtungen an eine beliebige der vorstehend beschriebenen Ausführungsform anzukoppeln. Zum Beispiel zeigt 36A, dass evaneszentes Koppeln verwendet werden kann, um einen optischen Halbleiterverstärker 3601, wie z.B. einen optischen III-V-Halbleiterverstärker mit einem Stapel von Materialien, wie z.B. InP, InGaAsP, InAlGaAs, InGaAsP/InP und InAlAs, mit der ersten Bondschicht des optischen Interposers 100 zu koppeln. Außerdem zeigt 36B, dass ein Siliziumgermanium-basierter Modulator 3603, wobei z.B. Germanium über einem p-n-Übergang aus dotiertem Silizium liegt, auch evaneszent gekoppelt werden kann, während 36C zeigt, dass elektrisch-optische Wandler 3605, wie z.B. ein Lithiumniobat-Modulator, ebenfalls verwendet werden können. Alle solchen Modulatoren oder ähnliche Vorrichtungen, und alle solchen Bondverfahren können verwendet werden, um diese Vorrichtungen miteinander zu verbinden, und alle solchen Vorrichtungen sollen im Umfang beliebiger der vorstehend unter Bezugnahme auf 1 bis 35C vorgestellten Ausführungsformen vollständig aufgenommen sein.
  • Durch Verwenden des Laser-Die 600, wie vorstehend beschrieben, kann Platz, der in der Regel für die Integration eines sperrigen und übergroßen externen Lasers verwendet wird, eingespart werden. Zum Beispiel kann der Laser-Die 600 durch interne Metallwegleitung auf dem Interposer-Substrat 1101, wie z.B. die dritten Metallisierungsschichten 1105, elektrisch angetrieben werden. Da keine zusätzliche optische Faserkopplung eines solchen externen Lasers vorhanden ist, wird außerdem die Antriebsleistung, die andernfalls verloren gegangen wäre (z.B. Einfügungsverluste durch einen Gitterkoppler oder Verluste durch polarisationsempfindliche passive Komponenten), stattdessen durch evaneszente Einkopplung des Lichts in die gewünschten optischen Komponenten beibehalten, was zu einer energieeffizienteren Vorrichtung führt.
  • In einer Ausführungsform umfasst ein Verfahren zum Herstellen einer optischen Vorrichtung: Empfangen eines Laser-Die, wobei der Laser-Die einen ersten Kontakt entlang einer ersten Seite aufweist; Bonden der ersten Seite des Laser-Die an einen optischen Interposer, wobei nach dem Bonden der optische Interposer einen ersten Wellenleiter aufweist, der benachbart zum Laser-Die und mit dem ersten Kontakt optisch gekoppelt ist; und Bonden einer elektrischen integrierten Schaltung an den optischen Interposer. In einer Ausführungsform wird das Bonden zumindest teilweise mit einem Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozess durchgeführt. In einer Ausführungsform wird das Bonden mit einem Fusionsbondprozess durchgeführt. In einer Ausführungsform umfasst das Verfahren ferner, nach dem Bonden, ein Ausbilden einer Durchkontaktierung zum Laser-Die. In einer Ausführungsform umfasst das Verfahren ferner, nach dem Bonden, ein Ausbilden optischer Komponenten auf einer Seite des optischen Interposers, die dem Laser-Die entgegengesetzt ist. In einer Ausführungsform umfasst das Verfahren ferner ein Bonden des optischen Interposers an ein Interposer-Substrat. In einer Ausführungsform umfasst das Verfahren ferner ein Bonden des optischen Interposers an ein integriertes Fan-Out-Substrat.
  • In einer anderen Ausführungsform umfasst ein Verfahren zum Herstellen einer optischen Vorrichtung: Ausbilden eines Laser-Die mit einer Laserdiode; Ausbilden eines externen Hohlraums separat von der Laserdiode; Bonden des Laser-Die an einen optischen Interposer, wobei nach dem Bonden die Laserdiode mit dem externen Hohlraum gekoppelt ist; und Bonden einer ersten Halbleitervorrichtung an den optischen Interposer. In einer Ausführungsform bondet das Bonden einen ersten leitfähigen Abschnitt des Laser-Die mit einem zweiten leitfähigen Abschnitt des optischen Interposers. In einer Ausführungsform ist der Bondprozess ein Fusionsbondprozess. In einer Ausführungsform umfasst das Verfahren ferner ein Ausbilden einer Durchkontaktierung durch den optischen Interposer zum Laser-Die. In einer Ausführungsform bildet das Ausbilden des externen Hohlraums den externen Hohlraum als Teil des optischen Interposers. In einer Ausführungsform bildet das Ausbilden des externen Hohlraums den externen Hohlraum als Teil des Laser-Die. In einer Ausführungsform bildet das Ausbilden des externen Hohlraums den externen Hohlraum in einer Ring-Bus-Ring-Ausgestaltung.
  • In einer noch anderen Ausführungsform umfasst ein Verfahren zum Herstellen einer optischen Vorrichtung: Ausbilden einer Sendevorrichtung, wobei das Ausbilden der Sendervorrichtung umfasst: Ausbilden einer Laserdiode; Abscheiden eines Lückenfüllmaterials um die Laserdiode; und Ausbilden einer ersten Schicht optischer Komponenten, wobei die erste Schicht optischer Komponenten einen ersten externen Koppler aufweist; Ausbilden einer Empfangsvorrichtung, wobei das Ausbilden der Empfangsvorrichtung umfasst: Ausbilden eines optischen Interposers; Bonden einer ersten Halbleitervorrichtung an den optischen Interposer; und Ausbilden einer zweiten Schicht optischer Komponenten, wobei die zweite Schicht optischer Komponenten einen zweiten externen Koppler aufweist; und Bonden der Sendevorrichtung und der Empfangsvorrichtung an ein Interposer-Substrat, wobei nach dem Bonden der Sendevorrichtung und der Empfangsvorrichtung der erste externe Koppler auf den zweiten externen Koppler ausgerichtet ist. In einer Ausführungsform umfasst das Verfahren ferner ein Übertragen von Licht vom ersten externen Koppler zum zweiten externen Koppler. In einer Ausführungsform ist der erste externe Koppler ein Kantenkoppler mit mehreren Kernen. In einer Ausführungsform weist der Kantenkoppler mit mehreren Kernen acht Kerne in einer 3-2-3-Ausgestaltung auf. In einer Ausführungsform weist der Kantenkoppler mit mehreren Kernen mehrere Spalten von Kernen auf, wobei Kerne in jeder Spalte aufeinander ausgerichtet sind. In einer Ausführungsform weist der Kantenkoppler mit mehreren Kernen mehrere Spalten von Kernen auf, wobei Kerne in jeder Spalte zueinander versetzt sind.
  • Das Vorstehende skizziert Merkmale mehrerer Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Ein Fachmann sollte ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/377096 [0001]

Claims (20)

  1. Verfahren zum Herstellen einer optischen Vorrichtung, wobei das Verfahren umfasst: Empfangen eines Laser-Die, wobei der Laser-Die einen ersten Kontakt entlang einer ersten Seite aufweist, Bonden der ersten Seite des Laser-Die an einen optischen Interposer, wobei nach dem Bonden der optische Interposer einen ersten Wellenleiter aufweist, der benachbart zum Laser-Die und mit dem ersten Kontakt optisch gekoppelt ist, und Bonden einer elektrischen integrierten Schaltung an den optischen Interposer.
  2. Verfahren nach Anspruch 1, wobei das Bonden zumindest teilweise mit einem Dielektrikum-zu-Dielektrikum- und Metall-zu-Metall-Bondprozess durchgeführt wird.
  3. Verfahren nach Anspruch 1, wobei das Bonden mit einem Fusionsbondprozess durchgeführt wird.
  4. Verfahren nach Anspruch 3, das ferner, nach dem Bonden, ein Ausbilden einer Durchkontaktierung zum Laser-Die umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, das ferner, nach dem Bonden, ein Ausbilden optischer Komponenten auf einer Seite des optischen Interposers, die dem Laser-Die entgegengesetzt ist, umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, das ferner ein Bonden des optischen Interposers an ein Interposer-Substrat umfasst.
  7. Verfahren nach einem der vorhergehenden Ansprüche, das ferner ein Bonden des optischen Interposers an ein integriertes Fan-Out-Substrat umfasst.
  8. Verfahren zum Herstellen einer optischen Vorrichtung, wobei das Verfahren umfasst: Ausbilden eines Laser-Die mit einer Laserdiode, Ausbilden eines externen Hohlraums separat von der Laserdiode, Bonden des Laser-Die an einen optischen Interposer, wobei nach dem Bonden die Laserdiode mit dem externen Hohlraum gekoppelt ist, und Bonden einer ersten Halbleitervorrichtung an den optischen Interposer.
  9. Verfahren nach Anspruch 8, wobei das Bonden einen ersten leitfähigen Abschnitt des Laser-Die mit einem zweiten leitfähigen Abschnitt des optischen Interposers bondet.
  10. Verfahren nach Anspruch 8, wobei das Bonden ein Fusionsbondprozess ist.
  11. Verfahren nach Anspruch 10, das ferner ein Ausbilden einer Durchkontaktierung durch den optischen Interposer zum Laser-Die umfasst.
  12. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 11, wobei das Ausbilden des externen Hohlraums den externen Hohlraum als Teil des optischen Interposers bildet.
  13. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 11, wobei das Ausbilden des externen Hohlraums den externen Hohlraum als Teil des Laser-Die bildet.
  14. Verfahren nach einem der vorhergehenden Ansprüche 8, wobei das Ausbilden des externen Hohlraums den externen Hohlraum in einer Ring-Bus-Ring-Ausgestaltung bildet.
  15. Optische Vorrichtung, aufweisend: einen Laser-Die, wobei der Laser-Die einen ersten Kontakt entlang einer ersten Seite aufweist, einen optischen Interposer, der an die erste Seite des Laser-Die gebondet ist, wobei der optische Interposer einen ersten Wellenleiter aufweist, der benachbart zum Laser-Die und mit dem ersten Kontakt optisch gekoppelt ist, und eine elektrische integrierte Schaltung, die an den optischen Interposer gebondet ist.
  16. Optische Vorrichtung nach Anspruch 15, wobei der optische Interposer an den Laser-Die mit einem Dielektrikum-zu-Dielektrikum-Bond und einem Metall-zu-Metall-Bond gebondet ist.
  17. Optische Vorrichtung nach Anspruch 15, wobei der optische Interposer an den Laser-Die mit einem Fusionsbond gebondet ist.
  18. Optische Vorrichtung nach Anspruch 17, wobei eine Durchkontaktierung mit dem Laser-Die verbunden ist.
  19. Optische Vorrichtung nach einem der vorhergehenden Ansprüche 15 bis 18, die ferner optische Komponenten aufweist, die auf einer Seite des optischen Interposers, die dem Laser-Die entgegengesetzt ist, angeordnet sind.
  20. Optische Vorrichtung nach einem der vorhergehenden Ansprüche 15 bis 19, die ferner ein integriertes Fan-Out-Substrat aufweist, das an den optischen Interposer gebondet ist.
DE102023114986.5A 2022-09-26 2023-06-07 Optische vorrichtung und herstellungsverfahren Pending DE102023114986A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263377096P 2022-09-26 2022-09-26
US63/377,096 2022-09-26
US18/153,661 US20240103218A1 (en) 2022-09-26 2023-01-12 Optical Device and Method of Manufacture
US18/153,661 2023-01-12

Publications (1)

Publication Number Publication Date
DE102023114986A1 true DE102023114986A1 (de) 2024-03-28

Family

ID=90140235

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023114986.5A Pending DE102023114986A1 (de) 2022-09-26 2023-06-07 Optische vorrichtung und herstellungsverfahren

Country Status (3)

Country Link
US (1) US20240103218A1 (de)
KR (1) KR20240043096A (de)
DE (1) DE102023114986A1 (de)

Also Published As

Publication number Publication date
KR20240043096A (ko) 2024-04-02
US20240103218A1 (en) 2024-03-28

Similar Documents

Publication Publication Date Title
DE102012015309B4 (de) Zugverformte Halbleiter-Photonenemissions- und -Detektionsanordnungen und integrierte photonische Systeme
DE102019116579B4 (de) Photoniksystem und verfahren
US9568676B2 (en) Method for producing an integrated optical circuit
DE102019117173A1 (de) Photonisches halbleiter-bauelement und verfahren
Boeuf et al. A multi-wavelength 3D-compatible silicon photonics platform on 300mm SOI wafers for 25Gb/s applications
DE102020107271B4 (de) Photonische Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102010060838A1 (de) Vorrichtung und Verfahren für Lichtwellenleiter und Optokoppler, sowie Herstellungsverfahren dafür
DE102019117283A1 (de) Optischer Transceiver und Herstellungsverfahren dafür
DE60219815T2 (de) Monolitische elektronische mehrlagenanordnung und deren herstellungsverfahren
DE102019219696B4 (de) Siliziumdurchkontaktierungen für die heterogene integration von halbleitervorrichtungsstrukturen und herstellungsverfahren
DE102004025775A1 (de) Oberflächenemissionslasergehäuse, das ein integriertes optisches Element und einen integrierten Ausrichtungspfosten aufweist
KR20140019758A (ko) 광통신 시스템의 하이브리드 집적 방법 및 시스템
DE102014119717B4 (de) Integrierte optoelektronische Vorrichtung und System mit Wellenleiter und Herstellungsverfahren derselben
DE112013003336T5 (de) Integrierte optoelektronische Vorrichtung mit Wellenleiter und Herstellungsverfahren derselben
DE102020119103A1 (de) Photonische halbleitervorrichtung und herstellungsverfahren
DE102021109161A1 (de) Photonische halbleitervorrichtung und herstellungsverfahren
DE60216119T2 (de) Integrierte arrays von modulatoren und lasern auf einer elektronischen schaltung
DE102009047872B4 (de) Halbleiterbauelement mit einem vergrabenen Wellenleiter für die bauteilinterne optische Kommunikation
DE102022108287A1 (de) Packagestruktur mit photonic package und interposer mit wellenleiter
DE102023114986A1 (de) Optische vorrichtung und herstellungsverfahren
CN116931167A (zh) 转接板、光芯片封装、计算加速器及其制造方法
DE102023109539A1 (de) Optische vorrichtung und herstellungsverfahren
DE102018106508B4 (de) Hybrid-interconnect-bauelement und verfahren
CN117420635A (zh) 光学器件及其制造方法
CN117254345B (zh) 一种模块化的硅基异质光电集成架构及方法

Legal Events

Date Code Title Description
R012 Request for examination validly filed