DE102020127728A1 - Strukturen integrierter schaltungen mit rückseitigen durchkontaktierungen - Google Patents

Strukturen integrierter schaltungen mit rückseitigen durchkontaktierungen Download PDF

Info

Publication number
DE102020127728A1
DE102020127728A1 DE102020127728.8A DE102020127728A DE102020127728A1 DE 102020127728 A1 DE102020127728 A1 DE 102020127728A1 DE 102020127728 A DE102020127728 A DE 102020127728A DE 102020127728 A1 DE102020127728 A1 DE 102020127728A1
Authority
DE
Germany
Prior art keywords
conductor
device layer
area
metallization
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020127728.8A
Other languages
English (en)
Inventor
Nicholas A. Thomson
Kalyan C. Kolluru
Adam Clay Faust
Frank Patrick O'Mahony
Ayan KAR
Rui Ma
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102020127728A1 publication Critical patent/DE102020127728A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0292Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using a specific configuration of the conducting means connecting the protective devices, e.g. ESD buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0255Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using diodes as protective elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0248Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection
    • H01L27/0251Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices
    • H01L27/0288Particular design considerations for integrated circuits for electrical or thermal protection, e.g. electrostatic discharge [ESD] protection for MOS devices using passive elements as protective elements, e.g. resistors, capacitors, inductors, spark-gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/145Organic substrates, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

[0168] Hier werden Strukturen integrierter Schaltungen (IC-Strukturen), die rückseitige Durchkontaktierungen enthalten, sowie verwandte Verfahren und Vorrichtungen offenbart. In einigen Ausführungsformen kann eine IC-Struktur Folgendes enthalten: eine Vorrichtungsschicht, wobei die Vorrichtungsschicht mehrere aktive Vorrichtungen enthält; eine erste Metallisierungsschicht über der Vorrichtungsschicht, wobei die erste Metallisierungsschicht eine erste Leiterbahn in leitendem Kontakt mit mindestens einer der aktiven Vorrichtungen in der Vorrichtungsschicht enthält; eine zweite Metallisierungsschicht unter der Vorrichtungsschicht, wobei die zweite Metallisierungsschicht eine zweite Leiterbahn enthält; und eine Leiterdurchkontaktierung in der Vorrichtungsschicht, wobei die Leiterdurchkontaktierung in leitendem Kontakt mit mindestens einer der aktiven Vorrichtungen in der Vorrichtungsschicht und außerdem in leitendem Kontakt mit der zweiten Leiterbahn ist.

Description

  • Hintergrund
  • Herkömmliche Komponenten integrierter Schaltungen (IC-Komponenten) können eine Vorrichtungsschicht und darauf einen Metallisierungsstapel enthalten; Leiterkontakte auf dem Metallisierungsstapel ermöglichen eine elektrische Kopplung zwischen Vorrichtungen in der Vorrichtungsschicht und einer externen Komponente. Derartige IC-Komponenten können als „einseitig“ betrachtet werden, da sie lediglich auf einer Seite der IC-Komponente Leiterkontakte enthalten.
  • Figurenliste
  • Ausführungsformen werden durch die folgende genaue Beschreibung in Verbindung mit den begleitenden Zeichnungen leicht verstanden werden. Um die Beschreibung zu erleichtern, bezeichnen ähnliche Bezugszeichen ähnliche Elemente. Ausführungsformen sind in den Figuren der begleitenden Zeichnungen beispielhaft, jedoch nicht einschränkend, dargestellt.
    • 1 und 2 sind perspektivische Ansichten von Strukturen integrierter Schaltungen (IC-Strukturen), die eine Innendurchführungsanordnung für eine Signalverarbeitungsschaltungsanordnung enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen.
    • 3 und 4 sind perspektivische Ansichten von IC-Strukturen, die eine Innendurchführungsanordnung für eine Leistungsaustastschaltungsanordnung enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen.
    • 5 und 6 sind perspektivische Ansichten von IC-Strukturen, die eine Innendurchführungsanordnung für eine Schaltungsanordnung zum Schutz vor elektrostatischer Entladung (ESDP-Schaltungsanordnung) enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen.
    • 7 und 8 sind perspektivische Ansichten von IC-Strukturen, die ein äußere Durchführungsanordnung enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen.
    • 9 ist ein Schaubild einer Ein-/Ausgabe-Schaltungsanordnung, die unter Verwendung einer oder mehrerer Innendurchführungsanordnungen und/oder einer oder mehrerer äußerer Durchführungsanordnungen implementiert werden kann, in Übereinstimmung mit verschiedenen Ausführungsformen.
    • 10-12 veranschaulichen Beispiel-IC-Strukturen, die die Ein-/Ausgabe-Schaltungsanordnung von 9 ohne Reihenwiderstand implementieren, in Übereinstimmung mit verschiedenen Ausführungsformen.
    • 13-14 veranschaulichen Beispiel-IC-Strukturen, die die Ein-/Ausgabe-Schaltungsanordnung von 9 mit einem frontseitigen Reihenwiderstand implementieren, in Übereinstimmung mit verschiedenen Ausführungsformen.
    • 15-18 veranschaulichen Beispiel-IC-Strukturen, die die Ein-/Ausgabe-Schaltungsanordnung von 9 mit einem rückseitigen Reihenwiderstand implementieren, in Übereinstimmung mit verschiedenen Ausführungsformen.
    • 19 ist eine Draufsicht eines Wafers und eines Chips, die eine IC-Struktur, die rückseitige Durchkontaktierungen enthält, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten können.
    • 20 ist eine Seitenquerschnittansicht einer IC-Komponente, die eine IC-Struktur, die rückseitige Durchkontaktierungen enthält, enthalten kann, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen.
    • 21 ist eine Seitenquerschnittansicht einer IC-Baugruppe, die eine IC-Struktur, die rückseitige Durchkontaktierungen enthält, enthalten kann, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen.
    • 22 ist eine Seitenquerschnittansicht einer IC-Komponentenanordnung, die eine IC-Struktur, die rückseitige Durchkontaktierungen enthält, enthalten kann, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen.
    • 23 ist ein Blockdiagramm einer elektrischen Beispielvorrichtung, die eine IC-Struktur, die rückseitige Durchkontaktierungen enthält, enthalten kann, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen.
  • Genaue Beschreibung
  • Hier werden Strukturen integrierter Schaltungen (IC-Strukturen), die rückseitige Durchkontaktierungen enthalten, sowie verwandte Verfahren und Vorrichtungen offenbart. In einigen Ausführungsformen kann eine IC-Struktur Folgendes enthalten: eine Vorrichtungsschicht, wobei die Vorrichtungsschicht mehrere aktive Vorrichtungen enthält; eine erste Metallisierungsschicht über der Vorrichtungsschicht, wobei die erste Metallisierungsschicht eine erste Leiterbahn in leitendem Kontakt mit mindestens einer der aktiven Vorrichtungen in der Vorrichtungsschicht enthält; eine zweite Metallisierungsschicht unter der Vorrichtungsschicht, wobei die zweite Metallisierungsschicht eine zweite Leiterbahn enthält; und eine Leiterdurchkontaktierung in der Vorrichtungsschicht, wobei die Leiterdurchkontaktierung in leitendem Kontakt mit mindestens einer der aktiven Vorrichtungen in der Vorrichtungsschicht und außerdem in leitendem Kontakt mit der zweiten Leiterbahn ist.
  • Hier werden Strukturen offenbart zum Durchleiten von Leistung und/oder Signalen zwischen einer rückseitigen Metallisierung und aktiven Vorrichtungen, die in einer Vorrichtungsschicht einer IC-Komponente (z. B. eines Chips) enthalten sind. Mit dem Aufkommen von 3D-ICs (z. B. ICs, die mehrere aufeinandergestapelte Vorrichtungsschichten enthalten) und Silizium-auf-Isolator-Technologien (SOI-Technologien) müssen herkömmliche Lösungsversuche zur Signal- und/oder Leistungsverteilung nicht mehr angemessen sein. Zum Beispiel findet herkömmlicherweise die Kommunikation von Signalen zwischen aktiven Vorrichtungen in einer IC-Komponente und einer externen Komponente über Leiterbahnen statt, die mehrere Schichten einer frontseitigen Metallisierung aufwärtsleiten. Große Anlegeanschlussflächen können auf der Vorderseite der IC-Komponente angeordnet sein, um Konfektionierungsverbindungen (z. B. Drahtverbindungsanschlussflächen, Lötanschlussflächen zur Flip-Chip-Konfektionierung usw.) zu ermöglichen. Um 3D-ICs zu implementieren, müssen Signale möglicherweise durch eine Vorrichtungsschicht geleitet werden. Einige frühere Lösungsversuche besitzen integrierte Siliziumdurchkontaktierungen (TSVs). Herkömmliche TSVs können große Metalldurchkontaktierungen, die durch die Dicke eines Substrats der Vorrichtungsschicht gebohrt sind, derart, dass sie ein Signal zwischen der Vorrichtungsschicht und der Rückseite durchleiten.
  • Herkömmliche frontseitige Metallisierung und TSVs müssen nicht angemessen ermöglichen hochqualitativen Signaldurchgang in moderner komplexer Elektronik. Zum Beispiel kann die Verwendung von Lösungsversuchen mit herkömmlicher frontseitiger Metallisierung Leiterbahnen erfordern, die zu den Metallen der obersten Schicht in einer IC-Komponente leiten und komplexe Vorrichtungen können viele Metallschichten erfordern. Chipexterne Signale müssen alle diese Metallschichten durchlaufen, um zum Metall der obersten Schicht zu gelangen, wo sie zum Anschlussflächenort geleitet werden können. Dies kann einen erheblichen Reihenwiderstand erzeugen, wenn der Metallstapel durchlaufen wird. Wenn Leistung auch zu den obersten frontseitigen Metallschichten geleitet wird, können diese Nachteile verschärft werden. Herkömmliche TSVs sind aufgrund der Dicke des Materialien, durch das sie leiten müssen, typischerweise groß, was in einer große Grundfläche resultiert, was zu größeren Chipflächen und erhöhten Kosten führt. TSVs enthalten typischerweise auch eine große Freihaltezone um sie, um ein Verursachen einer unerwünschten Schwankung naheliegender aktiver Vorrichtungen zu vermeiden, was die Chipfläche und -kosten weiter erhöht. Aufgrund der Entfernung, die ein Signal zurücklegen muss, um zu einer TSV zu gelangen, kann jedes chipexterne Signal zu den Metallen höherer Ebenen (die typischerweise dicker als Metalle niedrigerer Ebenen sind) für einen niedrigeren Reihenleitungswiderstand zur TSV hochgeleitet werden, was Leitungsbetriebsmittel weiter belastet.
  • Die Durchführungsstrukturen, die hier offenbart werden, können rückseitige Durchkontaktierungen verwenden, um eine Vorrichtungsschicht an eine rückseitige Metallisierung zu koppeln. Derartige rückseitige Durchkontaktierungen können eine viel kürzere Entfernung laufen als herkömmliche TSVs und können eng in die Schaltungsanordnung, die sie unterstützen, integriert sein (statt eine große Freihaltezone zu erfordern). Durch enges Integrieren der Signaldurchführung in die Vorrichtungsschicht (d. h. die Front-End-of-Line-Vorrichtungen (FEOL-Vorrichtungen)) kann die Leitungsentfernung stark verringert werden, wodurch der Widerstand auf der Route und die Grundfläche, die für ein derartiges Leiten erforderlich ist, verringert wird. Ferner kann die Verwendung rückseitiger Durchkontaktierungen die Notwendigkeit für Metalle oberer Schichten beim Herstellen chipexterner Verbindungen minimieren, wodurch Betriebsmittel für chipinternes Schaltungsleiten freigemacht werden (und das möglicherweise zu verringerten Zahlen von Metallschichten und deshalb zu verringerten Kosten und einer verringerten Komplexität führt). In einigen Ausführungsformen kann eine komplexere Schaltungsverdrahtung auf die frontseitigen Metallisierungsschichten beschränkt sein, was erlaubt, dass die rückseitigen Metallisierungsschichten aus dickeren Metallen mit geringerem Widerstand und höheren Stromgrenzen hergestellt werden.
  • In der folgenden genauen Beschreibung wird auf die begleitenden Zeichnungen, die einen Teil hiervon bilden, Bezug genommen, wobei ähnliche Zahlzeichen überall ähnliche Teile bezeichnen und wobei veranschaulichend Ausführungsformen gezeigt sind, die praktiziert werden können. Es versteht sich, dass weitere Ausführungsformen verwendet werden können und strukturelle oder logische Änderungen vorgenommen werden können, ohne vom Umfang der vorliegenden Offenbarung abzuweichen. Deshalb soll die folgende genaue Beschreibung nicht in einschränkend verstanden werden.
  • Verschiedene Operationen können wiederum als mehrere einzelne Aktionen oder Operationen beschrieben werden, auf die Weise, die zum Verständnis des beanspruchten Gegenstands am hilfreichsten ist. Allerdings sollte die Darstellungsreihenfolge nicht derart ausgelegt werden, dass sie impliziert, dass diese Operationen notwendigerweise reihenfolgenabhängig sind. Insbesondere müssen diese Operationen nicht in der Darstellungsreihenfolge durchgeführt werden. Die beschriebenen Operationen können in einer von der beschriebenen Ausführungsform verschiedenen Reihenfolge durchgeführt werden. Verschiedene zusätzliche Operationen können durchgeführt werden und/oder beschriebene Operationen können in zusätzlichen Ausführungsformen ausgelassen werden.
  • Für die Zwecke der vorliegenden Offenbarung bedeutet die Wortverbindung „A und/oder B“ (A), (B) oder (A und B). Für die Zwecke der vorliegenden Offenbarung bedeutet die Wortverbindung „A, B und/oder C“ (A), (B), (C), (A und B), (A und C), (B und C) oder (A, B und C) . Die Zeichnungen sind nicht notwendigerweise maßstabsgetreu. Obwohl viele der Zeichnungen geradlinige Strukturen mit ebenen Wänden und rechtwinkligen Ecken veranschaulichen, dient dies lediglich zur Vereinfachung der Darstellung und tatsächliche Vorrichtungen, die unter Verwendung dieser Techniken hergestellt werden, werden abgerundete Ecken, eine Oberflächenrauheit und weitere Merkmale aufweisen.
  • Die Beschreibung verwendet die Wortverbindungen „in einer Ausführungsform“ oder „in Ausführungsformen“, die sich jeweils auf eine oder mehrere derselben oder verschiedener Ausführungsformen beziehen können. Darüber hinaus sind die Begriffe „umfassen“, „enthalten“, „aufweisen“ und dergleichen, wie sie in Bezug auf Ausführungsformen der vorliegenden Offenbarung verwendet werden, synonym. Wie hierin verwendet sind eine „Baugruppe“ und eine „IC-Baugruppe“ synonym. Wenn sie verwendet wird, um einen Bereich von Abmessungen zu beschreiben, repräsentiert die Wortverbindung „zwischen X und Y“ einen Bereich, der X und Y enthält.
  • 1-8 veranschaulichen verschiedene Ausführungsformen von IC-Strukturen 100, die eine oder mehrere rückseitige Durchkontaktierungen 110 enthalten. In 1-8 sind IC-Strukturen 100 mit vier Halbleiterkörpern (z. B. vier Lamellen) dargestellt, jedoch dient dies lediglich zur Vereinfachung der Darstellung und jede geeignete Anzahl von Halbleiterkörpern kann in einer IC-Struktur 100 enthalten sein. Entsprechend können die Anzahl und Anordnung rückseitiger Durchkontaktierungen 110, die Anzahl epitaktisch gewachsener Bereiche („epi-Bereiche“) 112 und 114, die Anzahl und Anordnung lokaler Verbindungen 116 und die Anzahl und Anordnung von Gate-Elektroden 118 nach Bedarf variiert werden. In verschiedene Ausführungsformen können die IC-Strukturen 100, die in 1-8 dargestellt sind, kann ein kleiner Teil einer größeren vollständigen Anordnung von IC-Strukturen 100 sein.
  • 1 und 2 sind perspektivische Ansichten von IC-Strukturen 100, die eine Innendurchführungsanordnung für eine Signalverarbeitungsschaltungsanordnung enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen. Die IC-Struktur 100 von 1 kann parallele rückseitige Durchkontaktierungen 110A enthalten, während die IC-Struktur 100 von 2 in den Körper geschnittene rückseitige Durchkontaktierungen 110B enthalten kann. In einigen Ausführungsformen kann eine IC-Struktur 100 ähnlich denen von 1 und 2 sowohl parallele rückseitige Durchkontaktierungen 110A als auch in den Körper geschnittene rückseitige Durchkontaktierungen 110B nach Bedarf enthalten. In einigen Ausführungsformen können die IC-Strukturen 100 von 1 und 2 enthalten substratlose lamellenbasierte Transistoren (z. B. Transistoren, die einen FinFET-Entwurf ohne globales Halbleitersubstrat oder eine Bulk-Siliziumschicht wie z. B. FinFETs auf einem SOI oder einem dünnen Halbleitersubstrat besitzen). In einigen Ausführungsformen können die IC-Strukturen 100 von 1 und 2 in einer Treiberschaltungsanordnung 138 einer rückseitigen I/O-IC-Struktur 170 enthalten sein, wie unten unter Bezugnahme auf 10-18 diskutiert wird. Ferner können die IC-Strukturen 100 von 1 und 2 zur Signaldurchführung und/oder zur Leistungsübermittlung nach Bedarf verwendet werden.
  • Unter Bezugnahme auf 1 kann die IC-Struktur 100 einen Körperbereich des N-Typs 106 und einen Körperbereich des P-Typs 108 enthalten, die über Abschnitten einer rückseitigen Metallisierung 104 durch ein Isolationsmaterial 102 getrennt angeordnet sind. Die rückseitige Metallisierung 104 und das komplanare Isolationsmaterial 102 können Teil eines rückseitigen Metallisierungsstapels 180-2 sein, wie unten weiter diskutiert wird. Ferner kann ein Isolationsmaterial 102 zwischen dem Körperbereich des N-Typs 106 und dem Körperbereich des P-Typs 108 angeordnet sein. In einigen Ausführungsformen kann das Isolationsmaterial 102 Glas, Siliziumoxid, kohlenstoffdotiertes Oxid (CDO), Siliziumnitrid, organische Polymere wie z. B. Perfluorcyclobutan und/oder Polytetrafluorethylen, Fluorsilikatglas (FSG) und/oder Orangosilikate wie z. B. Silsesquioxan, Siloxan und/oder Orangosilikatglas enthalten. In einigen Ausführungsformen können mehrere epitaktisch gewachsene Bereiche 112 des P-Typs (die hier als „epi-Bereiche 112 des P-Typs“ bezeichnet werden) und mehrere Gate-Elektroden 118 kann über dem Körperbereich des N-Typs 106 angeordnet sein und können mehrere epitaktisch gewachsene Bereiche 114 des N-Typs (die hier als „epi-Bereiche 114 des N-Typs“ bezeichnet werden) und mehrere Gate-Elektroden 118 über dem Körperbereich des P-Typs 108 angeordnet sein. Die Gate-Elektroden 118 können zwischen benachbarten Paaren von epi-Bereichen 112 des P-Typs über dem Körperbereich des N-Typs 106 positioniert und zwischen benachbarten Paaren von epi-Bereichen 114 des N-Typs über dem Körperbereich des P-Typs 108 positioniert sein.
  • Die Körperbereiche 106/108 können jeweils einen oder mehrere Halbleiterkörper enthalten (z. B. halbleiterbasierte Lamellen, wie gezeigt ist). Die Körperbereiche 106/108 können Materialsysteme des N-Typs oder des P-Typs (oder eine Kombination von beiden) enthalten. Das eine oder die mehreren Halbleitermaterialien, die in den Körperbereichen 106/108 enthalten sind, können ein beliebiges geeignetes Halbleitermaterial wie z. B. Silizium, Germanium, Indiumantimonid, Bleitellurid, Indiumarsenid, Indiumphosphid, Galliumnitrid, Galliumarsenid, Galliumantimonid oder geeignete Kombinationen davon enthalten. Ferner können Materialien, die als Gruppe II-VI, Gruppe III-V oder Gruppe IV klassifiziert werden, in den Körperbereichen 106/108 enthalten sein.
  • Die Gate-Elektroden 118 können durch ein Gate-Dielektrikum (das nicht gezeigt ist) von den darunterliegenden Körperbereichen 106/108 beabstandet sein. Das Gate-Dielektrikum kann eine Schicht oder ein Stapel von Schichten enthalten. Die eine oder die mehreren Schichten können Siliziumoxid, Siliziumdioxid, Siliziumkarbid und/oder ein dielektrisches Material mit hohem k-Wert enthalten. Das dielektrische Material mit hohem k-Wert kann Elemente wie z. B. Hafnium, Silizium, Sauerstoff, Titan, Tantal, Lanthan, Aluminium, Zirkonium, Barium, Strontium, Yttrium, Blei, Scandium, Niob und Zink enthalten. Beispiele von Materialien mit hohem k-Wert, die im Gate-Dielektrikum verwendet werden können, enthalten, sind jedoch nicht beschränkt auf Hanfniumoxid, Hafniumsiliziumoxid, Lanthanoxid, Lanthanaluminiumoxid, Zirkonoxid, Zirkonsiliziumoxid, Tantaloxide, Titanoxid, Bariumstrontiumtitanoxide, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid und Bleizinkniobat. In einigen Ausführungsformen kann ein Ausglühvorgang am Gate-Dielektrikum ausgeführt werden, um seine Qualität zu verbessern, wenn ein Material mit hohem k-Wert verwendet wird.
  • Die Gate-Elektroden 118 kann auf dem Gate-Dielektrikum angeordnet sein und kann mindestens ein Austrittsarbeitsmetall des P-Typs oder ein Austrittsarbeitsmetall des N-Typs enthalten, abhängig davon, ob der zugrunde liegende Transistor ein Metalloxidhalbleitertransistor des P-Typs (PMOS-Transistor) oder ein Metalloxidhalbleitertransistor des N-Typs (NMOS-Transistor) sein soll. In einigen Implementierungen kann die Gate-Elektrode 118 aus einem Stapel von zwei oder mehr Metallschichten bestehen, wobei eine oder mehrere Metallschichten Austrittsarbeitsmetallschichten sind und mindestens eine Metallschicht ist eine Füllmetallschicht. Ferner können Metallschichten für weitere Zwecke wie z. B. eine Sperrschicht enthalten sein. Für ein PMOS-Transistor enthalten Metalle, die für die Gate-Elektrode 118 verwendet werden können, Ruthenium, Palladium, Platin, Kobalt, Nickel, leitfähige Metalloxide (z. B. Rutheniumoxid) und beliebige der Metalle, die unten unter Bezugnahme auf einen NMOS-Transistor (z. B. zur Austrittsarbeitsabstimmung) diskutiert werden, enthalten, sind jedoch nicht darauf beschränkt. Für einen NMOS-Transistor enthalten Metalle, die für die Gate-Elektrode 118 verwendet werden können, Hafnium, Zirkonium, Titan, Tantal, Aluminium, Legierungen dieser Metalle, Karbide dieser Metalle (z. B. Hafniumcarbid, Zirkoncarbid, Titancarbid, Tantalcarbid und Aluminiumcarbid) und beliebige der Metalle, die oben unter Bezugnahme auf ein PMOS-Transistor (z. B. zur Austrittsarbeitsabstimmung) diskutiert werden, enthalten, sind jedoch nicht darauf beschränkt. In einigen Ausführungsformen kann eine Gate-Elektrode 118 Polysilizium enthalten. In einigen Ausführungsformen kann sich eine einzelne Gate-Elektrode 118 über mehrere Halbleiterkörper (z. B. über mehrere Lamellen im Körperbereich des N-Typs 106 und/oder über mehrere Lamellen im Körperbereich des P-Typs 108) erstrecken.
  • In einigen Ausführungsformen kann ein Paar Seitenwandabstandshalter (das nicht gezeigt ist) vorhanden sein auf gegenüberliegenden Seiten des Gates, um das Gate einzuklammern. Die Seitenwandabstandshalter können aus Materialien wie z. B. Siliziumnitrid, Siliziumoxid, Siliziumkarbid, kohlenstoffdotiertes Siliziumnitrid und Siliziumoxynitrid gebildet sein. Prozesse zum Bilden von Seitenwandabstandshaltern sind im Stand der Technik bekannt und im Allgemeinen enthalten Abscheidungs- und Ätzprozesseschritte. In einigen Ausführungsformen können mehrere Abstandshalterpaare verwendet werden; zum Beispiel können zwei Paare, drei Paare oder vier Paare Seitenwandabstandshalter auf gegenüberliegenden Seiten des Gate-Stapels gebildet sein.
  • Die epi-Bereiche 112 des P-Typs und die epi-Bereiche 114 des N-Typs können als Source/Drain-Bereiche (S/D-Bereiche) im Transistor dienen. Um die epi-Bereiche 112/114 zu bilden, können die Körperbereiche 106/108 zunächst geätzt werden, um Aussparungen bei den Orten der epi-Bereiche 112/114 zu bilden. Dann kann ein epitaktischer Abscheidungsprozess ausgeführt werden, um die Aussparungen mit Material, das die epi-Bereiche 112/114 schafft, zu füllen. In einigen Implementierungen können die epi-Bereiche 112/114 unter Verwendung einer Siliziumlegierung wie z. B. Siliziumgermanium oder Siliziumkarbid hergestellt werden. In einigen Ausführungsformen kann die epitaktisch abgeschiedene Siliziumlegierung mit Dotierstoffen wie z. B. Bor, Arsen oder Phosphor lokal dotiert werden. In einigen Ausführungsformen können die epi-Bereiche 112/114 unter Verwendung eines oder mehrerer alternativer Halbleitermaterialien wie z. B. Germanium oder eines Materials oder einer Legierung der Gruppe III-V gebildet werden. In weiteren Ausführungsformen können eine oder mehrere Schichten von Metall und/oder Metalllegierungen verwendet werden, um die epi-Bereiche 112/114 zu bilden. Obwohl der Begriff „epi-Bereiche“ verwendet wird, müssen in einigen Ausführungsformen die epi-Bereiche 112/114 nicht durch einen epitaktischen Prozess gebildet sein, sondern können stattdessen z. B. unter Verwendung einer Implantations-/Diffusionsverarbeitung gebildet sein. In einem derartigen Prozess können Dotierstoffe wie z. B. Bor, Aluminium, Antimon, Phosphor oder Arsen in die Körperbereiche 106/108 ionenimplantiert werden, um die Bereiche 112/114 zu bilden. Ein Ausglühvorgang, der die Dotierstoffe aktiviert und sie veranlasst, tiefer in die Körperbereiche 106/108 zu diffundieren, können dem Ionenimplantationsprozess folgen.
  • Mehrere lokale Verbindungen 116 können mit Verschiedenen der epi-Bereiche 114 des N-Typs und der epi-Bereiche 112 des P-Typs in Kontakt sein, um Leiterbahnen zwischen verschieden Elementen der IC-Struktur 100 zu schaffen. In einigen Ausführungsformen können die lokalen Verbindungen 116 verschiedene epi-Bereiche 112/114 über verschieden Körperbereiche 106/108 leitend koppeln und/oder können verschiedene epi-Bereiche 112/114 an eine parallele rückseitige Durchkontaktierung 110A leitend koppeln. Die parallelen rückseitigen Durchkontaktierungen 110A können an der rückseitigen Metallisierung 104 vertikal angeordnet sein und können angrenzend an die Außenkanten der Körperbereiche 106/108 positioniert sein.
  • Die parallelen rückseitigen Durchkontaktierungen 110A können parallel zu den Lamellen der Körperbereiche 106/108 orientiert sein und können von den nächsten Körperbereichen 106/108 durch einen dazwischenliegenden Abschnitt von Isolationsmaterial 102 beabstandet sein. In einigen Ausführungsformen kann dieser dazwischenliegende Abschnitt von Isolationsmaterial 102 eine Dicke besitzen, die weniger als eine Dicke der parallelen rückseitigen Durchkontaktierungen 110A ist. In einigen Ausführungsformen können die parallelen rückseitigen Durchkontaktierungen 110A eine Dicke besitzen, die im Wesentlichen gleich einer Dicke der nächsten Körperbereiche 106/108 ist. In einigen Ausführungsformen können die Oberseiten der parallelen rückseitigen Durchkontaktierungen 110A im Wesentlichen mit den Oberseiten der Körperbereiche 106/108 komplanar sein, wie gezeigt ist, wobei die lokalen Verbindungen 116 sowohl auf den Oberseiten der parallelen rückseitigen Durchkontaktierungen 110A als auch der nächsten Körperbereiche 106/108 angeordnet sind. In einigen Ausführungsformen können die lokalen Verbindungen 116, die eine parallele rückseitige Durchkontaktierung 110A an einen nächsten Körperbereich 106/108 koppeln, eine Länge besitzen, die über den Kontaktpunkt der lokalen Verbindungen 116 mit der parallelen rückseitigen Durchkontaktierung 110A und den nächsten Körperbereich 106/108 verläuft, wie gezeigt ist.
  • In einigen Ausführungsformen können die parallelen rückseitigen Durchkontaktierungen 110A ein Leitermaterial wie z. B. Aluminium, Kupfer, Wolfram, Metalllegierungen (z. B. eine Legierung aus Aluminium und Kupfer) und/oder einem weiteren geeigneten Material zum Bilden eines elektrischen Kontakts zwischen den lokalen Verbindungen 116 und der rückseitigen Metallisierung 104 enthalten. Die Anordnung der parallelen rückseitigen Durchkontaktierungen 110A und der Körperbereiche 106/108 in 1 kann als eine „Innendurchführungs“-Anordnung bezeichnet werden, weil die rückseitigen Durchkontaktierungen 110 in der Nähe der aktiven Vorrichtungen, an die sie leitend koppeln, liegen; z. B. kann eine elektrische Kopplung zwischen den parallelen rückseitigen Durchkontaktierungen 110A und den epi-Bereichen 112/114 durch lokale Verbindungen 116 erreicht werden (statt Leiterbahnen durch einen frontseitigen Metallisierungsstapel 180-1 zu erfordern, wie unten weiter diskutiert wird).
  • 2 stellt ein weiteres Beispiel einer IC-Struktur 100, die eine Innendurchführungsanordnung für eine Signalverarbeitungsschaltungsanordnung enthält, in Übereinstimmung mit verschiedenen Ausführungsformen dar. Die IC-Struktur 100 von 2 kann viele Elemente mit der IC-Struktur 100 von 1 gemeinsam verwenden; diese Elemente können eine der hier offenbarten Formen annehmen und eine Diskussion dieser Elemente wird nicht wiederholt. Statt paralleler rückseitiger Durchkontaktierungen 110A (wie in 1 dargestellt ist) kann die IC-Struktur 100 von 2 in den Körper geschnittene rückseitige Durchkontaktierungen 110B enthalten. Die in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B können senkrecht zu den Lamellen der Körperbereiche 106/108 orientiert sein und können die epi-Bereiche 112/114 direkt kontaktieren. In einigen Ausführungsformen können die in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B eine Dicke besitzen, die größer als eine Dicke der nächsten Körperbereiche 106/108 ist, wie gezeigt ist. In einigen Ausführungsformen können die Oberseiten der in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B im Wesentlichen mit den Oberseiten der lokalen Verbindungen 116 komplanar sein. In einigen Ausführungsformen können die Oberseiten der in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B niedriger als die Oberseiten der Gate-Elektroden 118 sein, wie gezeigt ist.
  • Die in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B können sämtliche der oben unter Bezugnahme auf die parallelen rückseitigen Durchkontaktierungen 110A diskutierten Materialien enthalten. Die Anordnung der in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B und die Körperbereiche 106/108 in 2 kann auch als eine „Innendurchführungs“-Anordnung bezeichnet werden, weil die rückseitigen Durchkontaktierungen 110 sich in der Nähe der aktiven Vorrichtungen, an die sie leitend koppeln, befinden; z. B. kann eine elektrische Kopplung zwischen den in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B und den epi-Bereichen 112/114 durch direkten Kontakt (z. B. direkten Kontakt zwischen den in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B und den epi-Bereichen 112/114 und/oder direkten Kontakt zwischen den in den Körper geschnittenen rückseitigen Durchkontaktierungen 110B und Leiterkontakten, die an den epi-Bereichen 112/114 angeordnet sind) erreicht werden.
  • 3 und 4 sind perspektivische Ansichten von IC-Strukturen 100, die eine Innendurchführungsanordnung für Leistungsaustastschaltungsanordnung enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen. Die IC-Struktur 100 von 3 kann parallele rückseitige Durchkontaktierungen 110A enthalten, während die IC-Struktur 100 von 4 in den Körper geschnittene rückseitige Durchkontaktierungen 110B enthalten kann. In einigen Ausführungsformen kann eine IC-Struktur 100 ähnlich denen von 3 und 4 sowohl parallele rückseitige Durchkontaktierungen 110A als auch in den Körper geschnittene rückseitige Durchkontaktierungen 110B nach Bedarf enthalten. In einigen Ausführungsformen können die IC-Strukturen 100 von 3 und 4 substratlose lamellenbasierte Transistoren (z. B. Transistoren, die einen FinFET-Entwurf ohne globales Halbleitersubstrat oder eine Bulk-Siliziumschicht besitzen, wie z. B. FinFETs auf einem SOI oder einem dünnen Halbleitersubstrat) enthalten. Wie I/O-Schaltungen können Durchführungen im Wesentlichen die Leistungsfähigkeit von Austaststromversorgungen beeinflussen. In Austastleistungsversorgungsschaltungen kann eine Ausgangskapazität wünschenswert sein, die die Verwendung von Innendurchführungsstrukturen vorteilhaft werden lassen kann. Alternativ können Außenzuführungsstrukturen (z. B. die, die unten unter Bezugnahme auf 7 und 8 diskutiert werden) verwendet werden, wenn auf eine niedrigere Ausgangskapazität abgezielt wird. Da beabsichtigt sein kann, die Austastleistungsausgabeschiene 122 auszuschalten, kann es wichtig sein, das Vorliegen parasitärer Dioden, die die Austastversorgung schwach mit Strom versorgen können, zu minimieren.
  • Die IC-Strukturen 100 von 3 und 4 können viele Elemente mit den IC-Strukturen 100 von 1 bzw. 2 gemeinsam verwenden; diese Elemente können eine der hier offenbarten Formen annehmen und eine Diskussion dieser Elemente wird nicht wiederholt. In den bestimmten IC-Strukturen 100 von 3 und 4 kann der Körperbereich des N-Typs 106 an einer Stromversorgungsschiene 120 (z. B. Vcc) angeordnet sein, während der Körperbereich des P-Typs 108 an einer Austastleistungsausgabeschiene (z. B. Vcc out) 122 angeordnet sein kann. Die Stromversorgungsschiene 120 und die Austastleistungsausgabeschiene 122 können Teil eines rückseitigen Metallisierungsstapels 180-2 sein, wie unten diskutiert wird. Die Stromversorgungsschiene 120 und die Austastleistungsausgabeschiene 122 können durch ein Isolationsmaterial 102 getrennt sein, wie oben unter Bezugnahme auf das Isolationsmaterial 102 zwischen den Abschnitten rückseitiger Metallisierung 104 diskutiert wird. In 3 und 4 sind bestimmte Polaritäten der Körperbereiche 106/108 als die Stromversorgungsschiene 120/die Austastleistungsausgabeschiene 122 berührend gezeigt, jedoch kann in einigen Ausführungsformen ein Isolationsmaterial (das nicht gezeigt ist) zwischen der Stromversorgungsschiene 120/der Austastleistungsausgabeschiene 122 und den Körperbereichen 106/108 derart angeordnet sein, dass die Körperbereiche 106/108 mit der Stromversorgungsschiene 120/der Austastleistungsausgabeschiene 122 nicht in Kontakt sind. In derartigen Ausführungsformen die Polaritäten (z. B. des N-Typs oder des P-Typs) der Körperbereiche 106/108 können entgegengesetzt sein oder zwei Körperbereiche derselben Polarität (z. B. beide des N-Typs oder beide des P-Typs) können verwendet werden (und können durch eine Vereinfachung der Steuerschaltungsanordnung begleitet werden). In weiteren Ausführungsformen kann der Körperbereich über der Austastleistungsausgabeschiene 122 kurzgeschlossen oder auf andere Weise bedeutungslos gemacht werden, derart, dass der Körperbereich hauptsächlich als ein Kontaktpunkt für die Austastleistungsausgabeschiene 122 dient.
  • 5 und 6 sind perspektivische Ansichten von IC-Strukturen 100, die eine Innendurchführungsanordnung für Schaltungsanordnung zum Schutz vor elektrostatischer Entladung (ESDP-Schaltungsanordnung) enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen. Die IC-Struktur 100 von 5 kann parallele rückseitige Durchkontaktierungen 110A enthalten, während die IC-Struktur 100 von 6 in den Körper geschnittene rückseitige Durchkontaktierungen 110B enthalten kann. In einigen Ausführungsformen kann eine IC-Struktur 100 ähnlich denen von 5 und 6 sowohl parallele rückseitige Durchkontaktierungen 110A als auch in den Körper geschnittene rückseitige Durchkontaktierungen 110B nach Bedarf enthalten. In einigen Ausführungsformen können die IC-Strukturen 100 von 5 und 6 substratlose lamellenbasierte Dioden (z. B. Dioden, die einen FinFETbasierten Entwurf ohne globales Halbleitersubstrat oder eine Bulk-Siliziumschicht besitzen, wie z. B. FinFETs auf einem SOI oder einem dünnen Halbleitersubstrat) enthalten. In ESDP-Umgebungen kann es wichtig sein, den Widerstand und daher den Spannungsabfall über den Leiterbahnen in einem Metallisierungsstapel 180 zu verringern, um zu verhindern, dass die Anschlussflächenspannung (z. B. die Spannung an der I/O-Anschlussfläche 128 der Ein-/Ausgabe-Schaltungsanordnung 150 von 9, die unten diskutiert wird) hoch genug steigt, die Ein-/Ausgabe-Schaltungsanordnung zu beschädigen. Aus diesem Grund kann ein Integrieren von Leistungs- und Signaldurchführungen in eine ESDP-Anordnung (z. B. eine Diodenanordnung) wie z. B. in die IC-Strukturen 100 von 5 und 6 eine überlegene ESDP-Leistung erzielen.
  • Die IC-Strukturen 100 von 5 und 6 können viele Elemente mit den IC-Strukturen 100 von 1 bzw. 2 gemeinsam verwenden; diese Elemente können eine der hier offenbarten Formen annehmen und eine Diskussion dieser Elemente wird nicht wiederholt. In den bestimmten IC-Strukturen 100 von 5 und 6 kann der Körperbereich des N-Typs 106 im Kathodenbereich 124 angeordnet sein, während der Körperbereich des P-Typs 108 im Anodenbereich 126 angeordnet sein kann. Der Kathodenbereich 124 und der Anodenbereich 126 können Teil eines rückseitigen Metallisierungsstapels 180-2 sein, wie unten diskutiert wird. Der Kathodenbereich 124 und der Anodenbereich 126 können durch ein Isolationsmaterial 102 getrennt sein, wie oben unter Bezugnahme auf das Isolationsmaterial 102 zwischen den Abschnitten rückseitiger Metallisierung 104 diskutiert wird. Ferner können sich in den bestimmten IC-Strukturen 100 von 5 und 6 die epi-Bereiche 112/114 des Körperbereichs des N-Typs 106 in der Polarität entlang des Körperbereichs des N-Typs 106 abwechseln und die epi-Bereiche 112/114 des Körperbereichs des P-Typs 108 in der Polarität entlang des Körperbereichs des P-Typs 108 abwechseln, wie gezeigt ist. In 5 und 6 ist ein kurzer Abschnitt einer lokalen Verbindung 116 als in einem „Lücken-‟Abschnitt des Körperbereichs des N-Typs 106 (des Körperbereichs des P-Typs 108) zwischen benachbart Gate-Elektroden 118 angeordnet gezeigt; wobei in einigen Ausführungsformen dieser Lückenabschnitt des Körperbereichs des N-Typs 106 (des Körperbereichs des P-Typs 108) nicht vorhanden sein muss. In einigen Ausführungsformen können die IC-Strukturen 100 von 5 und 6 in einer ESDP-Schaltungsanordnung 140 einer rückseitigen I/O-IC-Struktur 170 enthalten sein, wie unten unter Bezugnahme auf 10-18 diskutiert wird.
  • 7 und 8 sind perspektivische Ansichten von IC-Strukturen 100, die ein äußere Durchführungsanordnung enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen. Die IC-Struktur 100 von 7 kann parallele rückseitige Durchkontaktierungen 110A enthalten, während die IC-Struktur 100 von 8 in den Körper geschnittene rückseitige Durchkontaktierungen 110B enthalten kann. In einigen Ausführungsformen kann eine IC-Struktur 100 ähnlich denen von 7 und 8 sowohl parallele rückseitige Durchkontaktierungen 110A als auch in den Körper geschnittene rückseitige Durchkontaktierungen 110B nach Bedarf enthalten. Die IC-Strukturen 100 von 7 und 8 können viele Elemente mit den IC-Strukturen 100 von 1 bzw. 2 gemeinsam verwenden; diese Elemente können eine der hier offenbarten Formen annehmen und eine Diskussion dieser Elemente wird nicht wiederholt. Allerdings können in den IC-Strukturen 100 von 7 und 8 die Körperbereiche 106/108, die epi-Bereiche 112/114, die Gate-Elektroden 118 und die lokalen Verbindungen 116 Platzhalterstrukturen sein und müssen keine aktiven Vorrichtungen bereitstellen; folglich können die Anzahl und die Polarität von Körperbereichen und die Anzahl und die Polarität von epi-Bereichen 112/114 von denen, die in 7 und 8 veranschaulicht sind, geändert werden, während die Außendurchführungsstruktur beibehalten wird. In einigen Ausführungsformen können die Platzhalter-Gate-Elektroden 118 von 7 und 8 auf schwebendem Potential gehalten werden oder können an die Leistung oder die Masse gekoppelt werden, statt an eine Steuerschaltungsanordnung elektrisch gekoppelt zu sein, wie es eine aktive Vorrichtung (z. B. ein Transistor, eine Diode usw.) wäre. Die IC-Strukturen 100 von 7 und 8 können gleichzeitig mit analogen aktiven IC-Strukturen 100 (die sich z. B. in weiteren Bereichen eines Chips 1502 befinden, was unten unter Bezugnahme auf 19 diskutiert wird) hergestellt werden, können jedoch verwendet werden, um eine leitende Kopplung zwischen einem rückseitigen Metallisierungsstapel 180-2 und einem frontseitigen Metallisierungsstapel 180-1 zu unterstützen (wie in 20 gezeigt ist). Allerdings können die IC-Strukturen 100 von 7 und 8 verwendet werden (z. B. hauptsächlich oder ausschließlich), um Zugriff zu einem rückseitigen Metallisierungsstapel 180-2 durch Bereiche der Vorrichtungsschicht 175 zu schaffen, anstelle der oder zusätzlich zur Verwendung einer Innendurchführungsanordnung (z. B. eine Innendurchführungsanordnung, die eine parallele rückseitige Durchkontaktierung 110A oder eine in den Körper geschnittene rückseitige Durchkontaktierung 110B enthält). Insbesondere kann ein Bereich der Vorrichtungsschicht 175 an die IC-Struktur 100 von 7 oder 8 durch eine Leiterbahn durch einen frontseitigen Metallisierungsstapel 180-1 (der unten diskutiert wird) leitend gekoppelt sein und kann die rückseitigen Durchkontaktierungen 110, die in dieser IC-Struktur 100 enthalten sind, verwenden, um eine leitende Kopplung zu einem rückseitigen Metallisierungsstapel 180-2 zu erreichen. Die IC-Strukturen 100 von 7 und 8 können als „Außendurchführungs“-Anordnungen bezeichnet werden, weil die rückseitigen Durchkontaktierungen 110 weniger nahe bei den aktiven Vorrichtungen, an die sie leitend koppeln, liegen, als die Innendurchführungsanordnungen, die oben diskutiert werden; z. B. kann eine elektrische Kopplung zwischen den rückseitigen Durchkontaktierungen 110 der IC-Strukturen 100 von 7 und 8 und einem aktiven Vorrichtungsbereich (der z. B. Dioden und/oder Transistoren enthält) eine Leiterbahn durch einen frontseitigen Metallisierungsstapel 180-1 enthalten.
  • Die Innendurchführungs- und/oder die Außendurchführungsanordnungen, die hier offenbart werden, können in sämtlichen mehrerer Umgebungen verwendet werden. Zum Beispiel können die Innendurchführungs- und/oder die Außendurchführungsanordnungen, die hier offenbart werden, in der Ein-/Ausgabe-Schaltungsanordnungsumgebung vorteilhafterweise verwendet werden. 9 ist ein Schaubild einer I/O Schaltungsanordnung 150, die unter Verwendung einer oder mehrerer Innendurchführungsanordnungen und/oder einer oder mehrerer äußerer Durchführungsanordnungen implementiert werden kann, in Übereinstimmung mit verschiedenen Ausführungsformen. Die Ein-/Ausgabe-Schaltungsanordnung 150 kann die Treiberschaltungsanordnung 138 (z. B. eine Ausgabetreiberschaltungsanordnung) enthalten, deren Ausgang über einen Reihenwiderstand 136 an eine I/O-Anschlussfläche 128 gekoppelt ist. In einigen Ausführungsformen kann der Reihenwiderstand 136 verwendet werden, um eine Hochgeschwindigkeitsleitung abzuschließen, während in weiteren Ausführungsformen der Reihenwiderstand 136 nicht vorhanden sein muss. Beispiele von Ausführungsformen, in denen kein Reihenwiderstand 136 vorhanden ist, werden unten unter Bezugnahme auf 10- 12 genauer diskutiert. Die I/O-Anschlussfläche 128 kann durch eine ESDP-Schaltungsanordnung 140A an eine positive Leistungsversorgungsschiene (z. B. Vcc) gekoppelt sein; die ESDP-Schaltungsanordnung 140A kann eine Diode 132A und begleitende Verzweigungspunktwiderstände 134A enthalten. Die I/O-Anschlussfläche 128 kann auch über eine ESDP-Schaltungsanordnung 140B an eine negative Leistungsversorgungsschiene (z. B. Vss) gekoppelt sein; die ESDP-Schaltungsanordnung 140B kann eine Diode 132B und begleitende Verzweigungspunktwiderstände 134B enthalten. Wenn Strom durch die Verzweigungspunktwiderstände 134 fließt, kann die an der I/O-Anschlussfläche 128 sichtbare Spannung (in Bezug auf die Stromnetze während eines Auftretens einer elektrostatischen Entladung) zunehmen und somit kann die Beanspruchung, die durch die Transistoren der Treiberschaltungsanordnung 138 erfahren wird, zunehmen.
  • Die ESDP-Schaltungsanordnung 140A und die ESDP-Schaltungsanordnung 140B können in der folgenden Diskussion gemeinsam als ESDP-Schaltungsanordnung 140 bezeichnet werden. Die ESDP-Schaltungsanordnung 140 und die Treiberschaltungsanordnung 138 der Ein-/Ausgabe-Schaltungsanordnung 150 können Innendurchführungsanordnungen und/oder Außendurchführungsanordnungen in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten. 10-18 stellen Beispiele rückseitiger I/O-IC-Strukturen 170 dar, die die Innendurchführungs- und/oder die Außendurchführungsanordnungen in verschiedenen Konfigurationen enthalten, jedoch sind diese IC-Strukturen 170 einfach veranschaulichend und die Innendurchführungs-/Außendurchführungsanordnungen, die hier offenbart werden, können in einer beliebigen gewünschten Konfiguration verwendet werden. In der Diskussion von 10-18 können die IC-Strukturen 170 eine oder mehrere Verbindungsschichten in einem frontseitigen Metallisierungsstapel 180-1, eine oder mehrere Verbindungsschichten in einem rückseitigen Metallisierungsstapel 180-2 und eine Vorrichtungsschicht 175, die lokale Verbindungen (z. B. beliebige der lokalen Verbindungen 116, die hier offenbart werden) und rückseitige Durchkontaktierungen (z. B. beliebige der rückseitigen Durchkontaktierungen 110, die hier offenbart werden) enthält, enthalten. In den IC-Strukturen 170 können I/O-Signale über Leiterkontakte auf dem rückseitigen Metallisierungsstapel 180-2 einer Komponente (z. B. die Leiterkontakte 1636-2, die unten unter Bezugnahme auf 20 diskutiert werden) gesendet und/oder empfangen werden. Die Anzahl von Schichten im Metallisierungsstapel 180 in 10-18 ist lediglich veranschaulichend und jede geeignete Anzahl kann verwendet werden.
  • Wie oben unter Bezugnahme auf 5 und 6 vermerkt wurde, kann ein Integrieren von Leistungs- und Signaldurchführungen in einer ESDP-Anordnung eine verbesserte ESDP-Leistung erzielen. Allerdings kann für Hochgeschwindigkeits-I/Os auch die Anschlussflächengesamtkapazität eine wichtige Metrik sein. Durch Integrieren der Leistungs- und der Signaldurchführungen in der ESDP-Schaltungsanordnung 140 oder die Treiberschaltungsanordnung 138 können mehr Interaktionen zwischen der I/O-Anschlussfläche 128 und den Stromversorgungsschienen 129 und 130 vorhanden sein, was letztendlich führt zu einer höheren Anschlussflächenkapazität. Folglich kann die Verwendung von Außendurchführungsanordnungen in bestimmten Umgebungen vorteilhaft sein und somit können die Vorteile und Nachteile von Innendurchführungs- und Außendurchführungsanordnungen für verschiedene Leistungsanforderungen im Gleichgewicht sein. Zum Beispiel da eine Kapazität zwischen Stromnetzen (z. B. Vcc und Masse) die Leistung verbessern kann, kann die Leistungsübermittlung in einigen Ausführungsformen unter Verwendung von Innendurchführungsstrukturen implementiert werden, während weitere Pfade unter Verwendung von Außendurchführungsstrukturen implementiert werden können.
  • 10-12 veranschaulichen Beispiel-IC-Strukturen 170, die die Ein-/Ausgabe-Schaltungsanordnung 150 von 9 ohne Reihenwiderstand 136 implementieren, in Übereinstimmung mit verschiedenen Ausführungsformen. Wenn kein Reihenwiderstand 136 benötigt wird, kann ein I/O-Signal (das über den frontseitigen Metallisierungsstapel 180-1 zur IC-Struktur 170 gesendet wird) eine Verbindung zur ESDP-Schaltungsanordnung 140 herstellen und kann auch zum rückseitigen Metallisierungsstapel 180-2 durchgeführt werden. 10 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung enthält, jedoch über den frontseitigen Metallisierungsstapel 180-1 an I/O-Signalpfade gekoppelt ist. Die I/O-Signalpfade über den frontseitigen Metallisierungsstapel 180-1 können an die ESDP-Schaltungsanordnung 140 koppeln, die Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 10 nicht gekennzeichnet sind), die an die positive Stromversorgung 129 und die negative Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (z. B. wie oben unter Bezugnahme auf 5-6 diskutiert wird) gekoppelt sind, sowie eine oder mehrere rückseitige Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. in 1-2 veranschaulicht ist), um die I/O-Signalpfade über eine rückseitige I/O-Metallisierung 144 (die auch im rückseitigen Metallisierungsstapel 180-2 enthalten ist) an die I/O-Anschlussfläche 128 zu koppeln, enthalten kann. In der Ausführungsform von 10 kann eine hohe Anschlussflächenkapazität in der ESDP-Schaltungsanordnung 140 sichtbar sein, muss jedoch in der Treiberschaltungsanordnung 138 nicht sichtbar sein. Ferner kann der Verzweigungspunktwiderstand 134 minimiert werden, was einen robusten ESDP-Entwurf ergibt. Allerdings können Leitungsbetriebsmittel im frontseitigen Metallisierungsstapel 180-1 verwendet werden, um das I/O-Signal zu leiten, und die Gleichstromdichte im frontseitigen Metallisierungsstapel 180-1 kann zunehmen.
  • 11 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung enthält, und ist auch über eine oder mehrere rückseitige Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. in 1-2 veranschaulicht ist) und über eine rückseitige I/O-Metallisierung 142 an die I/O-Anschlussfläche 128 (die im rückseitigen Metallisierungsstapel 180-2 enthalten ist) gekoppelt. Die ESDP-Schaltungsanordnung 140 kann Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 11 nicht gekennzeichnet sind) zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. oben unter Bezugnahme auf 5-6 diskutiert wird) sowie eine oder mehrere rückseitige Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. in 1-2 veranschaulicht ist) um über eine rückseitige I/O-Metallisierung 144 an die I/O-Anschlussfläche 128 (die auch im rückseitigen Metallisierungsstapel 180-2 enthalten ist) zu koppeln, enthalten. In der Ausführungsform von 11 können Leitungsbetriebsmittel und der frontseitige Metallisierungsstapel 180-1 (in Bezug auf die Ausführungsform von 10) auf Kosten einer erhöhten Anschlussflächenkapazität eingespart werden, was für Hochgeschwindigkeits-I/Os schwierig sein kann.
  • 12 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einem Innendurchführungsanordnung enthält, jedoch über den frontseitigen Metallisierungsstapel 180-1 an I/O-Signalpfade gekoppelt ist. Die I/O-Signalpfade über den frontseitigen Metallisierungsstapel 180-1 können an die ESDP-Schaltungsanordnung 140 koppeln, die Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 12 nicht gekennzeichnet sind) enthalten kann, die unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. oben unter Bezugnahme auf 5-6 diskutiert wird) an die positive Stromversorgung 129 und die negative Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) gekoppelt sind. Die I/O-Signalpfade können die ESDP-Schaltungsanordnung 140 über Leiterbahnen im frontseitigen Metallisierungsstapel 180-1 an eine Außendurchführungsstruktur 160 (wie z. B. oben unter Bezugnahme auf 7-8 diskutiert wird) koppeln. Rückseitige Durchkontaktierungen in der Außendurchführungsstruktur 160 können die I/O-Signalpfade über eine rückseitige I/O-Metallisierung 162 an die I/O-Anschlussfläche 128 (die auch im rückseitigen Metallisierungsstapel 180-2 enthalten ist) koppeln. In der Ausführungsform von 12 kann eine niedrigere Anschlussflächenkapazität als in den Ausführungsformen von 10 und 11 erreicht werden und deshalb kann sie für bestimmte Hochgeschwindigkeits-I/O-Anwendungen am besten geeignet sein. Weitere Topologien zusätzlich zu denen, die in 10-12 veranschaulicht sind, können verwendet werden (z. B. Integrieren der Durchführung einzig in die Treiberschaltungsanordnung 138, Verwendung von Außenleistungsdurchführungen, Verwendung getrennter Außendurchführungen für die Treiberschaltungsanordnung 138 und die ESDP-Schaltungsanordnung 140 usw.).
  • 13-14 veranschaulichen Beispiel-IC-Strukturen 170, die die Ein-/Ausgabe-Schaltungsanordnung 150 von 9 mit einem frontseitigen Reihenwiderstand 136 in Übereinstimmung mit verschiedenen Ausführungsformen implementieren. In der Ausführungsform von 13 und 14 kann ein frontseitiger Reihenwiderstand 136 (z. B. ein Dünnschichtwiderstand (TFR), ein Metallwiderstand oder ein weiteres Widerstandselement) im frontseitigen Metallisierungsstapel 180-1 enthalten sein und können Platzhalterbereiche 164 unter dem Reihenwiderstand 136 angeordnet sein. 13 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einem Innendurchführungsanordnung enthält, jedoch über den frontseitigen Metallisierungsstapel 180-1 und durch den Reihenwiderstand 136 an I/O-Signalpfade gekoppelt ist. Die I/O-Signalpfade über den frontseitigen Metallisierungsstapel 180-1 können nach dem Reihenwiderstand 136 an die ESDP-Schaltungsanordnung 140 koppeln, die Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 13 nicht gekennzeichnet sind), die an die positive Stromversorgung 129 und die negative Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. oben unter Bezugnahme auf 5-6 diskutiert wird) gekoppelt sind, sowie eine oder mehrere rückseitige Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. in 1-2 veranschaulicht ist), um die I/O-Signalpfade über eine rückseitige I/O-Metallisierung 144 an die I/O-Anschlussfläche 128 (die auch im rückseitigen Metallisierungsstapel 180-2 enthalten ist) zu koppeln, enthalten kann.
  • 14 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einem Innendurchführungsanordnung enthält, jedoch über den frontseitigen Metallisierungsstapel 180-1 und durch den Reihenwiderstand 136 an I/O-Signalpfade gekoppelt ist. Die I/O-Signalpfade können über den frontseitigen Metallisierungsstapel 180-1 nach dem Reihenwiderstand 136 an die ESDP-Schaltungsanordnung 140 koppeln, die Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 14 nicht gekennzeichnet sind) enthalten kann, die unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. oben unter Bezugnahme auf 5-6 diskutiert wird) an die positive Stromversorgung 129 und die negative Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) gekoppelt sind. Die I/O-Signalpfade können die ESDP-Schaltungsanordnung 140 über Leiterbahnen im frontseitigen Metallisierungsstapel 180-1 an eine Außendurchführungsstruktur 160 (wie z. B. oben unter Bezugnahme auf 7-8 diskutiert wird) koppeln. Rückseitige Durchkontaktierungen in der Außendurchführungsstruktur 160 können die I/O-Signalpfade über eine rückseitige I/O-Metallisierung 162 an die I/O-Anschlussfläche 128 (die auch im rückseitigen Metallisierungsstapel 180-2 enthalten ist) koppeln. Die Ausführungsform von 14 kann einen erhöhten Verzweigungspunktwiderstand 134 in Bezug auf die Ausführungsform von 13 aufweisen, kann jedoch auch eine verringerte Anschlussflächenkapazität aufweisen.
  • 15-18 veranschaulichen Beispiel-IC-Strukturen 170, die die Ein-/Ausgabe-Schaltungsanordnung 150 von 9 mit einem rückseitigen Reihenwiderstand 136 implementieren, in Übereinstimmung mit verschiedenen Ausführungsformen. In der Ausführungsform von 15- 18 kann ein rückseitiger Reihenwiderstand 136 (z. B. ein TFR oder ein weiterer Widerstand) im rückseitigen Metallisierungsstapel 180-2 enthalten sein und Außendurchführungsstrukturen 160 oder ein Platzhalterbereich 164 können über dem Reihenwiderstand 136 angeordnet sein. 15 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einem Innendurchführungsanordnung enthält, jedoch über den frontseitigen Metallisierungsstapel 180-1 und durch den Reihenwiderstand 136 (durch rückseitige Durchkontaktierungen in einer Außendurchführungsstruktur 160) an I/O-Signalpfade gekoppelt ist. Nach dem Reihenwiderstand 136 (und durch rückseitige Durchkontaktierungen in der Außendurchführungsstruktur 160) können I/O-Signalpfade über den frontseitigen Metallisierungsstapel 180-1 an die ESDP-Schaltungsanordnung 140 koppeln. Die ESDP-Schaltungsanordnung 140 kann Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 15 nicht gekennzeichnet sind) enthalten, die unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. oben unter Bezugnahme auf 5-6 diskutiert wird) an die positive Stromversorgung 129 und die negative Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) gekoppelt sind. Die ESDP-Schaltungsanordnung 140 kann auch eine oder mehrere rückseitige Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. in 1-2 veranschaulicht ist) enthalten, um die I/O-Signalpfade über eine rückseitige I/O-Metallisierung 144 an die I/O-Anschlussfläche 128 (die auch im rückseitigen Metallisierungsstapel 180-2 enthalten ist) zu koppeln. Die Ausführungsform von 15 kann eine integrierte Durchführung in der ESDP-Schaltungsanordnung 140 verwenden, um einen niedrigen Verzweigungspunktwiderstand 134 zu erzielen, und kann lokal nach unten zum Reihenwiderstand 136 und zurück nach oben zur Treiberschaltungsanordnung 138 nach dem Reihenwiderstand 136 rückkoppeln.
  • 16 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einem Innendurchführungsanordnung enthält, jedoch über den frontseitigen Metallisierungsstapel 180-1 und durch rückseitige Durchkontaktierungen und eine Außendurchführungsstruktur 160 zum Reihenwiderstand 136 an I/O-Signalpfade gekoppelt ist. Nach dem Reihenwiderstand 136 (und durch rückseitige Durchkontaktierungen und die Außendurchführungsstruktur 160) können I/O-Signalpfade über den frontseitigen Metallisierungsstapel 180-1 an die ESDP-Schaltungsanordnung 140 koppeln, die Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 16 nicht gekennzeichnet sind) enthalten kann, die an die positive Stromversorgung 129 und die negative Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. oben unter Bezugnahme auf 5-6 diskutiert wird) gekoppelt sind. Die I/O-Signalpfade können unter der ESDP-Schaltungsanordnung 140 über Leiterbahnen im frontseitigen Metallisierungsstapel 180-1 an eine Außendurchführungsstruktur 160 (wie z. B. oben unter Bezugnahme auf 7-8 diskutiert wird) koppeln. Rückseitige Durchkontaktierungen in der Außendurchführungsstruktur 160 können die I/O-Signalpfade über eine rückseitige I/O-Metallisierung 162 an die I/O-Anschlussfläche 128 (die auch im rückseitigen Metallisierungsstapel 180-2 enthalten ist) koppeln. Die Ausführungsform von 16 kann die Hauptdurchführung aus der ESDP-Schaltungsanordnung 140 herausnehmen, um die Anschlussflächenkapazität in Bezug auf die Ausführungsform von 15 zu verringern.
  • 17 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung enthält und auch über eine oder mehrere rückseitige Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. in 1- 2 veranschaulicht ist) und über eine rückseitige I/O-Metallisierung 142 an I/O-Pfade im rückseitigen Metallisierungsstapel 180-2 gekoppelt ist. Diese rückseitigen I/O-Pfade können an den Reihenwiderstand 136 und dann ferner über rückseitige I/O-Pfade an die I/O-Anschlussfläche 128 koppeln. Die weiteren rückseitigen I/O-Pfade können an die rückseitige I/O-Metallisierung 144 der ESDP-Schaltungsanordnung 140 koppeln. Die ESDP-Schaltungsanordnung 140, die kann Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 17 nicht gekennzeichnet sind), die an die positive Stromversorgung 129 und die negative Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. oben unter Bezugnahme auf 5-6 diskutiert wird) gekoppelt sind, sowie eine oder mehrere rückseitige Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. in 1-2 veranschaulicht ist), um über eine rückseitige I/O-Metallisierung 144 (die auch im rückseitigen Metallisierungsstapel 180-2 enthalten ist) an die I/O-Anschlussfläche 128 zu koppeln, enthalten. Die Ausführungsform von 17 kann getrennte integrierte Durchführungen in der Treiberschaltungsanordnung 138 und der ESDP-Schaltungsanordnung 140 enthalten, um den frontseitigen Metallisierungsstapel 180-1 zu erreichen, jedoch wird ein Leiten im rückseitigen Metallisierungsstapel 180-2 durchgeführt. Eine derartige Ausführungsform kann eine hohe Anschlussflächenkapazität besitzen, kann jedoch frontseitige Leitungsbetriebsmittel freigeben.
  • 18 stellt eine Ausführungsform dar, in der die Treiberschaltungsanordnung 138 rückseitige Durchkontaktierungen 110 zur positiven Stromversorgung 129 und zur negativen Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung enthält und außerdem über eine oder mehrere rückseitige Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. in 1-2 veranschaulicht ist) und über eine rückseitige I/O-Metallisierung 142 an I/O-Pfade im rückseitigen Metallisierungsstapel 180-2 gekoppelt ist. Diese rückseitigen I/O-Pfade können an den Reihenwiderstand 136 und dann ferner über rückseitige I/O-Pfade an die I/O-Anschlussfläche 128 koppeln. Die weiteren rückseitigen I/O-Pfade können an die rückseitige I/O-Metallisierung 162 der Außendurchführungsstruktur 160, die an I/O-Pfade im frontseitigen Metallisierungsstapel 180-1 gekoppelt sein kann, koppeln. Diese frontseitigen I/O-Pfade können an die ESDP-Schaltungsanordnung 140 koppeln, die Dioden (z. B. die Dioden 132, die oben unter Bezugnahme auf 9 diskutiert werden und in 17 nicht gekennzeichnet sind) enthalten kann, die an die positive Stromversorgung 129 und die negative Stromversorgung 130 (die im rückseitigen Metallisierungsstapel 180-2 enthalten sind) unter Verwendung rückseitiger Durchkontaktierungen in einer Innendurchführungsanordnung (wie z. B. oben unter Bezugnahme auf 5-6 diskutiert wird) gekoppelt sind. Die Ausführungsform von 18 verwendet eine integrierte Durchführung in der Treiberschaltungsanordnung 138, jedoch eine Außendurchführung in der ESDP-Schaltungsanordnung 140. Eine derartige Ausführungsform kann die Verwendung einiger frontseitiger Leitungsbetriebsmittel für eine verringerte Anschlussflächenkapazität in Bezug auf die Ausführungsform von 17 gegenseitig abstimmen.
  • Die IC-Strukturen 100 und 170, die hier offenbart werden, können in einer beliebigen geeigneten elektronischen Komponente enthalten sein. 19- 23 veranschaulichen verschiedene Beispiele von Vorrichtungen, die eine der IC-Strukturen 100/170, die hier offenbart werden, enthalten können.
  • 19 ist eine Draufsicht eines Wafers 1500 und eines Chips 1502 die eine oder mehrere IC-Strukturen 100/170 in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten können. Der Wafer 1500 kann aus Halbleitermaterial gebildet sein und kann einen oder mehrere Chips 1502 enthalten, die IC-Strukturen besitzen, die auf einer Oberfläche des Wafers 1500 gebildet sind. Jeder Chip 1502 kann eine sich wiederholende Einheit eines Halbleiterprodukts, das eine geeignete IC enthält, sein. Nachdem die Herstellung des Halbleiterprodukts abgeschlossen ist, kann der Wafer 1500 einer Vereinzelungsverarbeitung unterzogen werden, wobei die Chips 1502 voneinander getrennt werden, um einzelne „Chips“ des Halbleiterprodukts zu schaffen. Der Chip 1502 kann eine oder mehrere IC-Strukturen 100/170 (wie z. B. unten unter Bezugnahme auf 20 diskutiert wird), einen oder mehrere Transistoren oder Dioden, eine Unterstützungsschaltungsanordnung zum Leiten elektrischer Signale zu Transistoren oder zu Dioden, sowie sonstige IC-Elemente enthalten. In einigen Ausführungsformen kann der Wafer 1500 oder der Chip 1502 eine Speichervorrichtung (z. B. eine Schreib-/Lese-Speichervorrichtung (RAM-Vorrichtung) wie z. B. eine statische RAM-Vorrichtung (SRAM-Vorrichtung), eine magnetische RAM-Vorrichtung (MRAM-Vorrichtung), eine ohmsche RAM-Vorrichtung (RRAM-Vorrichtung), eine Leiterbrücken-RAM-Vorrichtung (CBRAM-Vorrichtung) usw.), eine Logikvorrichtung (z. B. ein AND-, OR-, NAND- oder NOR-Gatter) oder ein sonstiges geeignetes Schaltungselement enthalten. Mehrere dieser Vorrichtungen können auf einem einzelnen Chip 1502 kombiniert werden. Zum Beispiel kann eine Datenspeicheranordnung, die durch mehrere Speichervorrichtungen gebildet ist, auf demselben Chip 1502 als eine Verarbeitungsvorrichtung (z. B. die Verarbeitungsvorrichtung 1802 von 23) oder eine weitere Logik, die konfiguriert ist, um Information in den Speichervorrichtungen zu speichern oder Anweisungen, die in der Datenspeicheranordnung gespeichert sind, auszuführen, gebildet werden.
  • 20 ist eine Seitenquerschnittansicht einer IC-Komponente 1600, die eine oder mehrere IC-Strukturen 100/170 enthalten kann, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen. Eine oder mehrere der IC-Komponenten 1600 können in einem oder mehrere Chips 1502 (19) enthalten sein. Die IC-Komponente 1600 kann auf einem Substrat (z. B. der Wafer 1500 von 19) gebildet sein und kann in einem Chip (z. B. der Chip 1502 von 19) enthalten sein. Das Substrat kann ein Halbleitersubstrat sein, das aus Halbleitermaterialsystemen zusammengesetzt ist, die z. B. Materialsysteme des N-Typs oder des P-Typs (oder eine Kombination von beiden) enthalten. Das Substrat kann z. B. ein Kristallsubstrat enthalten, das unter Verwendung eines Bulk-Siliziums oder einer SOI-Unterstruktur gebildet ist. In einigen Ausführungsformen kann das Substrat unter Verwendung alternativer Materialien, die mit Silizium kombiniert werden können oder nicht, gebildet werden, die Germanium, Indiumantimonid, Bleitellurid, Indiumarsenid, Indiumphosphid, Galliumarsenid, Galliumnitrid oder Galliumantimonid enthalten, jedoch nicht darauf beschränkt sind. Weitere Materialien, die der Gruppe II-VI, der Gruppe III-V oder der Gruppe IV zugeordnet sind, können auch als das Substrat verwendet werden. Obwohl wenige Beispiele von Materialien, aus denen das Substrat gebildet sein kann, hier beschrieben werden, kann jedes Material, das als eine Grundlage für eine IC-Komponente 1600 dienen kann, verwendet werden. Das Substrat kann Teil eines vereinzelten Chips (z. B. die Chips 1502 von 19) oder ein Wafer (z. B. der Wafer 1500 von 19) sein.
  • Die IC-Komponente 1600 kann eine oder mehrere Vorrichtungsschichten 175 zwischen einem frontseitigen Metallisierungsstapel 180-1 und einem rückseitigen Metallisierungsstapel 180-2 enthalten. Die Vorrichtungsschicht 175 kann eine oder mehrere rückseitige Durchkontaktierungen 110 (die in 20 nicht gezeigt sind) enthalten; insbesondere kann die Vorrichtungsschicht 175 eine der IC-Strukturen 100, die hier offenbart werden, enthalten und die IC-Komponente 1600 kann eine der rückseitigen I/O-IC-Strukturen 170, die hier offenbart werden, enthalten. Wie oben diskutiert wird, kann die Vorrichtungsschicht 175 Merkmale eines oder mehrerer Transistoren (z. B. Metalloxidhalbleiter-Feldeffekttransistor (MOSFETs)) enthalten. Die Vorrichtungsschicht 175 kann z. B. einen oder mehrere Source- und/oder Drain-Bereich (S/D-Bereiche) (die z. B. die epi-Bereiche 112 des P-Typs oder die epi-Bereiche 114 des N-Typs, die oben diskutiert werden, enthalten), ein Gate (das z. B. die Gate-Elektroden 118, die oben diskutiert werden, enthält), um den Stromfluss in den Transistoren zwischen den S/D-Bereichen zu steuern, und einen oder mehrere S/D-Kontakte (die z. B. die lokalen Verbindungen 116, die oben diskutiert werden, enthalten) zum Leiten elektrischer Signale zu/von den S/D-Bereichen, enthalten. Die Transistoren können zusätzliche Merkmale wie z. B. Vorrichtungsisolationsbereiche, Gate-Kontakte und dergleichen enthalten. Die Transistoren, die in einer Vorrichtungsschicht 175 enthalten sind, können eine Vielzahl von Typen und Konfigurationen von Transistoren wie z. B. Planartransistoren, Nichtplanartransistoren oder eine Kombination von beiden enthalten. Planartransistoren können Bipolartransistoren (BJT), Bipolartransistoren mit Heteroübergang (HBT) oder Transistoren mit hoher Elektronenbeweglichkeit (HEMT) enthalten. Nichtplanartransistoren können FinFET-Transistoren wie z. B. Transistoren mit doppeltem Gate oder Transistoren mit dreifachem Gate und Transistoren mit umwickeltem oder umfassendem Gate wie z. B. Nanoband- und Nanodraht-Transistoren enthalten. Wie oben diskutiert wird, kann eine Vorrichtungsschicht 175 Dioden und Platzhalter-Halbleiterkörper nach Bedarf enthalten.
  • Wie oben diskutiert wird, können Leistungs- und/oder I/O-Signale durch einen frontseitigen Metallisierungsstapel 180-1 über der Vorrichtungsschicht 175 und/oder einen rückseitigen Metallisierungsstapel 180-2 unter der Vorrichtungsschicht zu und/oder von der Vorrichtungsschicht 175 geleitet werden. Zum Beispiel können elektrisch leitende Merkmale der Vorrichtungsschicht 175 (z. B. das Gate und die S/D-Kontakte eines Transistors, elektrisch leitende Merkmale einer Diode, ein äußere Durchführungsanordnung, die eine rückseitige Durchkontaktierung 110 enthält, usw.) mit den Verbindungsstrukturen 1628 der Metallisierungsstapel 180 elektrisch gekoppelt sein.
  • Die Verbindungsstrukturen 1628 können im Metallisierungsstapel 180 zum Leiten elektrischer Signale gemäß einer Vielzahl von Entwürfen angeordnet werden (insbesondere, ist die Anordnung nicht auf die bestimmt Konfiguration von Verbindungsstrukturen 1628, die in 20 dargestellt ist, beschränkt). Obwohl eine bestimmte Anzahl Schichten im Metallisierungsstapel 180 in 20 dargestellt ist, enthalten Ausführungsformen der vorliegenden Offenbarung IC-Komponenten, die mehr oder weniger Verbindungsschichten enthalten, als dargestellt ist (z. B. in Übereinstimmung mit der Ausführungsformen einer von 10-18).
  • In einigen Ausführungsformen können die Verbindungsstrukturen 1628 Leitungen 1628a und/oder Durchkontaktierungen 1628b enthalten, die mit einem elektrisch leitenden Material wie z. B. einem Metall (z. B. Kupfer, Aluminium, Wolfram oder ein weiteres Metall oder eine Kombination von Materialien) gefüllt sind. Die Leitungen 1628a können zum Leiten elektrischer Signale in einer Richtung einer Ebene, die im Wesentlichen parallel zu einer Oberfläche des Substrats ist, auf dem die Vorrichtungsschicht 175 gebildet ist, ausgelegt sein. Zum Beispiel können die Leitungen 1628a elektrische Signale in einer Richtung in und aus dem Blatt aus Sicht von 20 leiten. Die Durchkontaktierungen 1628b können zum Leiten elektrischer Signale in einer Richtung einer Ebene, die im Wesentlichen senkrecht zu der Oberfläche des Substrats ist, auf dem die Vorrichtungsschicht 175 gebildet ist, ausgelegt sein. In einigen Ausführungsformen können die Durchkontaktierungen 1628b Leitungen 1628a von verschieden Schichten elektrisch koppeln.
  • Die Metallisierungsstapel 180 können ein dielektrisches Material 1626 zwischen den Verbindungsstrukturen 1628 enthalten, wie in 20 gezeigt ist. In einigen Ausführungsformen kann das dielektrische Material 1626, das zwischen den Verbindungsstrukturen 1628 in verschieden Schichten der Metallisierungsstapel 180 und/oder in verschieden Metallisierungsstapeln 180 angeordnet ist, verschiedene Zusammensetzungen aufweisen; in weiteren Ausführungsformen kann die Zusammensetzung des dielektrischen Materials 1626 zwischen verschieden Schichten im Metallisierungsstapel 180 gleich sein. Obwohl die Leitungen 1628a und die Durchkontaktierungen 1628b mit einer Linie in jeder Schicht eines Metallisierungsstapels 180 zur Klarheit strukturell voneinander abgrenzt sind, können die Leitungen 1628a und die Durchkontaktierungen 1628b in einigen Ausführungsformen strukturell und/oder materiell zusammenhängen (z. B. während eines Doppeldamaszenerprozesses gleichzeitig gefüllt werden). In einigen Ausführungsformen können die Schichten, die im Metallisierungsstapel 180-1 der IC-Komponente 1600 „weiter oben“ (d. h. weiter von der Vorrichtungsschicht 175 entfernt) liegen, dicker sein; entsprechend können in einigen Ausführungsformen die Schichten, die sind „weiter unten“ im Metallisierungsstapel 180-2 der IC-Komponente 1600 (d. h. weiter von der Vorrichtungsschicht 175 entfernt) liegen, dicker sein.
  • Die IC-Komponente 1600 kann ein Lötstopplackmaterial 1634 (z. B. Polyimid oder ein ähnliches Material) und ein oder mehrere Leiterkontakte 1636, die an den Oberflächen der Metallisierungsstapel 180 gebildet sind, enthalten. In 20 sind die Leiterkontakte 1636 in Form von Verbindungsanschlussflächen veranschaulicht. Die Leiterkontakte 1636 können an die Verbindungsstrukturen 1628 elektrisch gekoppelt und zum Leiten von Leistung, Masse und/oder I/O-Signalen zwischen der Vorrichtungsschicht 175 und externen Vorrichtungen konfiguriert sein. Zum Beispiel können Lötverbindungen auf dem einen oder den mehreren Leiterkontakten 1636 gebildet sein, um einen Chip, der die IC-Komponente 1600 enthält, mit einer weiteren Komponente (z. B. ein Baugruppensubstrat, ein Zwischenschaltelement, eine Leiterplatte usw.) mechanisch und/oder elektrisch koppeln. Die IC-Komponente 1600 kann zusätzliche oder alternative Strukturen zum Leiten der elektrischen Signale aus den Metallisierungsstapel 180 enthalten; z. B. können die Leiterkontakte 1636 weitere analoge Merkmale (z. B. Pfosten) enthalten, die die elektrischen Signale zu externen Komponenten leiten.
  • 21 ist eine Seitenquerschnittansicht einer Beispiel-IC-Baugruppe 1650 die eine oder mehrere IC-Strukturen 100/170 enthalten kann, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen. In einigen Ausführungsformen kann die IC-Baugruppe 1650 kann ein System-in-Package (SiP) sein.
  • Das Baugruppensubstrat 1652 kann aus einem dielektrischen Material (z. B. eine Keramik, ein Aufbaufilm, ein Expoxidfilm, der Füllpartikel enthält, Glas, ein organisches Material, ein anorganisches Material, Kombinationen von organischen und anorganischen Materialien, eingebettete Abschnitte, die aus verschieden Materialien gebildet sind, usw.) gebildet sein und kann Leiterbahnen aufweisen, die durch das dielektrische Material zwischen der Fläche 1672 und der Fläche 1674 oder zwischen verschiedenen Orten auf der Fläche 1672 und/oder zwischen verschiedenen Orten auf der Fläche 1674 verlaufen. Diese Leiterbahnen können die Form annehmen jeder der Verbindungsstrukturen 1628, die oben unter Bezugnahme auf 20 diskutiert werden.
  • Das Baugruppensubstrat 1652 kann Leiterkontakte 1663 enthalten, die durch das Baugruppensubstrat 1652 an Leiterbahnen (die nicht gezeigt sind) gekoppelt sind, was der Schaltungsanordnung in den Chips 1656 und/oder dem Zwischenschaltelement 1657 ermöglicht, eine elektrische Kopplung an verschiedene Leiterkontakte 1664 (oder weitere Vorrichtungen, die im Baugruppensubstrat 1652 enthalten sind und nicht gezeigt sind) herzustellen.
  • Die IC-Baugruppe 1650 kann ein Zwischenschaltelement 1657 enthalten, das über Leiterkontakte 1661 des Zwischenschaltelements 1657, Verbindungen der ersten Stufe 1665 und die Leiterkontakte 1663 des Baugruppensubstrats 1652 an das Baugruppensubstrat 1652 gekoppelt ist. Die Verbindungen der ersten Stufe 1665, die in 21 veranschaulicht sind, sind Löthöcker, jedoch können beliebige geeignete Verbindungen der ersten Stufe 1665 verwendet werden. In einigen Ausführungsformen muss kein Zwischenschaltelement 1657 in der IC-Baugruppe 1650 enthalten sein; stattdessen können die Chips bei der Fläche 1672 durch Verbindungen der ersten Stufe 1665 direkt 1656 an die Leiterkontakte 1663 gekoppelt sein. Im Allgemeinen können ein oder mehrere Chips 1656 über eine geeignete Struktur (z. B. eine Siliziumbrücke, eine organische Brücke, einen oder mehrere Wellenleiter, ein oder mehrere Zwischenschaltelemente, Drahtverbindungen usw.) an das Baugruppensubstrat 1652 gekoppelt sein.
  • Die IC-Baugruppe 1650 kann einen oder mehrere Chips 1656 enthalten, die über Leiterkontakte 1654 der Chips 1656, Verbindungen der ersten Stufe 1658 und Leiterkontakte 1660 des Zwischenschaltelemente 1657 an das Zwischenschaltelement 1657 gekoppelt sind. Die Leiterkontakte 1660 können durch das Zwischenschaltelement 1657 an Leiterbahnen (die nicht gezeigt sind) gekoppelt sein, was der Schaltungsanordnung in den Chips 1656 ermöglicht, eine elektrische Kopplung zu verschiedenen Leiterkontakten 1661 (oder weiteren Vorrichtungen, die im Zwischenschaltelement 1657 enthalten sind und nicht gezeigt sind) herzustellen. Die Verbindungen der ersten Stufe 1658, die in 21 veranschaulicht sind, sind Löthöcker, jedoch können beliebige geeignete Verbindungen der ersten Stufe 1658 verwendet werden. Wie hierin verwendet kann sich ein „leitender Kontakt“ auf einen Abschnitt von leitendem Material (z. B. Metall) beziehen, der als eine Schnittstelle zwischen verschieden Komponenten dient; Leiterkontakte können in einer Oberfläche versenkt, mit ihr bündig oder sich von einer Komponente weg erstreckend sein und können eine beliebige geeignete Form (z. B. eine leitende Anschlussfläche oder eine Buchse) annehmen.
  • In einigen Ausführungsformen kann ein Unterfüllungsmaterial 1666 zwischen dem Baugruppensubstrat 1652 und dem Zwischenschaltelement 1657 um die Verbindungen der ersten Stufe 1665 angeordnet sein und eine Formverbindung 1668 kann um die Chips 1656 und das Zwischenschaltelement 1657 und in Kontakt mit dem Baugruppensubstrat 1652 angeordnet sein. In einigen Ausführungsformen kann das Unterfüllungsmaterial 1666 dasselbe wie die Formverbindung 1668 sein. Beispielmaterialien, die für das Unterfüllungsmaterial 1666 und die Formverbindung 1668 verwendet werden können, sind Expoxidformmaterialien nach Bedarf. Verbindungen der zweiten Stufe 1670 können an Leiterkontakte 1664 gekoppelt sein. Die Verbindungen der zweiten Stufe 1670, die in 21 veranschaulicht sind, sind Lötkugeln (z. B. für eine Kugelgitterarray-Anordnung), jedoch können beliebige geeignete Verbindungen der zweiten Stufe 16770 verwendet werden (z. B. Stifte in einer Stiftgitterarray-Anordnung oder Stege in einer Steggitterarray-Anordnung). Die Verbindungen der zweiten Stufe 1670 können verwendet werden, um die IC-Baugruppe 1650 an eine weitere Komponente wie z. B. eine Leiterplatte (z. B. eine Hauptplatine), ein Zwischenschaltelement oder eine weitere IC-Baugruppe zu koppeln, wie im Stand der Technik bekannt ist und wie unten unter Bezugnahme auf 22 diskutiert wird.
  • Die Chips 1656 können die Form beliebiger Ausführungsformen des Chips 1502, die hier diskutiert werden, annehmen (können z. B. beliebige Ausführungsformen der IC-Komponente 1600 enthalten). In Ausführungsformen, in denen die IC-Baugruppe 1650 mehrere Chips 1656 enthält, kann die IC-Baugruppe 1650 als eine Mehrchipbaugruppe (MCP) bezeichnet werden. Die Chips 1656 können eine Schaltungsanordnung enthalten, um eine gewünschte Funktionalität durchzuführen. Zum Beispiel können oder ein oder mehrere der Chips 1656 kann sein Logikchips (z. B. siliziumbasierte Chips) sein und ein oder mehrere der Chips 1656 können sein Speicherchips (z. B. Speicher mit hoher Bandbreite) sein. In einigen Ausführungsformen kann der Chip 1656 eine oder mehrere IC-Strukturen 100/170 in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten (z. B. wie oben unter Bezugnahme auf 19 und 20 diskutiert wird).
  • Obwohl die IC-Baugruppe 1650, die in 21 veranschaulicht ist, eine Flip-Chip-Baugruppe ist, können weitere Baugruppenarchitekturen verwendet werden. Zum Beispiel kann die IC-Baugruppe 1650 eine Kugelgitterarray-Baugruppe (BGA-Baugruppe) wie z. B. eine integrierte Waferebenen-Kugelgitterarray-Baugruppe (eWLB-Baugruppe) sein. In einem weiteren Beispiel kann die IC-Baugruppe 1650 eine Waferebenen-Chipskalen-Baugruppe (WLCSP-Baugruppe) oder ein Feldauffächerungsbaugruppe (FO-Baugruppe) sein. Obwohl zwei Chips 1656 in der IC-Baugruppe 1650 von 21 veranschaulicht sind, kann eine IC-Baugruppe 1650 eine beliebige Anzahl von Chips 1656 enthalten. Eine IC-Baugruppe 1650 kann zusätzlich passive Komponenten wie z. B. Oberflächenmontagewiderstände, Kondensatoren und Induktivitäten, die auf der ersten Fläche 1672 oder der zweiten Fläche 1674 des Baugruppensubstrats 1652 oder auf einer Fläche des Zwischenschaltelements 1657 angeordnet sind, enthalten. Im Allgemeinen kann eine IC-Baugruppe 1650 sonstige aktive oder passive Komponenten, die im Stand der Technik bekannt sind, enthalten.
  • 22 ist eine Seitenquerschnittansicht einer IC-Komponentenanordnung 1700, die eine oder mehrere IC-Baugruppen oder weitere elektronische Bauteile (z. B. ein Chip) enthalten kann, die eine oder mehrere IC-Strukturen 100/170 enthalten, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen. Die IC-Komponentenanordnung 1700 enthält mehrere Komponenten, die auf einer Leiterplatte 1702 (die z. B. eine Hauptplatine sein kann) angeordnet sind. Die IC-Komponentenanordnung 1700 enthält Komponenten, die auf einer ersten Fläche 1740 der Leiterplatte 1702 und einer gegenüberliegenden zweiten Fläche 1742 der Leiterplatte 1702 angeordnet sind; im Allgemeinen können Komponenten auf einer oder beiden Flächen 1740 und 1742 angeordnet sein. Jede der IC-Baugruppen, die unten unter Bezugnahme auf die IC-Komponentenanordnung 1700 diskutiert werden, kann die Form jeder Ausführungsform der IC-Baugruppe 1650, die oben unter Bezugnahme auf 21 diskutiert wird, annehmen (kann z. B. eine oder mehrere IC-Strukturen 100/170 in einem Chip enthalten).
  • In einigen Ausführungsformen kann die Leiterplatte 1702 eine gedruckte Leiterplatte (PCB) sein, die mehrere Metallschichten enthält, die durch Schichten dielektrischen Materials voneinander getrennt sind und durch elektrische Leiterdurchkontaktierungen verbunden sind. Eine oder mehrere der Metallschichten können in einem gewünschten Schaltungsmuster zum Leiten elektrischer Signale (wahlweise in Verbindung mit weiteren Metallschichten) zwischen den Komponenten, die an die Leiterplatte 1702 gekoppelt sind, gebildet sein. In weiteren Ausführungsformen kann die Leiterplatte 1702 ein Nicht-PCB-Substrat sein.
  • Die IC-Komponentenanordnung 1700, die in 22 veranschaulicht ist, enthält eine Baugruppe-auf-Zwischenschaltelement-Struktur 1736, die durch Kopplungskomponenten 1716 an die erste Fläche 1740 der Leiterplatte 1702 gekoppelt ist. Die Kopplungskomponenten 1716 können die Baugruppe-auf-Zwischenschaltelement-Struktur 1736 elektrisch und mechanisch an die Leiterplatte 1702 koppeln und können Lotkugeln (wie in 22 gezeigt ist), Stecker- und Aufnahme-Abschnitte einer Buchse, einen Klebstoff, ein Unterfüllungsmaterial und/oder sonstige geeignete elektrische und/oder mechanische Kopplungsstrukturen enthalten.
  • Die Baugruppe-auf-Zwischenschaltelement-Struktur 1736 kann eine IC-Baugruppe 1720 enthalten, die durch Kopplungskomponenten 1718 an ein Baugruppenzwischenschaltelement 1704 gekoppelt ist. Die Kopplungskomponenten 1718 können eine beliebige für die Anwendung geeignete Form wie z. B. die Formen, die oben unter Bezugnahme auf die Kopplungskomponenten 1716 diskutiert werden, annehmen. Obwohl in 22 eine einzelne IC-Baugruppe 1720 gezeigt ist, können mehrere IC-Baugruppen an das Baugruppenzwischenschaltelement 1704 gekoppelt sein; tatsächlich können zusätzliche Zwischenschaltelemente an das Baugruppenzwischenschaltelement 1704 gekoppelt sein. Das Baugruppenzwischenschaltelement 1704 kann ein zwischenliegendes Substrat schaffen, das verwendet wird, um die Leiterplatte 1702 und die IC-Baugruppe 1720 zu überbrücken. Die IC-Baugruppe 1720 kann z. B. ein Chip (der Chip 1502 von 19), eine IC-Komponente (z. B. die IC-Komponente 1600 von 20) oder eine sonstige geeignete Komponente sein oder enthalten. Im Allgemeinen kann das Baugruppenzwischenschaltelement 1704 eine Verbindung in eine größere Entfernung verbreiten oder eine Verbindung zu einer verschieden Verbindung umleiten. Zum Beispiel kann das Baugruppenzwischenschaltelement 1704 die IC-Baugruppe 1720 (z. B. ein Chip) an einen Satz BGA-Leiterkontakte der Kopplungskomponenten 1716 zur Kopplung an die Leiterplatte 1702 koppeln. In der Ausführungsform, die in 22 veranschaulicht ist, sind die IC-Baugruppe 1720 und die Leiterplatte 1702 auf gegenüberliegenden Seiten des Baugruppenzwischenschaltelements 1704 angebracht; in weiteren Ausführungsformen können die IC-Baugruppe 1720 und die Leiterplatte 1702 auf derselben Seite des Baugruppenzwischenschaltelements 1704 angebracht sein. In einigen Ausführungsformen können drei oder mehr Komponenten mittels des Baugruppenzwischenschaltelements 1704 verbunden sein.
  • In einigen Ausführungsformen kann das Baugruppenzwischenschaltelement 1704 als eine PCB gebildet sein, die mehrere Metallschichten enthält, die durch Schichten aus dielektrischem Material voneinander getrennt und durch elektrische Leiterdurchkontaktierungen verbunden sind. In einigen Ausführungsformen kann das Baugruppenzwischenschaltelement 1704 aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem Epoxidharz mit anorganischen Füllstoffen, einem Keramikmaterial oder einem Polymermaterial wie z. B. Polyimid gebildet sein. In einigen Ausführungsformen kann das Baugruppenzwischenschaltelement 1704 aus alternativen steifen oder flexiblen Materialien gebildet sein, die dieselben Materialien, die oben zur Verwendung in einem Halbleitersubstrat beschrieben sind, wie z. B. Silizium, Germanium und weitere Materialien der Gruppe III-V und der Gruppe IV enthalten können. Das Baugruppenzwischenschaltelement 1704 kann Metallleitungen 1710 und Durchkontaktierungen 1708 enthalten, die TSVs 1706 enthalten, jedoch nicht darauf beschränkt sind. Das Baugruppenzwischenschaltelement 1704 kann ferner integrierte Vorrichtungen 1714 enthalten, die sowohl passive als auch aktive Vorrichtungen enthalten. Derartige Vorrichtungen können Kondensatoren, Entkopplungskondensatoren, Widerstände, Induktivitäten, Sicherungen, Dioden, Transformatoren, Sensoren, Vorrichtungen zur elektrostatischen Entladung (ESD-Vorrichtungen) und Speichervorrichtungen enthalten, sind jedoch nicht darauf beschränkt. Komplexere Vorrichtungen wie z. B. Hochfrequenzvorrichtungen, Leistungsverstärker, Leistungsmanagementvorrichtungen, Antennen, Arrays, Sensoren und Vorrichtungen mikroelektromechanischer Systeme (MEMS-Vorrichtungen) können auch auf dem Baugruppenzwischenschaltelement 1704 gebildet sein. Die Baugruppe-auf-Zwischenschaltelement-Struktur 1736 kann die Form einer der Baugruppe-auf-Zwischenschaltelement-Strukturen, die im Stand der Technik bekannt sind, annehmen.
  • Die IC-Komponentenanordnung 1700 kann eine IC-Baugruppe 1724 enthalten, die durch Kopplungskomponenten 1722 an die erste Fläche 1740 der Leiterplatte 1702 gekoppelt ist. Die Kopplungskomponenten 1722 können die Form jeder Ausführungsform annehmen, die oben unter Bezugnahme auf die Kopplungskomponenten 1716 diskutiert wird, und die IC-Baugruppe 1724 können die Form jeder Ausführungsform annehmen, die oben unter Bezugnahme auf die IC-Baugruppe 1720 diskutiert wird.
  • Die IC-Komponentenanordnung 1700, die in 22 veranschaulicht ist, enthält eine Baugruppe-auf-Baugruppe-Struktur 1734, die durch Kopplungskomponenten 1728 an die zweite Fläche 1742 der Leiterplatte 1702 gekoppelt. Die Baugruppe-auf-Baugruppe-Struktur 1734 kann eine IC-Baugruppe 1726 und eine IC-Baugruppe 1732 enthalten, die durch Kopplungskomponenten 1730 derart aneinandergekoppelt sind, dass die IC-Baugruppe 1726 zwischen die Leiterplatte 1702 und der IC-Baugruppe 1732 angeordnet ist. Die Kopplungskomponenten 1728 und 1730 können die Form jeder Ausführungsform der Kopplungskomponenten 1716, die oben diskutiert wird, annehmen und die IC-Baugruppen 1726 und 1732 können die Form jeder Ausführungsform der IC-Baugruppe 1720, die oben diskutiert wird, annehmen. Die Baugruppe-auf-Baugruppe-Struktur 1734 kann in Übereinstimmung mit jeder Baugruppe-auf-Baugruppe-Struktur, die im Stand der Technik bekannt ist, konfiguriert sein.
  • 23 ist ein Blockdiagramm einer elektrischen Beispielvorrichtung 1800, die eine oder mehrere IC-Strukturen 100/170 enthalten kann, in Übereinstimmung mit einer der hier offenbarten Ausführungsformen. Zum Beispiel können beliebige geeignete Komponenten der elektrischen Vorrichtung 1800 eine oder mehrere der IC-Komponentenanordnungen 1700, IC-Baugruppen 1650, IC-Komponenten 1600 oder Chips 1502, die hier offenbart werden, enthalten. Mehrere Komponenten sind in 23 veranschaulicht, als ob sie in der elektrischen Vorrichtung 1800 enthalten sind, jedoch können eine oder mehrere dieser Komponenten nach Bedarf für die Anwendung ausgelassen oder vervielfältigt werden. In einigen Ausführungsformen können einige oder alle Komponenten, die in der elektrischen Vorrichtung 1800 enthalten sind, auf einer oder mehreren Hauptplatinen angebracht sein. In einigen Ausführungsformen sind einige oder alle dieser Komponenten auf einem einzelnen Chip eines System-on-a-Chip (SoC) hergestellt. Elektrische Vorrichtungen 1800, die insbesondere von den IC-Strukturen 100 und 170, die hier offenbart werden, profitieren können, können Hochleistungsrechenvorrichtungen wie z. B. Serverprodukte, Hochgeschwindigkeitsrechenkerne oder weitere Verarbeitungsvorrichtungen enthalten.
  • Zusätzlich kann in verschiedenen Ausführungsformen die elektrische Vorrichtung 1800 eine oder mehrere der Komponenten, die in 23 veranschaulicht sind, nicht enthalten, jedoch kann die elektrische Vorrichtung 1800 eine Schnittstellenschaltungsanordnung zum Koppeln an die eine oder die mehreren Komponenten enthalten. Zum Beispiel muss die elektrische Vorrichtung 1800 keine Anzeigevorrichtung 1806 enthalten, jedoch kann sie eine Anzeigevorrichtungs-Schnittstellenschaltungsanordnung (z. B. eine Verbinder- und Treiberschaltungsanordnung) enthalten, an die eine Anzeigevorrichtung 1806 gekoppelt werden kann. In einem weiteres Satz von Beispielen muss die elektrische Vorrichtung 1800 keine Audioeingabevorrichtung 1824 oder keine Audioausgabevorrichtung 1808 enthalten, kann jedoch eine Audioeingabevorrichtungs- oder Audioausgabevorrichtungs-Schnittstellenschaltungsanordnung (z. B. eine Verbinder- und Unterstützungsschaltungsanordnung) enthalten, mit der eine Audioeingabevorrichtung 1824 oder eine Audioausgabevorrichtung 1808 gekoppelt werden kann.
  • Die elektrische Vorrichtung 1800 kann eine Verarbeitungsvorrichtung 1802 (z. B. eine oder mehrere Verarbeitungsvorrichtungen) enthalten. Wie hierin verwendet kann sich der Begriff „Verarbeitungsvorrichtung“ oder „Prozessor“ auf jede Vorrichtung oder jeden Abschnitt einer Vorrichtung beziehen, die bzw. der elektronische Daten aus einem Register und/oder einem Speicher verarbeitet, um die elektronischen Daten in weitere elektronische Daten umzuwandeln, die in einem Register und/oder einem Speicher gespeichert werden können. Die Verarbeitungsvorrichtung 1802 kann einen oder mehrere digitale Signalprozessoren (DSPs), anwendungsspezifische integrierte Schaltungen (ASICs), Zentraleinheiten (CPUs), Graphikverarbeitungseinheiten (GPUs), Kryptoprozessoren (spezialisierte Prozessoren, die Kryptographiealgorithmen in Hardware ausführen), Serverprozessoren oder sonstige geeignete Verarbeitungsvorrichtungen enthalten. Die elektrische Vorrichtung 1800 kann einen Datenspeicher 1804 enthalten, der selbst eine oder mehrere Speichervorrichtungen wie z. B. flüchtigen Speicher (z. B. dynamischer Schreib-/Lese-Speicher (DRAM)), nichtflüchtiger Speicher (z. B. Festwertspeicher (ROM)), Flash-Speicher, Speicher mit stabilen Zuständen und/oder eine Festplatte enthalten kann. In einigen Ausführungsformen kann der Datenspeicher 1804 Speicher enthalten, der einen Chip mit der Verarbeitungsvorrichtung 1802 gemeinsam verwendet. Dieser Speicher kann als Zwischenspeicher verwendet werden und kann integrierten dynamischen Schreib-/LeseSpeicher (eDRAM) oder Spinübertragungsdrehmoment-Schreib-/Lese-Speicher (STT-MRAM) enthalten.
  • In einigen Ausführungsformen kann die elektrische Vorrichtung 1800 einen Kommunikationschip 1812 (z. B. einen oder mehrere Kommunikationschips) enthalten. Zum Beispiel kann der Kommunikationschip 1812 zum Managen drahtloser Kommunikationen für die Übertragung von Daten zu und von der elektrischen Vorrichtung 1800 konfiguriert sein. Der Begriff „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationen, Kanäle usw. zu beschreiben, die Daten durch die Verwendung modulierter elektromagnetischer Strahlung durch ein nicht festes Medium kommunizieren können. Der Begriff impliziert nicht, dass die zugeordneten Vorrichtungen keine Drähte enthalten, obwohl sie in einigen Ausführungsformen möglicherweise keine enthalten.
  • Der Kommunikationschip 1812 kann mehrere Drahtlosnormen oder -protokolle implementieren, die Normen des Instituts für Elektro- und Elektronikingenieure (IEEE), die Wi-Fi (IEEE 802.11-Familie), IEEE 802.16-Normen (z. B. IEEE 802.16-2005 Ergänzung) und das Langzeitentwicklungsprojekt (LTE-Projekt) zusammen mit jeglichen Ergänzungen, Aktualisierungen und/oder Überarbeitungen (z. B. fortschrittliches LTE-Project, ultramobiles Breitbandprojekt (UMB-Projekt) (das auch als „3GPP2“ bezeichnet wird), usw.) enthalten, enthalten, jedoch nicht darauf beschränkt sind. Netze des IEEE 802.16-kompatiblen Breitbanddrahtloszugangs (BWA) werden im Allgemeinen als WiMAX-Netze bezeichnet, ein Akronym, das weltweite Interoperabilität für Mikrowellenzugang bezeichnet, was eine Zertifizierungsmarkierung für Produkte ist, die Konformitäts- und Interoperabilitäts-Prüfungen für die IEEE 802.16-Normen bestehen. Der Kommunikationschip 1812 kann in Übereinstimmung mit einem Netz des globalen Systems für Mobilkommunikation (GSM), allgemeiner Paketfunkdienst (GPRS), universelles Mobiltelekommunikationssystem (UMTS), Hochgeschwindigkeitszugang (HSPA), entwickelter HSPA (E-HSPA) oder LTE arbeiten. Der Kommunikationschip 1812 kann in Übereinstimmung mit verbesserte Datenraten zur GSM-Entwicklung (EDGE), GSM-EDGE-Funkzugangsnetz (GERAN), universelles terrestrisches Funkzugangsnetz (UTRAN) oder entwickeltes UTRAN (E-UTRAN) arbeiten. Der Kommunikationschip 1812 kann in Übereinstimmung mit Codemultiplex-Mehrfachzugriff (CDMA), Zeitmultiplex-Mehrfachzugriff (TDMA), digital erweiterte Kabellostelekommunikation (DECT), optimierte Entwicklungsdaten (EV-DO) und Ableitungen davon, sowie sonstigen Drahtlosprotokollen, die als 3G, 4G, 5G und darüber festgelegt sind, arbeiten. Der Kommunikationschip 1812 kann in Übereinstimmung mit weiteren Drahtlosprotokollen in weiteren Ausführungsformen arbeiten. Die elektrische Vorrichtung 1800 kann eine Antenne 1822 enthalten, um drahtlose Kommunikationen zu ermöglichen und/oder weitere Drahtloskommunikation (wie z. B. AM- oder FM-Funkübertragungen) zu empfangen.
  • In einigen Ausführungsformen kann der Kommunikationschip 1812 drahtgebundene Kommunikationen wie z. B. elektrische, optische oder sonstige geeignete Kommunikationsprotokolle (z. B. das Ethernet) managen. Wie oben angemerkt kann der Kommunikationschip 1812 mehrere Kommunikationschips enthalten. Zum Beispiel kann ein erster Kommunikationschip 1812 drahtlosen Kurzstreckenkommunikationen wie z. B. Wi-Fi oder Bluetooth fest zugeordnet sein und kann ein zweiter Kommunikationschip 1812 drahtlosen Langstreckenkommunikationen wie z. B. globales Positionierungssystem (GPS), EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO oder weiteren fest zugeordnet sein. In einigen Ausführungsformen kann ein erster Kommunikationschip 1812 drahtlosen Kommunikationen fest zugeordnet sein und ein zweiter Kommunikationschip 1812 kann drahtgebundenen Kommunikationen fest zugeordnet sein.
  • Die elektrische Vorrichtung 1800 kann eine Batterie-/Strom-Schaltungsanordnung 1814 enthalten. Die Batterie-/Strom-Schaltungsanordnung 1814 kann eine oder mehrere Energiespeichervorrichtungen (z. B. Batterien oder Kondensatoren) und/oder Schaltungsanordnung für Kopplungskomponenten von der elektrischen Vorrichtung 1800 zu einer Energiequelle, die von der elektrischen Vorrichtung 1800 getrennt ist (z. B. Wechselspannungs-Netzstrom), enthalten.
  • Die elektrische Vorrichtung 1800 kann eine Anzeigevorrichtung 1806 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben diskutiert wird) enthalten. Die Anzeigevorrichtung 1806 kann optische Indikatoren wie z. B. eine Frontscheibenanzeige, eine Computerüberwachungseinrichtung, einen Projektor, eine Berührungsbildschirmanzeigevorrichtung, eine Flüssigkristallanzeige (LCD), eine Leuchtdiodenanzeigevorrichtung oder einen Flachbildschirm enthalten.
  • Die elektrische Vorrichtung 1800 kann eine Audioausgabevorrichtung 1808 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben diskutiert wird) enthalten. Die Audioausgabevorrichtung 1808 kann eine Vorrichtung, die einen akustischen Indikator erzeugt, wie z. B. Lautsprecher, Sprechgarnituren oder Ohrhörer enthalten.
  • Die elektrische Vorrichtung 1800 kann eine Audioeingabevorrichtung 1824 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben diskutiert wird) enthalten. Die Audioeingabevorrichtung 1824 kann eine Vorrichtung enthalten, die ein Signal erzeugt, das einen Schall repräsentiert, wie z. B. Mikrofone, Mikrofonarrays oder digitale Instrumente (z. B. Instrumente, die einen Ausgang für die digitale Musikinstrumentenschnittstelle (MIDI-Ausgang) aufweisen).
  • Die elektrische Vorrichtung 1800 kann eine GPS-Vorrichtung 1818 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben diskutiert wird) enthalten. Die GPS-Vorrichtung 1818 kann in Kommunikation mit einem satellitenbasierten System sein und kann einen Ort der elektrischen Vorrichtung 1800 empfangen, wie im Stand der Technik bekannt ist.
  • Die elektrische Vorrichtung 1800 kann eine weitere Ausgabevorrichtung 1810 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben diskutiert wird) enthalten. Beispiele der weiteren Ausgabevorrichtung 1810 können enthalten einen Audio-Codec, einen Video-Codec, einen Drucker, einen drahtgebundenen oder einen drahtlosen Sender zum Liefern von Information zu weiteren Vorrichtungen oder eine zusätzliche Speichervorrichtung enthalten.
  • Die elektrische Vorrichtung 1800 kann eine weitere Eingabevorrichtung 1820 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben diskutiert wird) enthalten. Beispiele der weiteren Eingabevorrichtung 1820 können einen Beschleunigungsaufnehmer, ein Gyroskop, einen Kompass, eine Bildaufnahmevorrichtung, eine Tastatur, eine Cursorsteuervorrichtung wie z. B. eine Maus, einen Eingabestift, ein berührungsempfindliches Bedienfeld, einen Strichcodeleser, einen Schnellantwortcodeleser (QR-Codeleser), einen beliebigen Sensor oder einen Funkfrequenzerkennungsleser (RFID-Leser) enthalten.
  • Die elektrische Vorrichtung 1800 kann einen beliebigen gewünschten Formfaktor besitzen, wie z. B. ein handgeführtes oder mobiles Elektrogerät (z. B. ein Mobiltelefon, ein Smartphone, eine mobile Internetvorrichtung, eine Musikabspieleinrichtung, ein Tabletcomputer, ein Laptopcomputer, ein Netbookcomputer, ein Ultrabookcomputer, ein persönlicher digitaler Assistent (PDA), ein Ultramobiler Personal Computer, usw.), ein Desktop-Elektrogerät, eine Servervorrichtung oder eine weitere vernetzte Rechenkomponente, ein Drucker, eine Abtastvorrichtung, eine Überwachungseinrichtung, eine Set-Top-Box, eine Unterhaltungssteuereinheit, eine Fahrzeugsteuereinheit, eine Digitalkamera, ein digitale Videoaufzeichnungsvorrichtung oder ein tragbares Elektrogerät. In einigen Ausführungsformen kann die elektrische Vorrichtung 1800 ein sonstige Elektrogerät sein, dass Daten verarbeitet.
  • Die folgenden Absätze stellen verschiedene Beispiele der Ausführungsformen, die hier offenbart werden, bereit.
  • Beispiel 1 ist eine Struktur integrierter Schaltungen (IC-Struktur), die Folgendes enthält: eine Vorrichtungsschicht, wobei die Vorrichtungsschicht mehrere aktive Vorrichtungen enthält; eine erste Metallisierungsschicht über der Vorrichtungsschicht, wobei die erste Metallisierungsschicht eine erste Leiterbahn in leitendem Kontakt mit mindestens einer der aktiven Vorrichtungen in der Vorrichtungsschicht enthält; eine zweite Metallisierungsschicht unter der Vorrichtungsschicht, wobei die zweite Metallisierungsschicht eine zweite Leiterbahn enthält; und eine Leiterdurchkontaktierung in der Vorrichtungsschicht, wobei die Leiterdurchkontaktierung in leitendem Kontakt mit mindestens einer der aktiven Vorrichtungen in der Vorrichtungsschicht und außerdem in leitendem Kontakt mit der zweiten Leiterbahn ist.
  • Beispiel 2 enthält den Gegenstand von Beispiel 1 und legt ferner fest, dass die Vorrichtungsschicht einen Halbleiterkörper enthält und die Leiterdurchkontaktierung vom Halbleiterkörper beabstandet und zu ihm parallel ist.
  • Beispiel 3 enthält den Gegenstand von Beispiel 2 und legt ferner fest, dass der Halbleiterkörper eine Halbleiterrippe enthält.
  • Beispiel 4 enthält den Gegenstand eines der Beispiele 2-3 und enthält ferner Folgendes:
  • eine dritte Leiterbahn, wobei die Leiterdurchkontaktierung elektrisch zwischen der zweiten Leiterbahn und der dritten Leiterbahn liegt.
  • Beispiel 5 enthält den Gegenstand von Beispiel 4 wobei die mehreren aktiven Vorrichtungen einen Transistor enthalten und die dritte Leiterbahn in Kontakt mit einem Source/Drain-Bereich (S/D-Bereich) des Transistors ist.
  • Beispiel 6 enthält den Gegenstand eines der Beispiele 4-5 und legt ferner fest, dass die dritte Leiterbahn in der Vorrichtungsschicht enthalten ist.
  • Beispiel 7 enthält den Gegenstand von Beispiel 1 und legt ferner fest, dass die Vorrichtungsschicht einen Halbleiterkörper enthält und die Leiterdurchkontaktierung mit dem Halbleiterkörper in Kontakt ist.
  • Beispiel 8 enthält den Gegenstand von Beispiel 7 und legt ferner fest, dass der Halbleiterkörper eine Halbleiterrippe enthält.
  • Beispiel 9 enthält den Gegenstand von Beispiel 8 und legt ferner fest, dass die Leiterdurchkontaktierung durch mehrere Halbleiterkörper verläuft.
  • Beispiel 10 enthält den Gegenstand eines der Beispiele 8-9 und legt ferner fest, dass die mehreren aktiven Vorrichtungen einen Transistor enthalten und die Leiterdurchkontaktierung in Kontakt mit einem Source/Drain-Bereich (S/D-Bereich) des Transistors ist.
  • Beispiel 11 enthält den Gegenstand eines der Beispiele 1-10 und legt ferner fest, dass die Vorrichtungsschicht einen ersten Halbleiterbereich und einen zweiten Halbleiterbereich enthält, die zweite Metallisierungsschicht einen ersten Leiterbereich unter dem ersten Halbleiterbereich enthält, die zweite Metallisierungsschicht einen zweiten Leiterbereich unter dem zweiten Halbleiterbereich enthält und sich ein Isolationsmaterial zwischen dem ersten Leiterbereich und dem zweiten Leiterbereich befindet.
  • Beispiel 12 enthält den Gegenstand von Beispiel 11 und legt ferner fest, dass der erste Leiterbereich ein Versorgungsspannungsbereich ist und der zweite Leiterbereich ein Austastversorgungsspannungsbereich ist.
  • Beispiel 13 enthält den Gegenstand eines der Beispiele 11-12 und legt ferner fest, dass der erste Leiterbereich den ersten Halbleiterbereich berührt und der zweite Leiterbereich den zweiten Halbleiterbereich berührt.
  • Beispiel 14 enthält den Gegenstand eines der Beispiele 11-13 und legt ferner fest, dass die zweite Metallisierungsschicht ein Isolationsmaterial zwischen dem ersten Leiterbereich und dem ersten Halbleiterbereich enthält und die zweite Metallisierungsschicht ein Isolationsmaterial zwischen dem zweiten Leiterbereich und dem zweiten Halbleiterbereich enthält.
  • Beispiel 15 enthält den Gegenstand von Beispiel 14 und legt ferner fest, dass der erste Halbleiterbereich und der zweite Halbleiterbereich Halbleiterbereiche des N-Typs sind oder der erste Halbleiterbereich und der zweite Halbleiterbereich Halbleiterbereiche des P-Typs sind.
  • Beispiel 16 enthält den Gegenstand eines der Beispiele 11-14 und legt ferner fest, dass der erste Halbleiterbereich ein Halbleiterbereich des N-Typs ist und der zweite Halbleiterbereich ein Halbleiterbereich des P-Typs ist.
  • Beispiel 17 enthält den Gegenstand eines der Beispiele 1-16 und legt ferner fest, dass die zweite Metallisierungsschicht eine Leiterbahn in Kontakt mit einem Halbleitermaterial der Vorrichtungsschicht enthält.
  • Beispiel 18 enthält den Gegenstand eines der Beispiele 1-17 und legt ferner fest, dass die Leiterdurchkontaktierung eine Leistungsübermittlungsdurchkontaktierung ist.
  • Beispiel 19 enthält den Gegenstand eines der Beispiele 1-17 und legt ferner fest, dass die Leiterdurchkontaktierung eine Signaldurchkontaktierung ist.
  • Beispiel 20 enthält den Gegenstand eines der Beispiele 1-19 und legt ferner fest, dass die erste Metallisierungsschicht eine frontseitige Metallisierungsschicht ist und die zweite Metallisierungsschicht eine rückseitige Metallisierungsschicht ist.
  • Beispiel 21 enthält den Gegenstand eines der Beispiele 1-20 und legt ferner fest, dass die Vorrichtungsschicht einen Halbleiterkörper enthält und der Halbleiterkörper näher bei der zweiten Metallisierungsschicht breiter ist und näher bei der ersten Metallisierungsschicht schmaler ist.
  • Beispiel 22 ist eine Struktur integrierter Schaltungen (IC-Struktur), die Folgendes enthält: eine Vorrichtungsschicht, wobei die Vorrichtungsschicht einen aktiven Vorrichtungsbereich und einen Platzhalterbereich enthält; eine erste Metallisierungsschicht über der Vorrichtungsschicht, wobei die erste Metallisierungsschicht eine erste Leiterbahn in leitendem Kontakt mit dem aktiven Vorrichtungsbereich enthält; eine zweite Metallisierungsschicht unter der Vorrichtungsschicht, wobei die zweite Metallisierungsschicht eine zweite Leiterbahn enthält; und eine Leiterdurchkontaktierung in der Vorrichtungsschicht, wobei die Leiterdurchkontaktierung sich im Platzhalterbereich befindet und die Leiterdurchkontaktierung sich in leitendem Kontakt mit der ersten Leiterbahn und mit der zweiten Leiterbahn befindet.
  • Beispiel 23 enthält den Gegenstand von Beispiel 22 und legt ferner fest, dass der Platzhalterbereich einen Halbleiterkörper enthält und die Leiterdurchkontaktierung vom Halbleiterkörper beabstandet und zu ihm parallel ist.
  • Beispiel 24 enthält den Gegenstand von Beispiel 23 und legt ferner fest, dass der Halbleiterkörper eine Halbleiterrippe enthält.
  • Beispiel 25 enthält den Gegenstand eines der Beispiele 23-24 und enthält ferner eine dritte Leiterbahn, wobei die Leiterdurchkontaktierung elektrisch zwischen der zweiten Leiterbahn und der dritten Leiterbahn liegt.
  • Beispiel 26 enthält den Gegenstand von Beispiel 25 und legt ferner fest, dass die dritte Leiterbahn in der Vorrichtungsschicht enthalten ist.
  • Beispiel 27 enthält den Gegenstand von Beispiel 22 und legt ferner fest, dass der Platzhalterbereich einen Halbleiterkörper enthält und die Leiterdurchkontaktierung mit dem Halbleiterkörper in Kontakt ist.
  • Beispiel 28 enthält den Gegenstand von Beispiel 27 und legt ferner fest, dass der Halbleiterkörper eine Halbleiterrippe enthält.
  • Beispiel 29 enthält den Gegenstand von Beispiel 28 und legt ferner fest, dass die Leiterdurchkontaktierung durch mehrere Halbleiterkörper im Platzhalterbereich verläuft.
  • Beispiel 30 enthält den Gegenstand eines der Beispiele 22-29 und legt ferner fest, dass der aktive Vorrichtungsbereich einen Transistor oder eine Diode enthält.
  • Beispiel 31 enthält den Gegenstand eines der Beispiele 22-30 und legt ferner fest, dass die zweite Metallisierungsschicht eine Leiterbahn in Kontakt mit einem Halbleitermaterial der Vorrichtungsschicht enthält.
  • Beispiel 32 enthält den Gegenstand eines der Beispiele 22-31 und legt ferner fest, dass die Leiterdurchkontaktierung eine Leistungsübermittlungsdurchkontaktierung ist.
  • Beispiel 33 enthält den Gegenstand eines der Beispiele 22-32 und legt ferner fest, dass die Leiterdurchkontaktierung eine Signaldurchkontaktierung ist.
  • Beispiel 34 enthält den Gegenstand eines der Beispiele 22-33 und legt ferner fest, dass die erste Metallisierungsschicht eine frontseitige Metallisierungsschicht ist und die zweite Metallisierungsschicht eine rückseitige Metallisierungsschicht ist.
  • Beispiel 35 enthält den Gegenstand eines der Beispiele 22-34 und legt ferner fest, dass die Vorrichtungsschicht einen Halbleiterkörper enthält und der Halbleiterkörper näher bei der zweiten Metallisierungsschicht breiter ist und näher bei der ersten Metallisierungsschicht schmaler ist.
  • Beispiel 36 ist eine Struktur integrierter Schaltungen (IC-Struktur), die Folgendes enthält: eine Vorrichtungsschicht, wobei die Vorrichtungsschicht einen Schaltungsanordnungsbereich enthält, der einen Transistorbereich und einen Diodenbereich enthält; eine erste Metallisierungsschicht über der Vorrichtungsschicht, wobei die erste Metallisierungsschicht eine erste Leiterbahn in leitendem Kontakt mit dem Schaltungsanordnungsbereich enthält; eine zweite Metallisierungsschicht unter der Vorrichtungsschicht, wobei die zweite Metallisierungsschicht eine zweite Leiterbahn enthält; und eine Leiterdurchkontaktierung in der Vorrichtungsschicht, wobei die Leiterdurchkontaktierung in leitendem Kontakt mit dem Schaltungsanordnungsbereich und außerdem in leitendem Kontakt mit der zweiten Leiterbahn ist.
  • Beispiel 37 enthält den Gegenstand von Beispiel 36 und legt ferner fest, dass die Leiterdurchkontaktierung im Diodenbereich liegt.
  • Beispiel 38 enthält den Gegenstand von Beispiel 37 und legt ferner fest, dass die erste Leiterbahn elektrisch zwischen dem Transistorbereich und dem Diodenbereich liegt.
  • Beispiel 39 enthält den Gegenstand eines der Beispiele 37-38 und enthält ferner Folgendes: einen Widerstand, wobei der Widerstand elektrisch zwischen dem Transistorbereich und dem Diodenbereich liegt.
  • Beispiel 40 enthält den Gegenstand von Beispiel 39 und legt ferner fest, dass der Widerstand einen Dünnschichtwiderstand enthält.
  • Beispiel 41 enthält den Gegenstand eines der Beispiele 39-40 und legt ferner fest, dass die Vorrichtungsschicht zwischen dem Widerstand und der zweiten Metallisierungsschicht liegt.
  • Beispiel 42 enthält den Gegenstand eines der Beispiele 39-40 und legt ferner fest, dass die Vorrichtungsschicht zwischen dem Widerstand und der ersten Metallisierungsschicht liegt.
  • Beispiel 43 enthält den Gegenstand eines der Beispiele 37-42 und legt ferner fest, dass die Leiterdurchkontaktierung eine erste Leiterdurchkontaktierung ist, die IC-Struktur eine dritte Leiterbahn in der zweiten Metallisierungsschicht enthält, die IC-Struktur ferner eine zweite Leiterdurchkontaktierung in der Vorrichtungsschicht enthält und die zweite Leiterdurchkontaktierung in leitendem Kontakt mit dem Schaltungsanordnungsbereich und außerdem in leitendem Kontakt mit der dritten Leiterbahn ist.
  • Beispiel 44 enthält den Gegenstand von Beispiel 43 und legt ferner fest, dass die zweite Leiterdurchkontaktierung im Transistorbereich liegt.
  • Beispiel 45 enthält den Gegenstand von Beispiel 43 und legt ferner fest, dass der Schaltungsanordnungsbereich ferner einen Platzhalterbereich enthält und die zweite Leiterdurchkontaktierung im Platzhalterbereich liegt.
  • Beispiel 46 enthält den Gegenstand von Beispiel 36 und legt ferner fest, dass die Vorrichtungsschicht einen Platzhalterbereich enthält und die Leiterdurchkontaktierung im Platzhalterbereich liegt.
  • Beispiel 47 enthält den Gegenstand von Beispiel 46 und legt ferner fest, dass die erste Leiterbahn elektrisch zwischen dem Platzhalterbereich und dem Diodenbereich liegt und eine weitere Leiterbahn in der ersten Metallisierungsschicht elektrisch zwischen dem Platzhalterbereich und dem Transistorbereich liegt.
  • Beispiel 48 enthält den Gegenstand eines der Beispiele 46-47 und enthält ferner Folgendes: einen Widerstand, wobei der Widerstand elektrisch zwischen dem Transistorbereich und dem Diodenbereich liegt.
  • Beispiel 49 enthält den Gegenstand von Beispiel 48 und legt ferner fest, dass der Widerstand einen Dünnschichtwiderstand enthält.
  • Beispiel 50 enthält den Gegenstand eines der Beispiele 48-49 und legt ferner fest, dass die Vorrichtungsschicht zwischen dem Widerstand und der zweiten Metallisierungsschicht liegt.
  • Beispiel 51 enthält den Gegenstand eines der Beispiele 48-49 und legt ferner fest, dass die Vorrichtungsschicht zwischen dem Widerstand und der ersten Metallisierungsschicht liegt.
  • Beispiel 52 enthält den Gegenstand eines der Beispiele 46-51 und legt ferner fest, dass die Leiterdurchkontaktierung eine erste Leiterdurchkontaktierung ist, die IC-Struktur eine dritte Leiterbahn in der zweiten Metallisierungsschicht enthält, die IC-Struktur ferner eine zweite Leiterdurchkontaktierung in der Vorrichtungsschicht enthält und die zweite Leiterdurchkontaktierung in leitendem Kontakt mit dem Schaltungsanordnungsbereich und außerdem in leitendem Kontakt mit der dritten Leiterbahn ist.
  • Beispiel 53 enthält den Gegenstand von Beispiel 52 und legt ferner fest, dass die zweite Leiterdurchkontaktierung im Transistorbereich liegt.
  • Beispiel 54 enthält den Gegenstand eines der Beispiele 36-53 und legt ferner fest, dass die Vorrichtungsschicht einen Halbleiterkörper enthält und die Leiterdurchkontaktierung vom Halbleiterkörper beabstandet und zu ihm parallel ist.
  • Beispiel 55 enthält den Gegenstand von Beispiel 54 und legt ferner fest, dass der Halbleiterkörper eine Halbleiterrippe enthält.
  • Beispiel 56 enthält den Gegenstand eines der Beispiele 54-55 und enthält ferner Folgendes:
  • eine dritte Leiterbahn, wobei die Leiterdurchkontaktierung elektrisch zwischen der zweiten Leiterbahn und der dritten Leiterbahn liegt.
  • Beispiel 57 enthält den Gegenstand von Beispiel 56 und legt ferner fest, dass die dritte Leiterbahn in der Vorrichtungsschicht enthalten ist.
  • Beispiel 58 enthält den Gegenstand eines der Beispiele 36-57 und legt ferner fest, dass die Vorrichtungsschicht einen Halbleiterkörper enthält und die Leiterdurchkontaktierung mit dem Halbleiterkörper in Kontakt ist.
  • Beispiel 59 enthält den Gegenstand von Beispiel 58 und legt ferner fest, dass der Halbleiterkörper eine Halbleiterrippe enthält.
  • Beispiel 60 enthält den Gegenstand von Beispiel 59 und legt ferner fest, dass die Leiterdurchkontaktierung durch mehrere Halbleiterkörper verläuft.
  • Beispiel 61 enthält den Gegenstand eines der Beispiele 36-60 und legt ferner fest, dass die zweite Metallisierungsschicht eine Leiterbahn in Kontakt mit einem Halbleitermaterial der Vorrichtungsschicht enthält.
  • Beispiel 62 enthält den Gegenstand eines der Beispiele 36-61 und legt ferner fest, dass die erste Metallisierungsschicht eine frontseitige Metallisierungsschicht ist und die zweite Metallisierungsschicht eine rückseitige Metallisierungsschicht ist.
  • Beispiel 63 enthält den Gegenstand eines der Beispiele 36-62 und legt ferner fest, dass die Vorrichtungsschicht einen Halbleiterkörper enthält und der Halbleiterkörper näher bei der zweiten Metallisierungsschicht breiter ist und näher bei der ersten Metallisierungsschicht schmaler ist.
  • Beispiel 64 enthält den Gegenstand eines der Beispiele 36-63 und enthält ferner Folgendes: zusätzliche Leiterdurchkontaktierungen in der Vorrichtungsschicht, wobei einige der zusätzlichen Leiterdurchkontaktierungen im Transistorbereich liegen und den Transistorbereich an eine oder mehrere Spannungsversorgungsschienen elektrisch koppeln und einige der zusätzlichen Leiterdurchkontaktierungen im Diodenbereich liegen und den Diodenbereich an eine oder mehrere Spannungsversorgungsschienen elektrisch koppeln.
  • Beispiel 65 enthält den Gegenstand eines der Beispiele 36-64 und legt ferner fest, dass der Schaltungsanordnungsbereich ein Ein-/Ausgabe-Schaltungsanordnungsbereich (I/O-Schaltungsanordnungsbereich) ist.
  • Beispiel 66 enthält den Gegenstand eines der Beispiele 36-65 und legt ferner fest, dass der Transistorbereich ein Ein-/Ausgabe-Treiberbereich (I/O-Treiberbereich) ist.
  • Beispiel 67 enthält den Gegenstand eines der Beispiele 36-66 und legt ferner fest, dass der Diodenbereich ein Bereich zum Schutz vor elektrostatischer Entladung (ESDP-Bereich) ist.
  • Beispiel 68 ist eine Rechenvorrichtung, die die IC-Struktur eines der Beispiele 1-67 enthält.
  • Beispiel 69 enthält den Gegenstand von Beispiel 68 und legt ferner fest, dass die Rechenvorrichtung eine handgeführte Rechenvorrichtung ist.
  • Beispiel 70 enthält den Gegenstand von Beispiel 68 und legt ferner fest, dass die Rechenvorrichtung eine Server-Rechenvorrichtung ist.
  • Beispiel 71 enthält den Gegenstand von Beispiel 68 und legt ferner fest, dass die Rechenvorrichtung ein tragbare Rechenvorrichtung ist.
  • Beispiel 72 enthält den Gegenstand eines der Beispiele 68-71 und enthält ferner eine Antenne oder eine Anzeigevorrichtung.
  • Beispiel 73 enthält den Gegenstand eines der Beispiele 68-72 und legt ferner fest, dass die IC-Struktur in einem Chip enthalten ist und der Chip an ein Baugruppensubstrat gekoppelt ist.

Claims (20)

  1. Struktur integrierter Schaltungen (IC-Struktur), die Folgendes umfasst: eine Vorrichtungsschicht, wobei die Vorrichtungsschicht mehrere aktive Vorrichtungen enthält; eine erste Metallisierungsschicht über der Vorrichtungsschicht, wobei die erste Metallisierungsschicht eine erste Leiterbahn in leitendem Kontakt mit mindestens einer der aktiven Vorrichtungen in der Vorrichtungsschicht enthält; eine zweite Metallisierungsschicht unter der Vorrichtungsschicht, wobei die zweite Metallisierungsschicht eine zweite Leiterbahn enthält; und eine Leiterdurchkontaktierung in der Vorrichtungsschicht, wobei die Leiterdurchkontaktierung in leitendem Kontakt mit mindestens einer der aktiven Vorrichtungen in der Vorrichtungsschicht und außerdem in leitendem Kontakt mit der zweiten Leiterbahn ist.
  2. IC-Struktur nach Anspruch 1, wobei die Vorrichtungsschicht einen Halbleiterkörper enthält und die Leiterdurchkontaktierung vom Halbleiterkörper beabstandet und zu ihm parallel ist.
  3. IC-Struktur nach Anspruch 1, wobei die Vorrichtungsschicht einen Halbleiterkörper enthält und die Leiterdurchkontaktierung mit dem Halbleiterkörper in Kontakt ist.
  4. IC-Struktur nach einem der Ansprüche 1-3, wobei die Vorrichtungsschicht einen ersten Halbleiterbereich und einen zweiten Halbleiterbereich enthält, die zweite Metallisierungsschicht einen ersten Leiterbereich unter dem ersten Halbleiterbereich enthält, die zweite Metallisierungsschicht einen zweiten Leiterbereich unter dem zweiten Halbleiterbereich enthält und sich ein Isolationsmaterial zwischen dem ersten Leiterbereich und dem zweiten Leiterbereich befindet.
  5. IC-Struktur nach Anspruch 4, wobei der erste Leiterbereich ein Versorgungsspannungsbereich ist und der zweite Leiterbereich ein Austastversorgungsspannungsbereich ist.
  6. Struktur integrierter Schaltungen (IC-Struktur), die Folgendes umfasst: eine Vorrichtungsschicht, wobei die Vorrichtungsschicht einen aktiven Vorrichtungsbereich und einen Platzhalterbereich enthält; eine erste Metallisierungsschicht über der Vorrichtungsschicht, wobei die erste Metallisierungsschicht eine erste Leiterbahn in leitendem Kontakt mit dem aktiven Vorrichtungsbereich enthält; eine zweite Metallisierungsschicht unter der Vorrichtungsschicht, wobei die zweite Metallisierungsschicht eine zweite Leiterbahn enthält; und eine Leiterdurchkontaktierung in der Vorrichtungsschicht, wobei sich die Leiterdurchkontaktierung im Platzhalterbereich befindet und die Leiterdurchkontaktierung in leitendem Kontakt mit der ersten Leiterbahn und mit der zweiten Leiterbahn ist.
  7. IC-Struktur nach Anspruch 6, wobei der Platzhalterbereich einen Halbleiterkörper enthält und die Leiterdurchkontaktierung vom Halbleiterkörper beabstandet und zu ihm parallel ist.
  8. IC-Struktur nach Anspruch 7, die ferner Folgendes umfasst: eine dritte Leiterbahn, wobei die Leiterdurchkontaktierung elektrisch zwischen der zweiten Leiterbahn und der dritten Leiterbahn liegt.
  9. IC-Struktur nach Anspruch 8, wobei die dritte Leiterbahn in der Vorrichtungsschicht enthalten ist.
  10. Struktur integrierter Schaltungen (IC-Struktur), die Folgendes umfasst: eine Vorrichtungsschicht, wobei die Vorrichtungsschicht einen Schaltungsanordnungsbereich enthält, der einen Transistorbereich und einen Diodenbereich enthält; eine erste Metallisierungsschicht über der Vorrichtungsschicht, wobei die erste Metallisierungsschicht eine erste Leiterbahn in leitendem Kontakt mit dem Schaltungsanordnungsbereich enthält; eine zweite Metallisierungsschicht unter der Vorrichtungsschicht, wobei die zweite Metallisierungsschicht eine zweite Leiterbahn enthält; und eine Leiterdurchkontaktierung in der Vorrichtungsschicht, wobei die Leiterdurchkontaktierung in leitendem Kontakt mit dem Schaltungsanordnungsbereich und außerdem in leitendem Kontakt mit der zweiten Leiterbahn ist.
  11. IC-Struktur nach Anspruch 10, wobei die Leiterdurchkontaktierung im Diodenbereich liegt.
  12. IC-Struktur nach Anspruch 11, die ferner Folgendes umfasst: einen Widerstand, wobei der Widerstand elektrisch zwischen dem Transistorbereich und dem Diodenbereich liegt.
  13. IC-Struktur nach Anspruch 12, wobei die Vorrichtungsschicht zwischen dem Widerstand und der zweiten Metallisierungsschicht liegt.
  14. IC-Struktur nach Anspruch 12, wobei die Vorrichtungsschicht zwischen dem Widerstand und der ersten Metallisierungsschicht liegt.
  15. IC-Struktur nach Anspruch 11, wobei die Leiterdurchkontaktierung eine erste Leiterdurchkontaktierung ist, die IC-Struktur eine dritte Leiterbahn in der zweiten Metallisierungsschicht enthält, die IC-Struktur ferner eine zweite Leiterdurchkontaktierung in der Vorrichtungsschicht enthält und die zweite Leiterdurchkontaktierung in leitendem Kontakt mit dem Schaltungsanordnungsbereich und außerdem in leitendem Kontakt mit der dritten Leiterbahn ist.
  16. IC-Struktur nach Anspruch 10, wobei die Vorrichtungsschicht einen Platzhalterbereich enthält und die Leiterdurchkontaktierung im Platzhalterbereich liegt.
  17. IC-Struktur nach Anspruch 16, wobei die erste Leiterbahn elektrisch zwischen dem Platzhalterbereich und dem Diodenbereich liegt und eine weitere Leiterbahn in der ersten Metallisierungsschicht elektrisch zwischen dem Platzhalterbereich und dem Transistorbereich liegt.
  18. IC-Struktur nach Anspruch 16, wobei die Leiterdurchkontaktierung eine erste Leiterdurchkontaktierung ist, die IC-Struktur eine dritte Leiterbahn in der zweiten Metallisierungsschicht enthält, die IC-Struktur ferner eine zweite Leiterdurchkontaktierung in der Vorrichtungsschicht enthält, die zweite Leiterdurchkontaktierung in leitendem Kontakt mit dem Schaltungsanordnungsbereich und außerdem in leitendem Kontakt mit der dritten Leiterbahn ist.
  19. IC-Struktur nach Anspruch 18, wobei die zweite Leiterdurchkontaktierung im Transistorbereich liegt.
  20. IC-Struktur nach einem der Ansprüche 10-19, die ferner Folgendes umfasst: zusätzliche Leiterdurchkontaktierungen in der Vorrichtungsschicht, wobei einige der zusätzlichen Leiterdurchkontaktierungen im Transistorbereich liegen und den Transistorbereich an eine oder mehrere Spannungsversorgungsschienen elektrisch koppeln und einige der zusätzlichen Leiterdurchkontaktierungen im Diodenbereich liegen und den Diodenbereich an eine oder mehrere Spannungsversorgungsschienen elektrisch koppeln.
DE102020127728.8A 2019-12-27 2020-10-21 Strukturen integrierter schaltungen mit rückseitigen durchkontaktierungen Pending DE102020127728A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/728,111 2019-12-27
US16/728,111 US20210202472A1 (en) 2019-12-27 2019-12-27 Integrated circuit structures including backside vias

Publications (1)

Publication Number Publication Date
DE102020127728A1 true DE102020127728A1 (de) 2021-07-01

Family

ID=76310549

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020127728.8A Pending DE102020127728A1 (de) 2019-12-27 2020-10-21 Strukturen integrierter schaltungen mit rückseitigen durchkontaktierungen

Country Status (2)

Country Link
US (3) US20210202472A1 (de)
DE (1) DE102020127728A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11791331B2 (en) 2019-12-27 2023-10-17 Intel Corporation Integrated circuit structures including backside vias

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11521676B2 (en) 2020-04-30 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with asymmetric interconnection
US20230369220A1 (en) * 2022-05-11 2023-11-16 International Business Machines Corporation Via to backside power rail through active region
US20230420443A1 (en) * 2022-06-27 2023-12-28 Nicholas A. Thomson Integrated circuit devices with diodes integrated in subfins

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9299641B2 (en) * 2012-08-10 2016-03-29 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US10217667B2 (en) * 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
US8518746B2 (en) * 2010-09-02 2013-08-27 Stats Chippac, Ltd. Semiconductor device and method of forming TSV semiconductor wafer with embedded semiconductor die
US20180350685A1 (en) * 2011-06-28 2018-12-06 Monolithic 3D Inc. 3d semiconductor device and system
US10388568B2 (en) * 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
JP2013200775A (ja) 2012-03-26 2013-10-03 Sony Corp 情報処理装置、情報処理方法およびプログラム
US9209156B2 (en) * 2012-09-28 2015-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuits stacking approach
US10515935B2 (en) * 2012-12-22 2019-12-24 Monolithic 3D Inc. 3D semiconductor device and structure
US10014282B2 (en) * 2012-12-22 2018-07-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) * 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9941275B2 (en) * 2012-12-29 2018-04-10 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) * 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9640531B1 (en) * 2014-01-28 2017-05-02 Monolithic 3D Inc. Semiconductor device, structure and methods
US11398569B2 (en) * 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
WO2014209278A1 (en) * 2013-06-25 2014-12-31 Intel Corporation Monolithic three-dimensional (3d) ics with local inter-level interconnects
US9252077B2 (en) * 2013-09-25 2016-02-02 Intel Corporation Package vias for radio frequency antenna connections
US11031394B1 (en) * 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US10658358B2 (en) * 2015-03-09 2020-05-19 Monolithic 3D Inc. 3D semiconductor wafer, devices, and structure
US9735129B2 (en) * 2014-03-21 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and methods of forming the same
US9601463B2 (en) * 2014-04-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) and the methods of making the same
US9165793B1 (en) * 2014-05-02 2015-10-20 Invensas Corporation Making electrical components in handle wafers of integrated circuit packages
EP3155658B1 (de) * 2014-06-16 2023-02-22 Intel Corporation Speicherchip mit direkter integration mit einem logikchip und verfahren zu dessen herstellung
US9666559B2 (en) * 2014-09-05 2017-05-30 Invensas Corporation Multichip modules and methods of fabrication
US9406697B1 (en) * 2015-01-20 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and manufacturing methods thereof
US9385140B1 (en) * 2015-02-04 2016-07-05 Texas Instruments Incorporated Efficient buried oxide layer interconnect scheme
US9659805B2 (en) * 2015-04-17 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and methods forming the same
WO2016204755A1 (en) * 2015-06-17 2016-12-22 Intel Corporation Vertical integration scheme and circuit elements architecture for area scaling of semiconductor devices
US10665578B2 (en) * 2015-09-24 2020-05-26 Apple Inc. Display with embedded pixel driver chips
CN108028280B (zh) * 2015-09-25 2023-04-04 英特尔公司 制作背侧金属的接触部的卷绕源极/漏极方法
US10224310B2 (en) * 2015-10-29 2019-03-05 Qualcomm Incorporated Hybrid three-dimensional integrated circuit reconfigurable thermal aware and dynamic power gating interconnect architecture
CN108292626B (zh) * 2015-12-23 2024-03-08 英特尔公司 在双侧互连器件上制作和使用穿硅过孔
US9904776B2 (en) * 2016-02-10 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Fingerprint sensor pixel array and methods of forming same
US9917009B2 (en) * 2016-08-04 2018-03-13 Globalfoundries Inc. Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
WO2018106233A1 (en) * 2016-12-07 2018-06-14 Intel Corporation Integrated circuit device with crenellated metal trace layout
US20180102776A1 (en) * 2016-10-07 2018-04-12 Altera Corporation Methods and apparatus for managing application-specific power gating on multichip packages
US10141253B2 (en) * 2016-11-14 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102666075B1 (ko) * 2016-12-16 2024-05-14 삼성전자주식회사 메모리 장치 및 메모리 장치의 도전 라인들의 배치 방법
US10224285B2 (en) * 2017-02-21 2019-03-05 Raytheon Company Nitride structure having gold-free contact and methods for forming such structures
US10373921B2 (en) * 2017-06-20 2019-08-06 Micron Technology, Inc. Power gate circuits for semiconductor devices
US11107811B2 (en) * 2017-07-01 2021-08-31 Intel Corporation Metallization structures under a semiconductor device layer
US10510718B2 (en) * 2017-08-28 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10269803B2 (en) * 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
US10720507B2 (en) * 2017-09-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacture
US11257738B2 (en) * 2017-12-27 2022-02-22 Intel Corporation Vertically stacked transistor devices with isolation wall structures containing an electrical conductor
US11387230B2 (en) * 2018-05-16 2022-07-12 Industrial Technology Research Institute System in package structure for perform electrostatic discharge operation and electrostatic discharge protection structure thereof
US11410928B2 (en) * 2018-06-07 2022-08-09 Intel Corporation Device layer interconnects
US10700046B2 (en) * 2018-08-07 2020-06-30 Bae Systems Information And Electronic Systems Integration Inc. Multi-chip hybrid system-in-package for providing interoperability and other enhanced features to high complexity integrated circuits
US11121121B2 (en) * 2018-09-04 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US10607938B1 (en) * 2018-10-26 2020-03-31 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices
US10727236B2 (en) * 2018-12-21 2020-07-28 Globalfoundries Inc. Circuits constructed from stacked field-effect transistors
US20200373421A1 (en) * 2019-05-22 2020-11-26 Intel Corporation Iii-n transistor arrangements for reducing nonlinearity of off-state capacitance
US11527532B2 (en) * 2019-05-22 2022-12-13 Intel Corporation Enhancement-depletion cascode arrangements for enhancement mode III-N transistors
US11652107B2 (en) * 2019-06-20 2023-05-16 Intel Corporation Substrate-less FinFET diode architectures with backside metal contact and subfin regions
US20210043573A1 (en) * 2019-08-06 2021-02-11 Intel Corporation Thermal management in integrated circuit packages
US10957651B2 (en) * 2019-08-07 2021-03-23 Nvidia Corp. Package level power gating
US11133269B2 (en) * 2019-10-17 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof
US11682731B2 (en) * 2019-12-02 2023-06-20 Intel Corporation Fin smoothing and integrated circuit structures resulting therefrom
US11450628B2 (en) * 2019-12-15 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure including a solenoid inductor laterally aside a die and method of fabricating the same
US20210202472A1 (en) 2019-12-27 2021-07-01 Intel Corporation Integrated circuit structures including backside vias
US11462282B2 (en) * 2020-04-01 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory structure
US11444069B2 (en) * 2020-06-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. 3D semiconductor package including memory array
US11600699B2 (en) * 2021-05-05 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure integrating air gaps and methods of forming the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11791331B2 (en) 2019-12-27 2023-10-17 Intel Corporation Integrated circuit structures including backside vias

Also Published As

Publication number Publication date
US20210202472A1 (en) 2021-07-01
US20220077140A1 (en) 2022-03-10
US20230402449A1 (en) 2023-12-14
US11791331B2 (en) 2023-10-17

Similar Documents

Publication Publication Date Title
US20240096791A1 (en) Device layer interconnects
DE102020112887A1 (de) Substratlose, doppelseitige, eingebettete multi-die-verbindungsbrücke
DE102020127728A1 (de) Strukturen integrierter schaltungen mit rückseitigen durchkontaktierungen
DE102020103518A1 (de) Gestapelte Transistoren mit einem Dielektrikum zwischen den Source/Drain- Materialien unterschiedlicher Strata
DE112016007304T5 (de) Eingebetteter die in interposer-gehäusen
DE112017007845T5 (de) Integrierte schaltungskomponenten mit substrathohlräumen
DE102020129973A1 (de) Einzelgate-3d-nanodraht-inverter für dickes-gate-soc-anwendungen mit hoher dichte
DE102020102335A1 (de) Radiofrequenz-front-end-strukturen
DE102019101583A1 (de) Rekonfigurierbare verbindungsanordnung, die dünnfilm-transistoren verwendet
DE112016006809T5 (de) Integrierte schaltungsstrukturen mit erweiterten leitungswegen
DE112016006900B4 (de) Gehäuse einer integrierten Schaltung, Rechenvorrichtung, Verfahren zum Herstellen eines Substrats für ein Gehäuse einer integrierten Schaltung sowie Verfahren zum Betreiben eines Gehäuses einer integrierten Schaltung
DE112019000890T5 (de) Antennenmodule und Kommunikationsvorrichtungen
DE102020104398A1 (de) Gestapelte Transistoren mit Dielektrikum zwischen Kanälen unterschiedlicher Vorrichtungslagen
DE102020108439A1 (de) Packages für integrierte Schaltungen mit Löt-Thermoschnittstellenmaterial
DE102020102948A1 (de) Gestapelte transistoren mit unterschiedlichen kristallorientierungen in unterschiedlichen bauelement-strata
DE112017001160T5 (de) Elektronische Komponenten mit dreidimensionalen Kondensatoren in einem Metallisierungsstapel
DE102020102805A1 (de) Kondensatoren mit ferroelektrischen/ antiferroelektrischen und dielektrischen Materialien
DE112021005475T5 (de) Abschirmungsstrukturen in mikroelektronischen baugruppen mit direktbonden
DE102020129665A1 (de) Dreidimensionale nanobandbasierte Logik
US11133410B2 (en) Field-effect transistors and methods of manufacturing the same
US11830809B2 (en) Magnetic structures in integrated circuit package supports
DE102021121330A1 (de) Erweiterung von nanokammtransistoranordnungen zur implementierung eines rundum verlaufenden gates
US11777022B2 (en) Transistors including first and second semiconductor materials between source and drain regions and methods of manufacturing the same
US20230420358A1 (en) Integrated circuit packages with silver and silicon nitride multi-layer
US20240088017A1 (en) Full wafer device with front side passive electronic components