DE102020112776A1 - Maske für extremes-ultraviolett-fotolithografie - Google Patents

Maske für extremes-ultraviolett-fotolithografie Download PDF

Info

Publication number
DE102020112776A1
DE102020112776A1 DE102020112776.6A DE102020112776A DE102020112776A1 DE 102020112776 A1 DE102020112776 A1 DE 102020112776A1 DE 102020112776 A DE102020112776 A DE 102020112776A DE 102020112776 A1 DE102020112776 A1 DE 102020112776A1
Authority
DE
Germany
Prior art keywords
absorption layer
layer
photolithographic
buffer layer
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020112776.6A
Other languages
English (en)
Inventor
Wen-Chang Hsueh
Hsin-Chang Lee
Ta-Cheng Lien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020112776A1 publication Critical patent/DE102020112776A1/de
Granted legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Ein Verfahren zum Herstellen einer fotolithografischen EUV-Maske (EUV: extremes Ultraviolett) weist die folgenden Schritte auf: Herstellen einer reflektierenden Multischicht, Herstellen einer Pufferschicht auf der reflektierenden Multischicht; und Herstellen einer Absorptionsschicht auf der reflektierenden Multischicht. Bevor die Absorptionsschicht strukturiert wird, wird ein äußerer Teil der Absorptionsschicht entfernt. Dann wird ein Fotoresist auf einer Oberseite und auf Seitenwänden der Absorptionsschicht abgeschieden. Anschließend wird das Fotoresist strukturiert, und die Absorptionsschicht wird mit einem Plasmaätzprozess unter Verwendung des strukturierten Fotoresists geätzt. Das Vorhandensein des Fotoresists auf den Seitenwänden der Absorptionsschicht während des Plasmaätzprozesses trägt zum Verbessern der Gleichmäßigkeit der Ätzung der Absorptionsschicht während des Plasmaätzprozesses bei.

Description

  • Hintergrund
  • Fachgebiet
  • Die vorliegende Erfindung betrifft das Gebiet der Fotolithografie. Insbesondere betrifft die vorliegende Erfindung die Herstellung von Masken für fotolithografische Prozesse.
  • Beschreibung des Standes der Technik
  • Die IC-Industrie (IC: integrierter Halbleiter-Schaltkreis) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Entwürfen haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Anzahl von miteinander verbundenen Vorrichtungen je Chipfläche im Allgemeinen zugenommen, während die Größen der kleinsten Komponenten, die mit einem Herstellungsverfahren erzeugt werden können, abgenommen haben.
  • Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch eine Steigerung der Produktionsleistung und eine Senkung der zugehörigen Kosten. Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht. Damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen bei der IC-Bearbeitung und -Herstellung erforderlich. Zum Beispiel wächst die Notwendigkeit, fotolithografische Prozesse mit einer höheren Auflösung durchzuführen.
  • EUV-Fotolithografie (EUV: extremes Ultraviolett) ist ein fotolithografisches Verfahren, bei dem Scanner zum Einsatz kommen, die Licht im extremen Ultraviolettbereich mit Wellenlängen von etwa 1 bis 20 nm verwenden. EUV-Scanner stellen eine gewünschte Struktur auf einer Absorptionsschicht her, die auf einer reflektierenden Maske hergestellt ist. Die Struktur der Absorptionsschicht wird zum Herstellen von Strukturelementen auf einem Halbleiterwafer entsprechend der Struktur verwendet.
  • Figurenliste
    • 1 ist ein Blockschaltbild einer fotolithografischen EUV-Anlage gemäß einer Ausführungsform.
    • 2 ist eine Schnittansicht einer fotolithografischen Maske auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform.
    • 3 ist eine Schnittansicht einer fotolithografischen Maske auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform.
    • 4A ist eine Schnittansicht einer fotolithografischen Maske auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform.
    • 4B ist eine Draufsicht der fotolithografischen Maske von 4A gemäß einer Ausführungsform.
    • 5 ist eine Schnittansicht einer fotolithografischen Maske auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform.
    • 6 ist eine Schnittansicht einer fotolithografischen Maske auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform.
    • 7 ist eine Schnittansicht einer fotolithografischen Maske auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform.
    • 8 ist eine Schnittansicht einer fotolithografischen Maske gemäß einer Ausführungsform.
    • 9 ist eine Schnittansicht einer fotolithografischen Maske auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform.
    • 10 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer fotolithografischen Maske gemäß einer Ausführungsform.
    • 11 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer fotolithografischen Maske gemäß einer Ausführungsform.
  • Detaillierte Beschreibung
  • In der nachstehenden Beschreibung sind zahlreiche Dicken und Materialien für verschiedene Schichten und Strukturen in einer fotolithografischen Maske angegeben. Spezielle Abmessungen und Materialien sind beispielhaft für verschiedene Ausführungsformen angegeben. Fachleute dürften jedoch erkennen, dass vor dem Hintergrund der vorliegenden Erfindung in vielen Fällen andere Abmessungen und Materialien verwendet werden können, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • In der nachstehenden Beschreibung werden spezielle Einzelheiten dargelegt, um ein besseres Verständnis verschiedener Ausführungsformen der Erfindung zu ermöglichen. Ein Fachmann dürfte jedoch erkennen, dass die Erfindung auch ohne diese speziellen Einzelheiten genutzt werden kann. In anderen Fällen werden bekannte Strukturen, die mit elektronischen Komponenten und Herstellungsverfahren assoziiert sind, nicht näher beschrieben, um ein unnötiges Verunklaren der Beschreibung der Ausführungsformen der vorliegenden Erfindung zu vermeiden.
  • In der gesamten Beschreibung und in allen Ansprüchen sind der Begriff „aufweisen“ und Abwandlungen davon, wie etwa „..., der/die/das ... aufweist“, „umfassen“, „..., der/die/das ... umfasst“, „haben“, „..., der/die/das ... hat“, „mit“ usw., in einem offenen, inklusiven Sinn zu interpretieren, das heißt, als „aufweisen, aber nicht beschränkt sein auf“, wenn es der Kontext nicht anders verlangt.
  • Die Verwendung von Ordnungszahlen, wie etwa „erste(r) / erstes“, „zweite(r) / zweites“ und „dritte(r) / drittes“, impliziert nicht unbedingt eine Ordnung von Rängen, sondern dient lediglich der Unterscheidung zwischen mehreren Instanzen eines Vorgangs oder einer Struktur.
  • In der gesamten Patentbeschreibung bedeutet die Bezugnahme auf „eine Ausführungsform“, dass ein bestimmtes Element, eine bestimmte Struktur oder eine bestimmte Eigenschaft, das/die in Zusammenhang mit der Ausführungsform beschrieben wird, Bestandteil mindestens einer Ausführungsform ist. Somit bezieht sich die Verwendung der Wendungen „bei einer Ausführungsform“ an verschiedenen Stellen in der gesamten Beschreibung nicht unbedingt immer auf ein und dieselbe Ausführungsform. Außerdem können die bestimmten Elemente, Strukturen oder Eigenschaften in geeigneter Weise in einer oder mehreren Ausführungsformen kombiniert werden.
  • Die in der Beschreibung und den beigefügten Ansprüchen verwendeten Singularformen „ein(e)“ und „der/die/das“ umfassen auch Pluralformen, wenn der Kontext nicht eindeutig etwas Anderes vorschreibt. Es ist außerdem zu beachten, dass der Begriff „oder“ im Allgemeinen auch in dem Sinn „und/oder“ verwendet wird, wenn der Kontext nicht eindeutig etwas Anderes vorschreibt.
  • 1 ist ein Blockschaltbild einer fotolithografischen EUV-Anlage 100 gemäß einer Ausführungsform. Die EUV-Anlage 100 weist eine Strahlungsquelle 102, eine Belichtungsvorrichtung 104, eine Maske 106, eine Projektionsoptikbox 108 und ein Target 110 auf. Die Komponenten der Anlage 100 arbeiten zusammen, um fotolithografische EUV-Prozesse durchzuführen.
  • Die Strahlungsquelle 102 sendet UV-Licht aus. Das UV-Licht hat eine Wellenlänge von etwa 1 bis 20 nm. Das UV-Licht kann auch andere Wellenlängen haben, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Die Belichtungsvorrichtung 104 empfängt das UV-Licht von der Strahlungsquelle 102. Die Belichtungsvorrichtung 104 kann eine Brechungsoptik aufweisen, wie etwa eine einzelne Linse oder ein Linsensystem mit mehreren Linsen (Zonenplatten), und/oder eine Spiegeloptik, wie etwa einen einzelnen Spiegel oder ein Spiegelsystem mit mehreren Spiegeln. Die Belichtungsvorrichtung 104 richtet das UV-Licht von der Strahlungsquelle 102 auf die Maske 106.
  • Die Maske 106 empfängt das UV-Licht von der Belichtungsvorrichtung 104. Die Maske 106 kann eine durchlässige Maske oder eine Reflexionsmaske sein. Bei einer Ausführungsform ist die Maske 106 eine Reflexionsmaske, die später näher beschrieben wird. Für die Maske 106 können auch andere Auflösungsverbesserungsmethoden, wie etwa eine Phasenverschiebungsmaske (PSM) und/oder Optical Proximity Correction (OPC), verwendet werden.
  • Die Projektionsoptikbox 108 empfängt das UV-Licht von der Maske 106. Die Projektionsoptikbox 108 kann eine Brechungsoptik oder eine Spiegeloptik haben. Die von der Maske 106 reflektierte Strahlung (z. B. eine strukturierte Strahlung) wird von der Projektionsoptikbox 108 gesammelt. Die Projektionsoptikbox 108 kann eine Vergrößerung von kleiner als eins haben (wodurch das strukturierte Bild, das in der Strahlung enthalten ist, verkleinert wird). Die Projektionsoptikbox 108 richtet das UV-Licht auf das Target 110.
  • Bei einer Ausführungsform umfasst das Target 110 einen Halbleiterwafer. Normalerweise bedeckt eine Fotoresistschicht das Target während der fotolithografischen EUV-Bestrahlung. Das Fotoresist unterstützt das Strukturieren einer Oberfläche des Halbleiterwafers entsprechend der Struktur der Maske 106.
  • Die Projektionsoptikbox 108 fokussiert das EUV-Licht auf das Target 110. Das EUV-Licht belichtet das Fotoresist mit einer Struktur, die der Struktur der Maske 106 entspricht. Die belichteten Teile des Fotoresists werden chemisch verändert, sodass Teile des Fotoresists entfernt werden können. Diese Struktur lässt das Fotoresist auf der Oberfläche des Halbleiterwafers in einer Struktur der Maske 106 zurück. Unter Verwendung des strukturierten Fotoresists werden Ätzprozesse, Dünnschicht-Abscheidungsprozesse und/oder Dotierungsprozesse durchgeführt.
  • Normalerweise wird eine große Anzahl von Masken 106 während der Herstellung eines einzigen Halbleiterwafers verwendet. Jede Maske hat eine spezielle Struktur, die den Halbleiterherstellungsprozessen entspricht. Entsprechend den einzelnen Masken werden ein oder mehrere Ätz-, Abscheidungs- oder Dotierungsprozesse durchgeführt.
  • Wenn Defekte in der Maske 106 vorhanden sind, können die entsprechenden Defekte in den verschiedenen Halbleiterprozessen auftreten, die mit der Maske 106 verbunden sind. Die Defekte, die sich von der Maske 106 bis zu den Herstellungsprozessen ausbreiten, können zu Halbleitervorrichtungen führen, die nicht einwandfrei funktionieren. Halbleitervorrichtungen, die nicht einwandfrei funktionieren, stellen eine Vergeudung von großen Mengen von Ressourcen dar, da ein hoher Zeitaufwand, kostspielige Anlagen und teure Materialien zum Herstellen jeder Halbleitervorrichtung erforderlich sind. Es ist daher vorteilhaft, möglichst viele Defekte in der Maske 106 zu reduzieren.
  • Die Komponenten der fotolithografischen Anlage 100, die unter Bezugnahme auf 1 beschrieben wird, sind beispielhafte Komponenten einer fotolithografischen Anlage. Für eine fotolithografische Anlage können auch andere Komponenten, Prozesse und Konfigurationen als die vorstehend beschriebenen verwendet werden, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • 2 ist eine Schnittansicht der fotolithografischen EUV-Maske 106 auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform. Die Maske 106 weist Folgendes auf: ein Substrat 112; eine reflektierende Multischicht 114, die auf dem Substrat 112 angeordnet ist; eine Pufferschicht 116, die auf der reflektierenden Multischicht 114 angeordnet ist; und eine Absorptionsschicht 118, die auf der Pufferschicht 116 angeordnet ist. Der Herstellungsprozess für die Maske 106 führt schließlich dazu, dass die Maske 106 eine gewählte Struktur in der Absorptionsschicht 118 hat.
  • Das Substrat 112 weist ein Material mit geringer Wärmeausdehnung auf. Das Substrat 112 mit dem Material mit geringer Wärmeausdehnung dient dazu, eine Bildverzerrung durch Erwärmen der Maske 106 zu minimieren. Das Substrat 112 kann Materialien mit einer niedrigen Defektdichte und einer glatten Oberfläche aufweisen.
  • Bei einer Ausführungsform kann das Substrat 112 Si02 aufweisen. Das Substrat 112 kann mit Titandioxid dotiert werden. Das Substrat 112 kann auch andere Materialien mit geringer Wärmeausdehnung als die aufweisen, die vorstehend genannt worden sind, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Obwohl es hier nicht gezeigt ist, kann das Substrat 112 bei einer Ausführungsform auf einer leitfähigen Schicht angeordnet werden. Die leitfähige Schicht kann ein elektrostatisches Einspannen der Maske 106 während der Herstellung und des Gebrauchs der Maske 106 unterstützen. Bei einer Ausführungsform weist die leitfähige Schicht Chromnitrid auf. Die leitfähige Schicht kann auch andere Materialien aufweisen, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Die Maske 106 weist die reflektierende Multischicht 114 auf. Die reflektierende Multischicht 114 ist so konfiguriert, dass sie EUV-Licht während fotolithografischer Prozesse reflektiert, in denen die Maske 106 verwendet wird. Die Reflexionseigenschaften der reflektierenden Multischicht 114 werden nachstehend näher beschrieben.
  • Bei einer Ausführungsform funktioniert die reflektierende Multischicht 114 entsprechend den Reflexionseigenschaften einer Grenzfläche zwischen zwei Materialien. Insbesondere erfolgt eine Reflexion von Licht, wenn das Licht auf die Grenzfläche zwischen zwei Materialien mit unterschiedlichen Brechzahlen auftrifft. Ein größerer Teil des Lichts wird reflektiert, wenn die Differenz der Brechzahlen größer ist.
  • Eine Methode zum Erhöhen des Anteils des reflektierten Lichts besteht darin, eine Mehrzahl von Grenzflächen durch Abscheiden einer Multischicht aus wechselnden Materialien zu integrieren. Die Eigenschaften und Abmessungen der Materialien können so gewählt werden, dass eine Verstärkung mit Licht erfolgt, das von unterschiedlichen Grenzflächen reflektiert wird. Die Absorptionseigenschaften der für die mehreren Schichten verwendeten Materialien können jedoch das Reflexionsvermögen, das erzielt werden kann, begrenzen.
  • Dementsprechend weist die reflektierende Multischicht 114 eine Mehrzahl von Paaren von Schichten auf. Jedes Schichtpaar umfasst eine Schicht aus einem ersten Material und eine Schicht aus einem zweiten Material. Die Materialien und Dicken der Schichten werden so gewählt, dass sie die Reflexion und die Verstärkung von EUV-Licht unterstützen.
  • Bei einer Ausführungsform umfasst jedes Schichtpaar eine Schicht aus Molybdän und eine Schicht aus Silizium. In einem Beispiel hat die Schicht aus Molybdän eine Dicke von 2 nm bis 4 nm. In einem Beispiel hat die Schicht aus Silizium eine Dicke von 3 nm bis 5 nm. Die Dicken für die Schichten in der reflektierenden Multischicht 114 werden auf Grund der zu erwartenden Wellenlänge des EUV-Lichts, das in den fotolithografischen Prozessen verwendet wird, und dem zu erwartenden Einfallswinkel des EUV-Lichts während der fotolithografischen Prozesse gewählt. Die Wellenlänge des EUV-Lichts beträgt 1 nm bis 20 nm. Die Anzahl von Schichtpaaren beträgt bei einer Ausführungsform 20 bis 60. Es können auch andere Materialien, Dicken, Anzahlen von Paaren und Konfigurationen von Schichten in der reflektierenden Multischicht 114 verwendet werden, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen. Es können auch andere Wellenlängen für das EUV-Licht verwendet werden, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Bei einer Ausführungsform wird die Pufferschicht 116 auf der reflektierenden Multischicht 114 angeordnet. Ein Zweck der Pufferschicht 116 besteht darin, die reflektierende Multischicht 114 während der Ätzprozesse für die Absorptionsschicht 118 zu schützen. Dementsprechend weist die Pufferschicht 116 Materialien auf, die gegen die Ätzung mit Ätzprozessen beständig sind, mit denen die Absorptionsschicht 118 geätzt wird. Die Ätzprozesse und die Materialien für die Absorptionsschicht 118 werden später näher beschrieben.
  • Bei einer Ausführungsform weist die Pufferschicht 116 Ruthenium auf. Die Pufferschicht 116 kann Verbindungen von Ruthenium aufweisen, wie etwa Rutheniumborid und Rutheniumsilizid. Die Pufferschicht 116 kann Chrom, Chromoxid oder Chromnitrid aufweisen. Die Pufferschicht 116 kann mit einem Tieftemperatur-Abscheidungsprozess abgeschieden werden, um eine Diffusion der Pufferschicht 116 in die reflektierende Multischicht 114 zu verhindern. Bei einer Ausführungsform hat die Pufferschicht 116 eine Dicke von 2 nm bis 4 nm. Es können auch andere Materialien, Abscheidungsprozesse und Dicken für die Pufferschicht 116 verwendet werden, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Die Absorptionsschicht 118 wird auf der Pufferschicht 116 angeordnet. Das Material für die Absorptionsschicht 118 wird so gewählt, dass es einen hohen Absorptionskoeffizienten für Wellenlängen des EUV-Lichts hat, das in den fotolithografischen Prozessen mit der Maske 106 verwendet wird. Mit anderen Worten, die Materialien für die Absorptionsschicht 118 werden so gewählt, dass sie EUV-Licht absorbieren.
  • Bei einer Ausführungsform hat die Absorptionsschicht 118 eine Dicke von 40 nm bis 100 nm. Bei einer Ausführungsform weist die Absorptionsschicht 118 ein Material aus der Gruppe Chrom, Chromoxid, Titannidrid, Tantalnitrid, Tantal, Titan, Aluminium-Kupfer, Palladium, Tantalbornitrid, Tantalboroxid, Aluminiumoxid und Molybdän oder andere geeignete Materialien auf. Andere Materialien und Dicken können ebenfalls für die Absorptionsschicht 118 verwendet werden, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • Bei einer Ausführungsform umfasst die Absorptionsschicht 118 eine erste Absorptionsschicht 120 und eine zweite Absorptionsschicht 122. Die erste Absorptionsschicht 120 ist auf der Pufferschicht 116 angeordnet. Die zweite Absorptionsschicht 122 ist auf der ersten Absorptionsschicht 120 angeordnet.
  • Bei einer Ausführungsform weist die erste Absorptionsschicht 120 Tantalbornitrid auf. Die zweite Absorptionsschicht 122 weist Tantalboroxid auf. Die Dicke der ersten Absorptionsschicht 120 beträgt 30 nm bis 80 nm. Die Dicke der zweiten Absorptionsschicht 122 beträgt 1 nm bis 40 nm. Die Absorptionsschicht 118 kann auch andere Materialien, Dicken und Anzahlen von Schichten als die aufweisen, die vorstehend genannt worden sind, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen. Bei einer Ausführungsform umfasst die Absorptionsschicht 118 nur eine Absorptionsschicht. Dementsprechend kann die Absorptionsschicht 118 eine Absorptionsschicht sein.
  • Die Schichten für die Maske 106, die in 2 gezeigt sind, können mit verschiedenen Dünnschicht-Abscheidungsverfahren hergestellt werden. Die Dünnschicht-Abscheidungsverfahren können physikalische Aufdampfung (PVD), wie etwa Aufdampfung und Magnetron-Sputtern mit Gleichstrom (DC), Plattierung, wie etwa stromlose Plattierung oder Elektroplattierung, chemische Aufdampfung (CVD), wie etwa chemische Gasphasenabscheidung bei Atmosphärendruck (APCVD), chemische Aufdampfung bei Tiefdruck (LPCVD), plasmaunterstützte chemische Aufdampfung (PECVD), chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD), Ionenstrahl-Abscheidung, Schleuderbeschichtung, metallorganische chemische Bedampfung und/oder andere auf dem Fachgebiet bekannte Verfahren umfassen.
  • 3 ist ein Querschnitt einer fotolithografischen Maske 106 auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform. In 3 ist eine Schicht aus einem Fotoresist 124 auf der Absorptionsschicht 118 abgeschieden worden. Insbesondere ist die Schicht aus dem Fotoresist 124 auf der zweiten Absorptionsschicht 122 abgeschieden worden. Die Schicht aus dem Fotoresist 124 ist strukturiert und entwickelt worden, um einen äußeren Rand der Oberseite der Absorptionsschicht 118 freizulegen.
  • Die Schicht aus dem Fotoresist 124 kann mit üblichen fotolithografischen Verfahren strukturiert werden, die ein Belichten des Fotoresists 124 oder Elektronenstrahl-Prozesse unter Verwendung einer fotolithografischen Maske und ein Entwickeln des Fotoresists 124 zum Entfernen des Außenumfangs des Fotoresists 124 entsprechend einer Struktur der fotolithografischen Maske umfassen.
  • Bei einer Ausführungsform beträgt eine Breite des freigelegten Teils der Oberseite der Absorptionsschicht 122 0,2 mm bis 2 mm. Mit anderen Worten, der Rand des Fotoresists 124 ist von dem Rand der Absorptionsschicht 118 0,2 mm bis 2 mm entfernt. Obwohl es in 3 nicht dargestellt ist, kann die Maske 106 in einer Draufsicht im Wesentlichen rechteckig sein. Der freigelegte Teil der Absorptionsschicht 118 entspricht einem äußeren Rand des Rechtecks. Fachleute dürften vor dem Hintergrund der vorliegenden Erfindung erkennen, dass der freigelegte Teil der Absorptionsschicht 118 auch andere Abmessungen und Formen haben kann, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen. Zum Beispiel sind auch andere Breiten für den freigelegten Teil der Oberseite der Absorptionsschicht 122 möglich, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen. Bei anderen Ausführungsformen beträgt die Breite des freigelegten Teils der Oberseite der Absorptionsschicht 122 zum Beispiel 0,2 mm bis 3 mm.
  • 4A ist ein Querschnitt der Maske 106 auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform. Bei der in 4A dargestellten Ausführungsform ist ein äußerer Teil der Absorptionsschicht 118 entfernt worden. Der äußere Teil der Absorptionsschicht 118 kann mit einem Ätzprozess unter Verwendung des strukturierten Fotoresists 124 entfernt werden. Durch den Ätzprozess wird ein äußerer Teil 126 der Oberseite der Pufferschicht 116 freigelegt. Der freigelegte Teil 126 entspricht der Struktur des Fotoresists 124 von 3. Der freigelegte Teil 126 hat eine Breite von 0,2 mm bis 2 mm. Der freigelegte Teil 126 erstreckt sich um den Umfang der Maske 106. Der freigelegte Teil 126 sollte so breit sein, dass das Fotoresist die Seitenwände der Absorptionsschicht stabil bedecken kann, wobei die Gründe dafür später näher dargelegt werden. Der freigelegte Teil 126 sollte so schmal sein, dass eine vollständige Strukturierung der Absorptionsschicht entsprechend einer gewählten Struktur für die Maske 106 möglich ist, die in fotolithografischen EUV-Prozessen verwendet werden soll. Dementsprechend kann der Bereich von Werten teilweise in Abhängigkeit von der speziellen Art von Fotoresist gewählt werden, das beim Strukturieren der Absorptionsschicht verwendet werden soll.
  • Bei einer Ausführungsform kann der Ätzprozess eine Plasma-Trockenätzung, eine Nassätzung und/oder andere Ätzverfahren umfassen. Bei der vorliegenden Ausführungsform wird eine Mehrschritt-Trockenätzung implementiert. Bei einer Ausführungsform kann der Ätzprozess eine Zweischritt-Plasmaätzung sein. Die zweite Absorptionsschicht 122 kann mit einem ersten Plasmaätzprozess geätzt werden, und die erste Absorptionsschicht 120 kann mit einem zweiten Plasmaätzprozess geätzt werden.
  • Fachleute dürften vor dem Hintergrund der vorliegenden Erfindung erkennen, dass zum Herstellen einer Maske mit der Struktur von 4A auch andere Verfahren als die verwendet werden können, die unter Bezugnahme auf die 3 und 4A beschrieben worden sind, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • 4B ist eine Draufsicht der fotolithografischen Maske 106 von 4A gemäß einer Ausführungsform. In der Draufsicht von 4B ist die Absorptionsschicht 118 auf der Pufferschicht 116 angeordnet. Die Absorptionsschicht 118 bedeckt die Oberseite der Pufferschicht 116 nicht vollständig. Ein äußerer Teil der Oberseite der Pufferschicht 116 ist nicht von der Absorptionsschicht 118 bedeckt. Eine Breite W des freiliegenden Teils 126 der Oberseite der Pufferschicht 116 beträgt 0,2 mm bis 2 mm. Der freiliegende Teil 126 kann aber auch andere Breiten haben, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen. Bei anderen Ausführungsformen der vorliegenden Erfindung beträgt die Breite W des freiliegenden Teils 126 der Oberseite der Pufferschicht 116 zum Beispiel 0,2 mm bis 3 mm. In 4B ist zwar dargestellt, dass die Breite W des freiliegenden Teils 126 auf allen Seiten der fotolithografischen Maske 106 gleichgroß ist, aber bei einigen Ausführungsformen kann der freiliegende Teil 126 unterschiedliche Breiten auf unterschiedlichen Seiten der fotolithografischen Maske 106 haben.
  • Bei einer Ausführungsform ist eine Querabmessung der Absorptionsschicht 118 kleiner als eine Querabmessung der Pufferschicht 116. Bei einer Ausführungsform weist ein Außenumfang der Oberseite der Pufferschicht 116 nicht die Absorptionsschicht 118 auf, da die Absorptionsschicht 118 nicht den Außenumfang der Oberseite der Pufferschicht 116 bedeckt. Bei einer Ausführungsform hat der freiliegende Teil 126 die Form eines Rahmens, der die Absorptionsschicht 118 umschließt. Die Maske 106 ist im Wesentlichen rechteckig, aber es sind auch andere Formen für die Maske 106 möglich, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • 5 ist ein Querschnitt der fotolithografischen Maske 106 auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform. In 5 ist eine Schicht aus einem Fotoresist 128 auf der Absorptionsschicht 118 abgeschieden worden. Das Fotoresist 128 ist auf einer Oberseite der Absorptionsschicht 118, auf Seitenflächen der Absorptionsschicht 118 und auf dem freiliegenden Teil 126 der Pufferschicht 116 angeordnet. Das Fotoresist 128 wird zum Unterstützen beim Strukturieren der Absorptionsschicht 118 entsprechend einer Endstruktur der Maske 106 verwendet. Wie später näher dargelegt wird, hat es mehrere Vorteile, den Außenumfang der Absorptionsschicht 118 so zu entfernen, dass das Fotoresist 128 die Seitenflächen der Absorptionsschicht 118 bedeckt.
  • 6 ist ein Querschnitt der fotolithografischen Maske 106 gemäß einer Ausführungsform. In 6 ist das Fotoresist 128 strukturiert worden. Durch das Strukturieren entstehen Gräben 130 in dem Fotoresist 128. Durch die Gräben 130, die in dem Fotoresist 128 erzeugt worden sind, werden Teile der Oberseite der Absorptionsschicht 118 freigelegt.
  • Bei einer Ausführungsform werden die Gräben 130 in dem Fotoresist 128 durch Belichten des Fotoresists 128 mit einem Elektronenstrahlprozess durch eine Maske erzeugt. Das Strukturieren kann ein Belichten des Fotoresists 128 mit einem Elektronenstrahlprozess, ein Trocknen des Fotoresists 128 und ein Entwickeln des Fotoresists 128 umfassen, sodass die Struktur der Gräben 130 in dem Fotoresist 128 zurückbleibt. Fachleute dürften vor dem Hintergrund der vorliegenden Erfindung erkennen, dass zahlreiche Arten von fotolithografischen und Strukturierungsprozessen zum Strukturieren des in 6 gezeigten Fotoresists 128 verwendet werden können.
  • 7 ist ein Querschnitt der fotolithografischen Maske 106 auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform. Die Maske 106 ist einem Ätzprozess unterzogen worden. Mit dem Ätzprozess von 7 werden die Teile der Absorptionsschicht 118 geätzt, die durch die Gräben 130 in dem Fotoresist 128 freigelegt worden sind. Durch den Ätzprozess wird die Absorptionsschicht 118 entsprechend der Struktur des Fotoresists 128 von 6 geätzt. Durch den Ätzprozess bleiben Gräben 134 in der Absorptionsschicht 118 entsprechend der Struktur des Fotoresists 128 zurück.
  • Bei einer Ausführungsform endet der Ätzprozess an der Pufferschicht 116. Dadurch wird die Oberseite der Pufferschicht 116 durch die Gräben 134 in dem Fotoresist 128 freigelegt. Der Ätzprozess für die Absorptionsschicht 118 wird so gewählt, dass die Absorptionsschicht 118 in Bezug zu der Pufferschicht 116 selektiv geätzt wird. Dementsprechend wird die Pufferschicht 116 durch den Prozess, mit dem die Absorptionsschicht 118 geätzt wird, nicht geätzt.
  • Bei einer Ausführungsform ist der Ätzprozess für die Absorptionsschicht 118 ein Plasmaätzprozess. Der Plasmaätzprozess umfasst ein Erzeugen eines Plasmas mit einem Gas auf Chlorbasis. Mit dem Chlorgas-Plasmaätzprozess wird die Absorptionsschicht 118 in Bezug zu der Pufferschicht 116 selektiv geätzt. Bei einer Ausführungsform kann der Plasmaätzprozess mit einem Fluorgas-Plasma zum Ätzen der zweiten Absorptionsschicht 122 beginnen. Der Plasmaätzprozess kann dann zu einem Chlorgas-Plasma zum Ätzen der ersten Absorptionsschicht 120 umgeschaltet werden. Es können auch andere Arten von Ätzprozessen verwendet werden, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.
  • 8 ist ein Querschnitt der Maske 106 gemäß einer Ausführungsform. In der Darstellung von 8 ist das Fotoresist 128 entfernt worden. Die Absorptionsschicht 118 bleibt mit den Gräben 134 strukturiert. Die Oberseite der Pufferschicht 116 wird durch die Gräben 134 in der Absorptionsschicht 118 und entlang einem Außenumfang der Maske 106 freigelegt.
  • Einige der Vorzüge des Maskenherstellungsprozesses, der unter Bezugnahme auf die 2 bis 8 beschrieben worden ist, werden durch Vergleichen mit einem anderen Prozess zur Maskenherstellung aufgezeigt, der unter Bezugnahme auf 9 beschrieben wird.
  • 9 ist ein Querschnitt einer fotolithografischen Maske 140 auf einer Zwischenstufe der Bearbeitung gemäß einer Ausführungsform. Die fotolithografische Maske 140 weist ein Substrat 112, eine reflektierende Multischicht 114, eine Pufferschicht 116 und eine Absorptionsschicht 118 auf. Eine Schicht aus einem strukturierten Fotoresist 128 bedeckt die Absorptionsschicht 118. In der Absorptionsschicht 118 sind Gräben 134 entsprechend dem strukturierten Fotoresist 128 geätzt worden.
  • Die fotolithografische Maske 140 von 9 ist in vielerlei Hinsicht der fotolithografischen Maske 106 von 7 ähnlich. Ein Unterschied zwischen der fotolithografischen Maske 140 von 9 und der fotolithografischen Maske 106 von 7 besteht jedoch darin, dass in 9 bei der Maske 140 das Fotoresist 128 die Seitenflächen der Absorptionsschicht 118 nicht vollständig bedeckt. Dies liegt daran, dass im Gegensatz zu der Maske 106 die Absorptionsschicht 118 der Maske 140 nicht strukturiert worden ist, um den Außenumfang der Pufferschicht 116 freizulegen. Insbesondere ist mit den fotolithografischen Prozessen der 3 und 4A der Außenumfang der Absorptionsschicht 118 geätzt worden, wodurch ein Teil 126 der Oberseite der Pufferschicht 116 freigelegt worden ist. Eines der Ergebnisse der in den 3 und 4A dargestellten fotolithografischen Prozesse ist, dass das Fotoresist 128 in den 5 bis 7 die Seitenflächen der Absorptionsschicht 118 der Maske 106 bedeckt.
  • Somit sind während des unter Bezugnahme auf 7 beschriebenen Plasmaätzprozesses zum Erzeugen der Gräben 134 in der Absorptionsschicht 118 der Maske 106 die Seitenflächen der Absorptionsschicht 118 mit dem Fotoresist 128 bedeckt. Das Fotoresist 128 der Maske 140 von 9 bedeckt jedoch nicht die Seitenflächen der Absorptionsschicht 118. Dementsprechend liegen während des Plasmaätzprozesses zum Ätzen der Gräben 134 die Seitenflächen der Absorptionsschicht 118 der Maske 140 frei.
  • Die Absorptionsschicht 118 ist im Vergleich zu der reflektierenden Multischicht 114 in dem Substrat 112 relativ leitfähig. Wenn während des Plasmaätzprozesses die Seitenflächen der Absorptionsschicht 118 freiliegen, können relativ hohe Spannungsdifferenzen zwischen unterschiedlichen Bereichen der Oberseite der Absorptionsschicht 118 entstehen. Dadurch ätzt das Plasma während des Plasmaätzprozesses unterschiedliche Bereiche der Absorptionsschicht 118 mit einer höheren Geschwindigkeit.
  • Unterschiedliche Ätzraten in unterschiedlichen Bereichen der Absorptionsschicht 118 führen zu Unterschieden zwischen den Gräben 134 in unterschiedlichen Teilen der Absorptionsschicht 118. Dies führt wiederum zu Unterschieden zwischen unterschiedlichen Stellen beim Bearbeiten von Halbleiterwafern unter Verwendung der Maske 140. Die Unterschiede zwischen unterschiedlichen Stellen können dazu führen, dass einige Bereiche der Halbleiterwafer Defekte haben. Diese Defekte können dazu führen, dass einige der integrierten Schaltkreise, die von den Halbleiterwafern stammen, funktionsuntüchtig sind. Wie vorstehend dargelegt worden ist, kann dies einer starken Vergeudung von Geld, Zeit und Ressourcen gleichkommen.
  • Die Maske 106 von 8 hat nicht diese Nachteile. Da die äußeren Seitenflächen oder Seitenwände der Absorptionsschicht 118 der Maske 106 während des Plasmaätzprozesses von 7 mit dem Fotoresist 128 bedeckt sind, ist die Oberflächenspannung der Absorptionsschicht 118 stabil. Da die Oberflächenspannung der Absorptionsschicht 118 stabil ist, ist die Ätzrate der Absorptionsschicht 118 an allen freiliegenden Stellen der Absorptionsschicht 118 konstant. Außerdem erleiden Halbleiterwafer, die mit der Maske 106 bearbeitet werden, nicht die Defekte, die die Halbleiterwafer erleiden können, die mit der Maske 140 von 9 bearbeitet werden.
  • 10 ist ein Ablaufdiagramm eines Verfahrens 1000 zum Herstellen einer fotolithografischen EUV-Maske gemäß einer Ausführungsform. In einem Schritt 1002 des Verfahrens 1000 wird auf einem Substrat eine reflektierende Multischicht hergestellt, die so konfiguriert ist, dass sie UV-Licht während fotolithografischer EUV-Prozesse reflektiert. Ein Beispiel für das Substrat ist das Substrat 112 von 2. Ein Beispiel für die reflektierende Multischicht ist die reflektierende Multischicht 114 von 2. In einem Schritt 1004 des Verfahrens 1000 wird eine Pufferschicht auf der reflektierenden Multischicht hergestellt. Ein Beispiel für die Pufferschicht ist die Pufferschicht 116 von 2. In einem Schritt 1006 des Verfahrens 1000 wird auf der Pufferschicht eine Absorptionsschicht mit einer Querabmessung hergestellt, die kleiner als eine Querabmessung der Pufferschicht ist, wobei die Absorptionsschicht so konfiguriert ist, dass sie UV-Licht während der fotolithografischen EUV-Prozesse absorbiert. Ein Beispiel für die Absorptionsschicht ist die Absorptionsschicht 118 von 2.
  • 11 ist ein Ablaufdiagramm eines Verfahrens 1100 zum Herstellen einer fotolithografischen EUV-Maske gemäß einer Ausführungsform. In einem Schritt 1102 des Verfahrens 1100 wird auf einem Substrat eine reflektierende Multischicht hergestellt, die so konfiguriert ist, dass sie UV-Licht während fotolithografischer EUV-Prozesse reflektiert. Ein Beispiel für das Substrat ist das Substrat 112 von 2. Ein Beispiel für die reflektierende Multischicht ist die reflektierende Multischicht 114 von 2. In einem Schritt 1104 des Verfahrens 1100 wird eine Pufferschicht auf der reflektierenden Multischicht hergestellt. Ein Beispiel für die Pufferschicht ist die Pufferschicht 116 von 2. In einem Schritt 1106 des Verfahrens 1100 wird auf der Pufferschicht eine Absorptionsschicht hergestellt, wobei die Absorptionsschicht so konfiguriert ist, dass sie UV-Licht während der fotolithografischen EUV-Prozesse absorbiert. Ein Beispiel für die Absorptionsschicht ist die Absorptionsschicht 118 von 2. In einem Schritt 1108 des Verfahrens 1100 wird ein äußerer Teil einer Oberseite der Pufferschicht durch Entfernen eines äußeren Teils der Absorptionsschicht mit einem ersten Ätzprozess freigelegt. In einem Schritt 1110 des Verfahrens 1100 werden mit einem zweiten Ätzprozess Gräben in der Absorptionsschicht erzeugt.
  • Bei einer Ausführungsform weist eine fotolithografische EUV-Maske ein Substrat und eine reflektierende Multischicht auf, die auf dem Substrat angeordnet ist und so konfiguriert ist, dass sie UV-Licht während fotolithografischer EUV-Prozesse reflektiert. Die Maske weist eine Pufferschicht, die auf der reflektierenden Multischicht angeordnet ist, und eine Absorptionsschicht auf, die auf der Pufferschicht angeordnet ist und so konfiguriert ist, dass sie UV-Licht während der fotolithografischen EUV-Prozesse absorbiert. Mindestens ein äußerer Rand der Absorptionsschicht ist von einem entsprechenden äußeren Rand der Pufferschicht seitlich beabstandet, sodass ein peripherer Teil einer Oberseite der Pufferschicht freiliegt.
  • Bei einer Ausführungsform umfasst ein Verfahren ein Herstellen, auf einem Substrat, einer reflektierenden Multischicht, die so konfiguriert ist, dass sie UV-Licht während fotolithografischer EUV-Prozesse reflektiert. Das Verfahren umfasst außerdem ein Herstellen einer Pufferschicht auf der reflektierenden Multischicht. Das Verfahren umfasst weiterhin ein Herstellen, auf der Pufferschicht, einer Absorptionsschicht, die eine Querabmessung hat, die kleiner als eine Querabmessung der Pufferschicht ist. Die Absorptionsschicht ist so konfiguriert, dass sie UV-Licht während der fotolithografischen EUV-Prozesse absorbiert.
  • Bei einer Ausführungsform umfasst ein Verfahren ein Herstellen, auf einem Substrat, einer reflektierenden Multischicht, die so konfiguriert ist, dass sie UV-Licht während fotolithografischer EUV-Prozesse reflektiert. Das Verfahren umfasst außerdem ein Herstellen einer Pufferschicht auf der reflektierenden Multischicht und ein Herstellen einer Absorptionsschicht auf der Pufferschicht. Die Absorptionsschicht ist so konfiguriert, dass sie UV-Licht während der fotolithografischen EUV-Prozesse absorbiert. Das Verfahren umfasst weiterhin ein Freilegen eines äußeren Teils einer Oberseite der Pufferschicht durch Entfernen eines äußeren Teils der Absorptionsschicht mit einem ersten Ätzprozess. Das Verfahren umfasst weiterhin ein Erzeugen von Gräben in der Absorptionsschicht mit einem zweiten Ätzprozess.
  • Verschiedene Ausführungsformen, die vorstehend beschrieben worden sind, können kombiniert werden, um weitere Ausführungsformen bereitzustellen. Aspekte der Ausführungsformen können bei Bedarf modifiziert werden, um Prinzipien verschiedener Patente, Anmeldungen und Veröffentlichungen zum Bereitstellen noch weiterer Ausführungsformen zu nutzen.
  • Diese und weitere Änderungen können an den Ausführungsformen vor dem Hintergrund der vorstehenden detaillierten Beschreibung vorgenommen werden. Im Allgemeinen sollten in den nachfolgenden Ansprüchen die verwendeten Begriffe nicht so ausgelegt werden, dass sie die Ansprüche der speziellen Ausführungsformen beschränken, die in der Patentbeschreibung und den Ansprüchen offenbart sind, sondern sie sollten so ausgelegt werden, dass sie alle möglichen Ausführungsformen zusammen mit dem vollen Schutzumfang von Äquivalenten umfassen, für die diese Ansprüche gelten. Dementsprechend sind die Ansprüche nicht durch die Beschreibung beschränkt.

Claims (20)

  1. Fotolithografische EUV-Maske (EUV: extremes Ultraviolett) mit: einem Substrat; einer reflektierenden Multischicht, die auf dem Substrat angeordnet ist und derart eingerichtet ist, dass sie UV-Licht (UV: Ultraviolett) während fotolithografischer EUV-Prozesse reflektiert; einer Pufferschicht, die auf der reflektierenden Multischicht angeordnet ist; einer Absorptionsschicht, die auf der Pufferschicht angeordnet ist und derart eingerichtet ist, dass sie während der fotolithografischen EUV-Prozesse UV-Licht absorbiert, wobei mindestens ein äußerer Rand der Absorptionsschicht von einem entsprechenden äußeren Rand der Pufferschicht seitlich beabstandet ist, sodass ein peripherer Teil einer Oberseite der Pufferschicht freiliegt.
  2. Fotolithografische EUV-Maske nach Anspruch 1, wobei der mindestens eine äußere Rand der Absorptionsschicht von dem entsprechenden äußeren Rand der Pufferschicht mit einem Abstand von 0,2 mm bis 2 mm seitlich beabstandet ist.
  3. Fotolithografische EUV-Maske nach Anspruch 1 oder 2, wobei die Absorptionsschicht eine Mehrzahl von Gräben aufweist, die die Oberseite der Pufferschicht freilegen.
  4. Fotolithografische EUV-Maske nach einem der vorhergehenden Ansprüche, wobei die Pufferschicht Ruthenium aufweist.
  5. Fotolithografische EUV-Maske nach einem der vorhergehenden Ansprüche, wobei die Absorptionsschicht Tantal aufweist.
  6. Fotolithografische EUV-Maske nach einem der vorhergehenden Ansprüche, wobei eine Querabmessung der Absorptionsschicht kleiner als eine Querabmessung der Pufferschicht entlang ein und derselben Richtung ist.
  7. Fotolithografische EUV-Maske nach einem der vorhergehenden Ansprüche, wobei die reflektierende Multischicht eine Mehrzahl von Paaren von Schichten aufweist, die derart eingerichtet sind, dass sie während der fotolithografischen EUV-Prozesse das UV-Licht gemeinsam reflektieren.
  8. Verfahren mit den folgenden Schritten: Herstellen, auf einem Substrat, einer reflektierenden Multischicht, die derart eingerichtet ist, dass sie während fotolithografischer EUV-Prozesse (EUV: extremes Ultraviolett) UV-Licht (UV: Ultraviolett) reflektiert; Herstellen einer Pufferschicht auf der reflektierenden Multischicht; und Herstellen, auf der Pufferschicht, einer Absorptionsschicht, die eine Querabmessung hat, die kleiner als eine Querabmessung der Pufferschicht entlang ein und derselben Richtung ist, wobei die Absorptionsschicht derart eingerichtet ist, dass sie während der fotolithografischen EUV-Prozesse UV-Licht absorbiert.
  9. Verfahren nach Anspruch 8, wobei das Herstellen der Absorptionsschicht Folgendes umfasst: Abscheiden der Absorptionsschicht auf der Pufferschicht; und Freilegen eines Außenumfangs einer Oberseite der Pufferschicht durch Entfernen eines äußeren Teils der Absorptionsschicht.
  10. Verfahren nach Anspruch 8 oder 9, das weiterhin Folgendes umfasst: Abscheiden eines Fotoresists auf der Absorptionsschicht, auf Seitenwänden der Absorptionsschicht und auf dem Außenumfang der Oberseite der Pufferschicht; Strukturieren des Fotoresists; und Erzeugen von Gräben in der Absorptionsschicht durch Durchführen einer Plasmaätzung, während das Fotoresist die Seitenwände der Absorptionsschicht bedeckt.
  11. Verfahren nach Anspruch 10, wobei die Plasmaätzung unter Verwendung eines Chlorgases erfolgt.
  12. Verfahren nach Anspruch 10 oder 11, wobei bei der Plasmaätzung die Absorptionsschicht mit einer höheren Geschwindigkeit als die Pufferschicht selektiv geätzt wird.
  13. Verfahren nach einem der Ansprüche 8 bis 12, wobei das Substrat Siliziumdioxid aufweist.
  14. Verfahren nach einem der Ansprüche 8 bis 13, wobei die reflektierende Multischicht eine Mehrzahl von Paaren von Schichten aufweist, die derart eingerichtet sind, dass sie während der fotolithografischen EUV-Prozesse das UV-Licht gemeinsam reflektieren.
  15. Verfahren mit den folgenden Schritten: Herstellen, auf einem Substrat, einer reflektierenden Multischicht, die derart eingerichtet ist, dass sie während fotolithografischer EUV-Prozesse (EUV: extremes Ultraviolett) UV-Licht (UV: Ultraviolett) reflektiert; Herstellen einer Pufferschicht auf der reflektierenden Multischicht; Herstellen einer Absorptionsschicht auf der Pufferschicht, wobei die Absorptionsschicht derart eingerichtet ist, dass sie während der fotolithografischen EUV-Prozesse UV-Licht absorbiert; Freilegen eines äußeren Teils einer Oberseite der Pufferschicht durch Entfernen eines äußeren Teils der Absorptionsschicht mit einem ersten Ätzprozess; und Erzeugen von Gräben in der Absorptionsschicht mit einem zweiten Ätzprozess.
  16. Verfahren nach Anspruch 15, das weiterhin Folgendes umfasst: Bedecken von Seitenwänden der Absorptionsschicht mit einem Fotoresist nach dem ersten Ätzprozess; und Durchführen des zweiten Ätzprozesses, während das Fotoresist die Seitenwände der Absorptionsschicht bedeckt.
  17. Verfahren nach Anspruch 16, wobei das Bedecken der Seitenwände der Absorptionsschicht mit dem Fotoresist ein Bedecken des äußeren Teils der Oberseite der Pufferschicht mit dem Fotoresist umfasst.
  18. Verfahren nach einem der Ansprüche 15 bis 17, wobei bei dem zweiten Ätzprozess die Absorptionsschicht mit einer höheren Geschwindigkeit als die Pufferschicht selektiv geätzt wird.
  19. Verfahren nach einem der Ansprüche 15 bis 18, wobei der zweite Ätzprozesses ein Plasmaätzprozess ist.
  20. Verfahren nach Anspruch 19, wobei der Plasmaätzprozess ein Ätzen der Absorptionsschicht mit einem Chlorgas umfasst.
DE102020112776.6A 2020-04-30 2020-05-12 Maske für extremes-ultraviolett-fotolithografie Granted DE102020112776A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/863,939 US11294271B2 (en) 2020-04-30 2020-04-30 Mask for extreme ultraviolet photolithography
US16/863,939 2020-04-30

Publications (1)

Publication Number Publication Date
DE102020112776A1 true DE102020112776A1 (de) 2021-11-04

Family

ID=76604707

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020112776.6A Granted DE102020112776A1 (de) 2020-04-30 2020-05-12 Maske für extremes-ultraviolett-fotolithografie

Country Status (5)

Country Link
US (2) US11294271B2 (de)
KR (1) KR102467277B1 (de)
CN (1) CN113589640A (de)
DE (1) DE102020112776A1 (de)
TW (1) TWI725917B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11294271B2 (en) * 2020-04-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for extreme ultraviolet photolithography

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004013459A1 (de) 2003-03-19 2004-11-18 Hoya Corp. Verfahren zur Herstellung einer reflektierenden Maske und Verfahren zur Herstellung eines Halbleiterbauelements
DE102004038548A1 (de) 2004-08-06 2006-03-16 Schott Ag Verfahren zur Herstellung eines Maskenblank für photolithographische Anwendungen und Maskenblank
DE102013104390A1 (de) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren für die Herstellung einer Lithografiemaske
DE112009000965B4 (de) 2008-05-09 2020-08-20 Hoya Corp. Reflektive Maske und Verfahren zum Herstellen einer reflektiven Maske

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7049035B2 (en) * 2003-11-17 2006-05-23 International Business Machines Corporation Method for controlling linewidth in advanced lithography masks using electrochemistry
JP6460619B2 (ja) 2012-03-12 2019-01-30 Hoya株式会社 反射型マスクブランク及び反射型マスクの製造方法
US9046781B2 (en) * 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask
KR101567057B1 (ko) 2013-11-15 2015-11-09 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
TWI623805B (zh) 2015-08-17 2018-05-11 S&S技術股份有限公司 用於極紫外線微影之空白遮罩及使用其之光罩
US11249384B2 (en) 2018-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
TW202008073A (zh) 2018-07-19 2020-02-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
US10809613B2 (en) 2018-09-25 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
KR20200013567A (ko) * 2018-11-19 2020-02-07 부경호 극자외선(euv) 노광에 사용되는 마스크 및 극자외선 노광방법
US11294271B2 (en) * 2020-04-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for extreme ultraviolet photolithography

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004013459A1 (de) 2003-03-19 2004-11-18 Hoya Corp. Verfahren zur Herstellung einer reflektierenden Maske und Verfahren zur Herstellung eines Halbleiterbauelements
DE102004038548A1 (de) 2004-08-06 2006-03-16 Schott Ag Verfahren zur Herstellung eines Maskenblank für photolithographische Anwendungen und Maskenblank
DE112009000965B4 (de) 2008-05-09 2020-08-20 Hoya Corp. Reflektive Maske und Verfahren zum Herstellen einer reflektiven Maske
DE102013104390A1 (de) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren für die Herstellung einer Lithografiemaske

Also Published As

Publication number Publication date
TWI725917B (zh) 2021-04-21
US20220221785A1 (en) 2022-07-14
US11294271B2 (en) 2022-04-05
US20210341829A1 (en) 2021-11-04
KR102467277B1 (ko) 2022-11-14
TW202143296A (zh) 2021-11-16
US11815805B2 (en) 2023-11-14
KR20210134477A (ko) 2021-11-10
CN113589640A (zh) 2021-11-02

Similar Documents

Publication Publication Date Title
DE102013104390B4 (de) Verfahren für die Herstellung einer Lithografiemaske
DE10346561B4 (de) Verfahren zur Herstellung einer Photomaske mit einer Transparenzeinstellschicht
DE102014222028A1 (de) Maskenstrukturen und Herstellungsverfahren
DE102015106624A1 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für 1D-Struktur
DE102006015722A1 (de) System und Verfahren zur Photolithographie bei der Halbleiter-Herstellung
DE102017122398B4 (de) Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage
DE112005002469B4 (de) Festphasenimmersionslinsenlithographie
DE102020114852A1 (de) Lithographiemaske mit amorpher abdeckschicht
DE4138999A1 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE102020112776A1 (de) Maske für extremes-ultraviolett-fotolithografie
DE10195745T5 (de) Eine neue chromfreie Wechselmaske zur Produktion von Halbleiter-Bauelement Features
DE10349087B4 (de) Verfahren zur Herstellung von Halbton-Phasenverschiebungsmasken-Rohlingen
DE102020102450B4 (de) EUV-Fotomasken und Herstellungsverfahren von diesen
DE102015112858A1 (de) Maske mit Mehrschichtstruktur und Herstellungsverfahren unter Verwendung einer solchen
EP2010964A1 (de) Projektionsbelichtungssystem und verwendung desselben
DE102004031079B4 (de) Verfahren zur Herstellung einer Reflexionsmaske
DE102016209765B4 (de) Fotomasken für die Extrem-Ultraviolett-Lithografie sowie deren Herstellungsverfahren
DE10062660B4 (de) Verfahren zur Herstellung einer Siliciumoxynitrid-ARC-Schicht über einer Halbleiterstruktur
DE102022109191A1 (de) Zwischengitterartiger absorber für extrem-ultraviolettmaske
DE102007011248A1 (de) Prozesssteuersysteme und Verfahren
DE102020129846A1 (de) Euv-fotomasken und herstellungsverfahren dafür
DE102019009170B3 (de) Verfahren zum durchführen eines lithografieprozesses und lithografieprozess-überwachungsverfahren
DE102007015499A1 (de) Effizienzsteigerung für die Lithographie von Kontaktdurchführungen und Kontakten unter Anwendung einer Doppelbelichtung auf der Grundlage von linienartigen Strukturelementen
DE102022122378A1 (de) Euv-maske mit abdeckungsschicht
DE102004017131B4 (de) Lithographiemaske für die Herstellung von Halbleiterbauelementen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: G03F0001220000

Ipc: G03F0001240000

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division