DE102018116750A1 - Halbleitervorrichtung und Herstellungsverfahren - Google Patents

Halbleitervorrichtung und Herstellungsverfahren Download PDF

Info

Publication number
DE102018116750A1
DE102018116750A1 DE102018116750.4A DE102018116750A DE102018116750A1 DE 102018116750 A1 DE102018116750 A1 DE 102018116750A1 DE 102018116750 A DE102018116750 A DE 102018116750A DE 102018116750 A1 DE102018116750 A1 DE 102018116750A1
Authority
DE
Germany
Prior art keywords
wafer
layer
semiconductor device
connection structure
bonding layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018116750.4A
Other languages
English (en)
Inventor
Ming-Fa Chen
Chen-Hua Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/025,331 external-priority patent/US10727217B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018116750A1 publication Critical patent/DE102018116750A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/074Stacked arrangements of non-apertured devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60015Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using plate connectors, e.g. layer, film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16265Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/278Post-treatment of the layer connector
    • H01L2224/27848Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73209Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73259Bump and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83191Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92124Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92224Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP]
    • H01L2225/06586Housing with external bump or bump-like connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19104Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device on the semiconductor or solid-state device, i.e. passive-on-chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es sind Halbleitervorrichtungen vorgesehen, bei denen eine erste Halbleitervorrichtung mit einer zweiten Halbleitervorrichtung gebondet wird. Das Bonden kann auf Gateebene, Gatekontaktebene, einer ersten Metallisierungsschicht, einer mittleren Metallisierungsschicht oder einer oberen Metallisierungsschicht von entweder der ersten Halbleitervorrichtung oder der zweiten Halbleitervorrichtung erfolgen.

Description

  • BEANSPRUCHUNG DER PRIORITÄT UND QUERVERWEISE
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung mit der Seriennummer 62/565 557 , eingereicht am 29. September 2017, mit dem Titel „Semiconductor Device with Stacked Semiconductor Dies and Method of Manufacture“, die hiermit durch Bezugnahme aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen verwendet, beispielsweise PCs, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden typischerweise hergestellt, indem nacheinander isolierende, oder dielektrische Schichten, leitfähige Schichten und Halbleiterschichten aus verschiedenen Materialien über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten unter Verwendung von Lithographie- und Ätzverfahren strukturiert werden, um Schaltungskomponenten und -elemente darauf auszubilden.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z.B. Transistoren, Dioden, Widerständen, Kondensatoren etc.) durch kontinuierliche Verkleinerung der minimalen Merkmalsgröße, was es ermöglicht, mehr Komponenten in eine vorgegebene Fläche zu integrieren. Wenn jedoch die minimalen Merkmalsgrößen reduziert werden, entstehen zusätzliche Probleme in jedem der verwendeten Verfahren, und diese zusätzlichen Probleme sollten gelöst werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • Die 1A - 1B zeigen ein Ausbilden einer ersten Bondschicht gemäß einigen Ausführungsformen.
    • 2 zeigt eine zweite Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 3 zeigt ein Bonden der ersten Halbleitervorrichtung mit der zweiten Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 4 zeigt ein Anordnen eines Füllmaterials gemäß einigen Ausführungsformen.
    • 5 zeigt ein Planarisieren des Füllmaterials gemäß einigen Ausführungsformen.
    • 6 zeigt ein Ausbilden einer ersten Verbindungsschicht gemäß einigen Ausführungsformen.
    • 7 zeigt ein Bonden einer vierten Halbleitervorrichtung gemäß einigen Ausführungsformen.
    • 8 zeigt ein Bonden einer vierten Halbleitervorrichtung mit einer Füllmaterial-Durchkontaktierung gemäß einigen Ausführungsformen.
    • 9 zeigt ein Ausbilden einer zweiten Verbindungsschicht gemäß einigen Ausführungsformen.
    • Die 10A - 10D zeigen Bondstellen einer ersten Wafer-Metallisierungsschicht gemäß einigen Ausführungsformen.
    • Die 11A - 11H zeigen Bondstellen einer mittleren Wafer-Metallisierungsschicht gemäß einigen Ausführungsformen.
    • Die 12A - 12H zeigen Bondstellen einer oberen Wafer-Metallisierungsschicht gemäß einigen Ausführungsformen.
    • Die 13A - 13H zeigen Bondstellen eines ersten Wafer-Gatekontakts gemäß einigen Ausführungsformen.
    • Die 14A - 14H zeigen Bondstellen eines ersten Wafer-Gatestapels gemäß einigen Ausführungsformen.
    • Die 15A - 15H zeigen Wafer-Wafer-Bondstellen einer ersten Wafer-Metallisierungsschicht gemäß einigen Ausführungsformen.
    • Die 16A - 16H zeigen Wafer-Wafer-Bondstellen einer mittleren Wafer-Metallisierungsschicht gemäß einigen Ausführungsformen.
    • Die 17A - 17G zeigen Wafer-Wafer-Bondstellen einer oberen Wafer-Metallisierungsschicht gemäß einigen Ausführungsformen.
    • Die 18A - 18H zeigen Wafer-Wafer-Bondstellen eines ersten Wafer-Gatekontakts gemäß einigen Ausführungsformen.
    • Die 19A - 19H zeigen Wafer-Wafer-Bondstellen eines ersten Wafer-Gatestapels gemäß einigen Ausführungsformen.
    • Die 20A - 20B zeigen ein Schmelzbondverfahren gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten Merkmal und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Merkmals oder einer Vorrichtung mit einem oder mehreren anderen Merkmalen oder Vorrichtungen zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.
  • Es werden nun Ausführungsformen in Bezug auf das Bonden von Halbleitervorrichtungen mit anderen Halbleitervorrichtungen beschrieben, um ein System-on-Chip in einer System-on-Chip-Konfiguration auszubilden. Ausführungsformen können jedoch in einer großen Vielzahl von Vorrichtungen und Herstellungsverfahren verwendet werden.
  • In Bezug auf 1A ist eine erste Halbleitervorrichtung 100, die ein nicht fertiggestellter Wafer sein kann, mit einem ersten Wafer-Substrat 101 und aktiven Vorrichtungen gezeigt, die zumindest teilweise in dem ersten Wafer-Substrat 101 angeordnet sind. In einer Ausführungsform kann das erste Wafer-Substrat 101 ein Siliziumsubstrat sein, obwohl andere Substrate, wie zum Beispiel Halbleiter-auf-Isolator (SOI), verspannter SOI und Silizium-Germanium-auf-Isolator, verwendet werden können. Das erste Wafer-Substrat 101 kann aus einem p-Halbleiter bestehen, obwohl es in weiteren Ausführungsformen ein n-Halbleiter sein könnte.
  • Das erste Wafer-Substrat 101 kann einen Bulk-Bereich 102 umfassen, der eine körperliche und strukturelle Unterstützung für das Herstellungsverfahren bereitstellt. Zusätzlich umfasst das erste Wafer-Substrat 101 auch einen aktiven Bereich 104, der verwendet wird, um aktive und passive Vorrichtungen auszubilden. Es können jedoch auch beliebige geeignete Bereiche verwendet werden.
  • Erste Gräben können als ein anfänglicher Schritt bei der nachfolgenden Ausbildung von ersten Wafer-Isolationsbereichen 103 (nicht getrennt in der Ansicht von 1A gezeigt) ausgebildet werden. Die ersten Gräben können unter Verwendung einer Maskierungsschicht zusammen mit einem geeigneten Ätzverfahren ausgebildet werden. Nachdem die Maskierungsschicht ausgebildet und strukturiert worden ist, werden die ersten Gräben in dem ersten Wafer-Substrat 101 ausgebildet. Das freigelegte erste Wafer-Substrat 101 kann durch ein geeignetes Verfahren wie z. B. reaktives Ionenätzen (RIE) entfernt werden, um die ersten Gräben in dem ersten Wafer-Substrat 101 auszubilden, obwohl ein beliebiges geeignetes Verfahren verwendet werden kann. In einer Ausführungsform können die ersten Gräben so ausgebildet werden, dass sie eine erste Tiefe von weniger als etwa 5.000 Å von der Oberfläche aus des ersten Wafer-Substrats 101 aufweisen, beispielsweise etwa 2.500 Å.
  • Zusätzlich zu dem Ausbilden der ersten Gräben bildet das Maskierungs- und Ätzverfahren zusätzlich Finnen (nicht als von dem ersten Wafer-Substrat 101 getrennt gezeigt) aus jenen Abschnitten des ersten Wafer-Substrats 101 aus, die nicht entfernt verbleiben. Diese Finnen können, wie unten beschrieben wird, verwendet werden, um den Kanalbereich von Multi-Gate-FinFET-Transistoren zu bilden.
  • Nachdem die ersten Gräben und die Finnen ausgebildet worden sind, können einige oder alle der ersten Gräben mit einem Dielektrikum gefüllt werden und das Dielektrikum kann in den ersten Gräben vertieft werden, um die ersten Isolationsbereiche auszubilden (obwohl das Vertiefen in der Querschnittsansicht von 1A nicht sichtbar ist). Das dielektrische Material kann ein Oxidmaterial, ein hochdichtes Plasma-(HDP)-Oxid oder dergleichen sein. Das Dielektrikum kann nach einem optionalen Reinigen und Auskleiden der ersten Gräben 103 entweder unter Verwendung eines chemischen Gasphasenabscheidungs- (CVD) -Verfahrens (z. B. des HARP-Verfahrens), eines hochdichten Plasma-CVD-Verfahrens oder eines anderen geeigneten Ausbildungsverfahrens ausgebildet werden, das im Stand der Technik bekannt ist.
  • Die ersten Gräben können gefüllt werden, indem die ersten Gräben und das erste Wafer-Substrat 101 mit dem Dielektrikum überfüllt werden und dann das überschüssige Material außerhalb der ersten Gräben und der Finnen durch ein geeignetes Verfahren entfernt wird, wie chemisch-mechanisches Polieren (CMP), ein Ätzen, eine Kombination von diesen oder dergleichen. In einer Ausführungsform entfernt das Entfernungsverfahren auch jegliches Dielektrikum, das sich über den Finnen befindet, so dass das Entfernen des Dielektrikums die Oberfläche der Finnen für weitere Verfahrensschritte freilegt.
  • Nachdem die ersten Gräben mit dem Dielektrikum gefüllt worden sind, kann das Dielektrikum dann von der Oberfläche der Finnen weg vertieft werden, um die ersten Wafer-Isolationsbereiche 103 auszubilden. Das Vertiefen kann durchgeführt werden, um wenigstens einen Teil der Seitenwände der Finnen benachbart zu der oberen Fläche der Finnen freizulegen. Das Dielektrikum kann unter Verwendung eines Nassätzens durch Eintauchen der oberen Fläche der Finnen 105 in ein Ätzmittel wie HF vertieft werden, obwohl andere Ätzmittel wie H2 und andere Verfahren wie beispielsweise ein reaktives Ionenätzen, ein Trockenätzen mit Ätzmitteln wie NH3/NF3, chemische Oxidentfernung oder trockenchemische Reinigung verwendet werden können. Das Dielektrikum kann in einem Abstand von der Oberfläche der Finnen von etwa 50 Å bis etwa 500 Å, beispielsweise etwa 400 Å, vertieft werden. Zusätzlich kann die Vertiefung auch jegliches zurück gebliebene Dielektrikum entfernen, das sich über den Finnen befindet, um sicherzustellen, dass die Finnen für die weitere Verarbeitung freigelegt sind.
  • Nachdem die ersten Isolationsbereiche ausgebildet worden sind, können ein Dummy-Gatedielektrikum, eine Dummy-Gateelektrode über dem Dummy-Gatedielektrikum (die beide in 1A aufgrund der weiteren Verarbeitung nicht gezeigt sind) und erste Abstandshalter (ebenfalls aus Gründen der Klarheit nicht gezeigt) über jeder der Finnen ausgebildet werden. In einer Ausführungsform kann das Dummy-Gatedielektrikum durch thermische Oxidation, chemische Gasphasenabscheidung, Sputtern oder irgendwelche anderen in der Technik bekannten und verwendeten Verfahren zum Ausbilden eines Gatedielektrikums ausgebildet werden und aus einem Material wie Siliziumdioxid oder Siliziumoxynitrid ausgebildet sein.
  • Die Dummy-Gateelektrode kann ein leitfähiges Material umfassen und kann aus einer Gruppe ausgewählt sein, die Polysilizium, W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, Kombinationen von diesen oder dergleichen umfasst, und kann unter Verwendung eines Verfahrens wie beispielsweise chemischer Gasphasenabscheidung (CVD), Sputterabscheidung oder anderer Techniken ausgebildet werden, die in der Technik zum Abscheiden von leitfähigen Materialien bekannt sind und verwendet werden. Die obere Fläche der Dummy-Gateelektrode kann eine nicht-planare obere Fläche aufweisen und kann vor dem Strukturieren der Dummy-Gateelektrode oder dem Gate-Ätzen planarisiert werden.
  • Nachdem das Dummy-Gatedielektrikum und die Dummy-Gateelektrode ausgebildet sind, können sie strukturiert werden, um eine Reihe von Stapeln über den Finnen auszubilden. Die Stapel definieren mehrere Kanalbereiche, die auf beiden Seiten der Finnen unter dem Dummy-Gatedielektrikum angeordnet sind. Die Stapel können durch Abscheiden und Strukturieren einer Gatemaske (nicht separat in 1A gezeigt) auf der Dummy-Gateelektrode unter Verwendung von zum Beispiel Abscheidungs- und Photolithographietechniken ausgebildet werden, die in der Technik bekannt sind. Die Dummy-Gateelektrode und das Dummy-Gatedielektrikum können unter Verwendung eines Trockenätzverfahrens geätzt werden, um die strukturierten Stapel auszubilden.
  • Nachdem die Stapel strukturiert wurden, können die ersten Abstandshalter ausgebildet werden. Die ersten Abstandshalter können an gegenüberliegenden Seiten der Stapel ausgebildet werden. Die ersten Abstandshalter werden typischerweise durch deckende Abscheidung einer Abstandsschicht auf der zuvor ausgebildeten Struktur ausgebildet. Die Abstandsschicht kann SiN, Oxynitrid, SiC, SiON, SiOCN, SiOC, Oxid, SiOCN (KN1), SiOCN (RP1), SiOCN (RP2), SiOC (HA2), SiOC (HA3), Kombinationen von diesen oder dergleichen umfassen. Die ersten Abstandshalter können unter Verwendung eines Abscheidungsverfahrens wie plasmaunterstützter Atomlagenabscheidung (PEALD), thermischer Atomlagenabscheidung (thermischer ALD), plasmaunterstützter chemischer Gasphasenabscheidung (PECVD) abgeschieden werden und der Ausbildung kann ein Aushärtungsverfahren folgen. Es können jedoch alle geeigneten Abscheidungs- und Verfahrensbedingungen verwendet werden. Die ersten Abstandshalter können dann strukturiert werden, beispielsweise durch ein oder mehrere Ätzungen, um die Abstandsschicht von den horizontalen Flächen der Struktur zu entfernen, um die ersten Abstandshalter auszubilden.
  • Nachdem die ersten Abstandshalter ausgebildet worden sind, werden Abschnitte der Finnen, die nicht durch das Dummy-Gatedielektrikum, die Dummy-Gateelektrode und die ersten Abstandshalter geschützt sind, entfernt, und erste Wafer-Source/Drain-Bereiche 105 werden nachgezüchtet. Das Entfernen der Finnen von jenen Bereichen, die nicht durch das Dummy-Gatedielektrikum, die Dummy-Gateelektrode und die ersten Abstandshalter geschützt sind, kann durch reaktives Ionenätzen (RIE) unter Verwendung der Stapel und der ersten Abstandshalter als Hartmasken oder durch irgendein anderes geeignetes Entfernungsverfahren durchgeführt werden.
  • Nachdem diese Abschnitte der Finnen entfernt worden sind, wird eine Hartmaske (nicht separat gezeigt) angeordnet und strukturiert, um die Dummy-Gateelektrode abzudecken, um Wachstum zu verhindern, und die ersten Wafer-Source/Drain-Bereiche 105 können in Kontakt mit jeder der Finnen nachgezüchtet werden. In einer Ausführungsform können die ersten Wafer-Source/Drain-Bereiche 105 nachgezüchtet werden und in einigen Ausführungsformen können die ersten Wafer-Source/Drain-Bereiche 105 so nachgezüchtet werden, dass sie einen Stressor bilden, der eine Spannung auf die Kanalbereiche der Finnen unter den Stapeln ausübt. In einer Ausführungsform, in der die Finnen Silizium umfassen und der FinFET eine p-Vorrichtung ist, können die ersten Wafer-Source/Drain-Bereiche 105 durch ein selektives Epitaxieverfahren mit einem Material wie Silizium oder auch einem Material wie Silizium-Germanium, das eine andere Gitterkonstante als die Kanalbereiche hat, nachgezüchtet werden. Das epitaktische Wachstumsverfahren kann Vorläufer wie Silan, Dichlorsilan, German und dergleichen verwenden und kann zwischen etwa 5 Minuten und etwa 120 Minuten dauern, beispielsweise etwa 30 Minuten.
  • Nachdem die ersten Wafer-Source/Drain-Bereiche 105 ausgebildet sind, können Dotierstoffe in die ersten Wafer-Source/Drain-Bereiche 105 implantiert werden, indem solche geeigneten Dotierstoffe implantiert werden, die zu den Dotierstoffen in den Finnen passen. Beispielsweise können p-Dotierstoffe wie Bor, Gallium, Indium oder dergleichen implantiert werden, um eine PMOS-Vorrichtung auszubilden. Alternativ können n-Dotierstoffe wie Phosphor, Arsen, Antimon oder dergleichen implantiert werden, um eine NMOS-Vorrichtung auszubilden. Diese Dotierstoffe können unter Verwendung der Stapel und der ersten Abstandshalter als Masken implantiert werden. Man beachte, dass ein Fachmann erkennen wird, dass viele andere Verfahren, Schritte oder dergleichen verwendet werden können, um die Dotierstoffe zu implantieren. Beispielsweise wird der Fachmann erkennen, dass eine Mehrzahl von Implantierungen unter Verwendung verschiedener Kombinationen von Abstandshaltern und Auskleidungen durchgeführt werden können, um Source/Drain-Bereiche auszubilden, die eine spezifische Form oder Charakteristik aufweisen, die für einen bestimmten Zweck geeignet ist. Jedes dieser Verfahren kann verwendet werden, um die Dotierstoffe zu implantieren, und die obige Beschreibung soll die vorliegenden Ausführungsformen nicht auf die oben gezeigten Schritte einschränken.
  • Nachdem die ersten Wafer-Source/Drain-Bereiche 105 ausgebildet worden sind, wird eine erste Wafer-Zwischenschicht-Dielektrikums- (ILD) -Schicht 107 über den Stapeln und den ersten Wafer-Source/Drain-Bereichen 105 ausgebildet. Die erste Wafer-ILD-Schicht 107 kann ein Material wie etwa Borphosphorsilikatglas (BPSG) umfassen, obwohl beliebige geeignete Dielektrika verwendet werden können. Die erste Wafer-ILD-Schicht 107 kann unter Verwendung eines Verfahrens wie etwa PECVD ausgebildet werden, obwohl andere Verfahren, wie etwa LPCVD, alternativ verwendet werden können. Die erste Wafer-ILD-Schicht 107 kann mit einer Dicke zwischen etwa 100 Å und etwa 3000 Å ausgebildet werden. Nach dem Ausbilden kann die erste Wafer-ILD-Schicht 107 unter Verwendung beispielsweise eines chemisch-mechanischen Polierverfahrens planarisiert werden oder unter Verwendung beispielsweise eines Maskierungs- und Ätzverfahrens strukturiert werden, um das Material der Dummy-Gateelektrode freizulegen.
  • Nachdem die erste Wafer-ILD-Schicht 107 ausgebildet ist, wird ein Entfernen und Ersetzen des Materials der Dummy-Gateelektrode und des Dummy-Gatedielektrikums durchgeführt. In einer Ausführungsform können die Dummy-Gateelektrode und das Dummy-Gatedielektrikum entfernt werden, indem z. B. ein Nass- oder Trockenätzverfahren verwendet wird, das Ätzmittel verwendet, die für das Material der Dummy-Gateelektrode und des Dummy-Gatedielektrikums selektiv sind. Jedoch kann jedes geeignete Entfernungsverfahren verwendet werden.
  • Nachdem die erste Wafer-ILD-Schicht 107 ausgebildet worden ist, werden eine Mehrzahl von Schichten für einen Gatestapel (kollektiv als erster Wafer-Gatestapel 109 in 1A bezeichnet) an ihrer Stelle abgeschieden, einschließlich eines ersten Dielektrikums, einer ersten leitfähigen Schicht, eines ersten Metallmaterials, einer Austrittsarbeitsschicht und einer ersten Sperrschicht. In einer Ausführungsform ist das erste Dielektrikum ein High-k-Material wie HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, Ta2O5, Kombinationen von diesen oder dergleichen, das durch ein Verfahren wie Atomlagenabscheidung, chemische Gasphasenabscheidung oder dergleichen abgeschieden wird. Das erste Dielektrikum kann bis zu einer Dicke zwischen etwa 5 Å und etwa 200 Å abgeschieden werden, obwohl jedes geeignete Material und jede geeignete Dicke verwendet werden können.
  • Die erste leitfähige Schicht kann aus einem Metallsilizidmaterial wie etwa Titansiliziumnitrid (TSN) bestehen. In einer Ausführungsform kann die erste leitfähige Schicht unter Verwendung eines Abscheidungsverfahrens wie chemischer Gasphasenabscheidung auf eine Dicke zwischen etwa 5 Å und etwa 30 Å ausgebildet werden, obwohl jedes geeignete Abscheidungsverfahren, wie etwa eine Abscheidung und anschließende Silizidierung, verwendet werden kann. Es kann jedoch jede geeignete Dicke verwendet werden.
  • Das erste Metallmaterial kann benachbart zu dem ersten Dielektrikum als Sperrschicht ausgebildet werden und kann aus einem metallischen Material wie TaN, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ru, Mo, WN, anderen Metalloxiden, Metallnitriden, Metallsilikaten, Übergangsmetalloxiden, Übergangsmetallnitriden, Übergangsmetallsilikaten, Oxynitriden von Metallen, Metallaluminaten, Zirkoniumsilikat, Zirkoniumaluminat, Kombinationen davon oder dergleichen bestehen. Das erste Metallmaterial kann unter Verwendung eines Abscheidungsverfahrens wie etwa Atomlagenabscheidung, chemischer Gasphasenabscheidung, Sputtern oder dergleichen auf eine Dicke von zwischen etwa 5 Å und etwa 200 Å abgeschieden werden, obwohl irgendein geeignetes Abscheidungsverfahren oder irgendeine geeignete Dicke verwendet werden können.
  • Die Austrittsarbeitsschicht wird über dem ersten Metallmaterial ausgebildet und das Material für die Austrittsarbeitsschicht kann basierend auf dem Typ der gewünschten Vorrichtung ausgewählt werden. Beispielhafte p-Austrittsarbeitsmetalle, die verwendet werden können, umfassen Al, TiAlC, TiN, TaN, Ru, Mo, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, andere geeignete p-Austrittsarbeitsmaterialien oder Kombinationen davon. Beispielhafte n-Austrittsarbeitsmetalle, die verwendet werden können, umfassen Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, andere geeignete n-Austrittsarbeitsmaterialien oder Kombinationen davon. Ein Austrittsarbeitswert ist mit der Materialzusammensetzung der Austrittsarbeitsschicht verbunden und somit wird das Material der Austrittsarbeitsschicht so gewählt, dass sein Austrittsarbeitswert so abgestimmt ist, dass eine gewünschte Schwellenspannung Vt in der Vorrichtung erreicht wird, die in dem jeweiligen Bereich ausgebildet werden soll. Die eine oder mehreren Austrittsarbeitsschichten können durch CVD, PVD und/oder andere geeignete Verfahren auf eine Dicke zwischen etwa 5 Å und etwa 50 Å abgeschieden werden.
  • Die erste Sperrschicht kann benachbart zu der Austrittsarbeitsschicht ausgebildet werden und kann in einer bestimmten Ausführungsform dem ersten Metallmaterial ähneln. Zum Beispiel kann die erste Sperrschicht aus einem metallischen Material wie TiN, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TaN, Ru, Mo, WN, anderen Metalloxiden, Metallnitriden, Metallsilikaten, Übergangsmetalloxiden, Übergangsmetallnitriden, Übergangsmetallsilikaten, Oxynitriden von Metallen, Metallaluminaten, Zirkoniumsilikat, Zirkoniumaluminat, Kombinationen davon oder dergleichen bestehen. Zusätzlich kann die erste Sperrschicht unter Verwendung eines Abscheidungsverfahrens wie Atomlagenabscheidung, chemischer Gasphasenabscheidung, Sputtern oder dergleichen auf eine Dicke zwischen etwa 5 Å und etwa 200 Å abgeschieden werden, obwohl irgendein geeignetes Abscheidungsverfahren oder irgendeine geeignete Dicke verwendet werden können.
  • EINE Metallschicht kann auf der Austrittsarbeitsschicht abgeschieden werden und kann aus einem Material bestehen, das sowohl zur Verwendung als Keimschicht zur Unterstützung eines nachfolgenden Füllverfahrens als auch als Material geeignet ist, um das Blockieren oder Verringern des Transports von Fluoratomen in die Austrittsarbeitsschicht zu unterstützen. In einer besonderen Ausführungsform kann die Metallschicht aus kristallinem Wolfram (W) bestehen, das ohne Anwesenheit von Fluoratomen beispielsweise unter Verwendung eines Atomlagenabscheidungsverfahrens ausgebildet wird, obwohl ein beliebiges geeignetes Abscheidungsverfahren verwendet werden kann. Die Metallschicht kann auf eine Dicke zwischen etwa 20 Å und etwa 50 Å, wie beispielsweise zwischen etwa 30 Å und etwa 40 Å, ausgebildet werden.
  • Nachdem die Metallschicht ausgebildet worden ist, wird ein Füllmaterial abgeschieden, um einen Rest der Öffnung zu füllen. In einer Ausführungsform kann das Füllmaterial ein Material wie etwa Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, Kombinationen von diesen oder dergleichen mit einer Dicke zwischen etwa 1000 Å und etwa 2000 Å sein, beispielsweise etwa 1500 Å. Es kann jedoch jedes geeignete Material verwendet werden.
  • Die 1A zeigt zusätzlich, dass, nachdem das Füllmaterial zum Füllen und Überfüllen der Öffnung abgeschieden wurde, die Materialien des ersten Dielektrikums, der ersten leitfähigen Schicht, des ersten Metallmaterials, der Austrittsarbeitsschicht, der ersten Sperrschicht, der Metallschicht und des Füllmaterials planarisiert werden können, um den ersten Wafer-Gatestapel 109 auszubilden. In einer Ausführungsform können die Materialien mit der ersten Wafer-ILD-Schicht 107 unter Verwendung z. B. eines chemisch-mechanischen Polierverfahrens planarisiert werden, obwohl irgendein geeignetes Verfahren wie etwa Schleifen oder Ätzen verwendet werden kann.
  • In einer Ausführungsform kann der erste Wafer-Gatestapel 109 so ausgebildet sein, dass er eine erste Breite W1 zwischen etwa 1 nm und etwa 180 nm aufweist, beispielsweise etwa 7 nm. Zusätzlich kann der erste Wafer-Gatestapel 109 auch so ausgebildet sein, dass er eine erste Höhe H1 zwischen etwa 20 nm und etwa 100 nm aufweist, beispielsweise etwa 30 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • 1A zeigt zusätzlich die Ausbildung eines ersten Wafer-Gatekontakts 111 durch eine erste Wafer-Dielektrikumsschicht 113, um elektrischen Kontakt zu dem ersten Wafer-Gatestapel 109 (und einem oder mehreren der einzelnen Elemente in dem ersten Wafer-Gatestapel 109) herzustellen. In einer Ausführungsform kann die erste Wafer-Dielektrikumsschicht 113 zum Beispiel aus Low-k-Dielektrika mit Dielektrizitätskonstanten (k-Werten) zwischen etwa 2,9 und 3,8, Ultra-Low-k- (ULK) -Dielektrika mit k-Werten von weniger als etwa 2,5, Extra-Low-k- (ELK) -Dielektrika mit k-Werten zwischen etwa 2,5 und etwa 2,9, einigen Kombinationen von Low-k-Dielektrika oder dergleichen ausgebildet sein. Die erste Wafer-Dielektrikumsschicht 113 kann unter Verwendung eines Verfahrens wie Rotationsbeschichtung, CVD, ALD, Kombinationen von diesen oder dergleichen ausgebildet werden. Es kann jedoch jedes geeignete Material oder Herstellungsverfahren verwendet werden.
  • Nachdem die erste Wafer-Dielektrikumsschicht 113 über dem ersten Wafer-Gatestapel 109 ausgebildet worden ist, kann die erste Wafer-Dielektrikumsschicht 113 strukturiert werden, um mindestens einen Teil einer oder mehrerer Schichten des ersten Wafer-Gatestapels 109 freizulegen. In einer Ausführungsform kann die erste Wafer-Dielektrikumsschicht 113 unter Verwendung z. B. eines photolithographischen Maskierungs- und Ätzverfahrens strukturiert werden, bei dem ein lichtempfindliches Material abgeschieden, einer strukturierten Energiequelle (z. B. Licht) ausgesetzt und entwickelt wird, um eine Maske auszubilden. Wenn die Maske fertig ist, wird eine anisotrope Ätzung wie eine reaktive Ionenätzung verwendet, um die Struktur der Maske auf die darunter liegende erste Wafer-Dielektrikumsschicht 113 zu übertragen und Teile der einen oder mehreren Schichten des ersten Wafer-Gatestapels 109 freizulegen. Es kann jedoch jedes geeignete Verfahren verwendet werden, um die erste Wafer-Dielektrikumsschicht 113 zu strukturieren.
  • Nachdem eine Öffnung durch die erste Wafer-Dielektrikumsschicht 113 ausgebildet worden ist, kann die Öffnung mit einem leitfähigen Material gefüllt werden, um den ersten Wafer-Gatekontakt 111 auszubilden. In einer Ausführungsform kann der erste Wafer-Gatekontakt 111 aus einem leitfähigen Material wie Ti, W, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo oder WN bestehen, obwohl irgendein geeignetes Material wie etwa Aluminium, Kupfer, Legierungen von diesen, Kombinationen von diesen oder dergleichen verwendet werden kann, und kann in die Öffnung unter Verwendung eines Abscheidungsverfahrens wie beispielsweise Sputtern, chemischer Gasphasenabscheidung, Elektroplattieren, stromlosem Plattieren oder dergleichen abgeschieden werden, um die durch das Strukturieren der ersten Wafer-Dielektrikumsschicht 113 ausgebildete Öffnung zu füllen und/oder zu überfüllen. Nach dem Füllen oder Überfüllen kann jegliches abgeschiedene Material außerhalb der Öffnung, die durch das Strukturieren der ersten Wafer-Dielektrikumsschicht 113 ausgebildet wurde, unter Verwendung eines Planarisierungsverfahrens wie etwa chemisch-mechanischen Polierens (CMP) entfernt werden. Es kann jedoch jedes geeignete Material und Verfahren zur Ausbildung verwendet werden.
  • In einer Ausführungsform kann der erste Wafer-Gatekontakt 111 so ausgebildet werden, dass er eine zweite Breite W2 von zwischen etwa 1 nm und etwa 180 nm aufweist, beispielsweise etwa 5 nm. Zusätzlich kann der erste Wafer-Gatekontakt 111 so ausgebildet werden, dass er eine zweite Höhe H2 zwischen etwa 1 nm und etwa 1000 nm aufweist, beispielsweise etwa 5 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • Nachdem der erste Wafer-Gatekontakt 111 ausgebildet worden ist, können eine zweite Wafer-Dielektrikumsschicht 115 und eine erste Wafer-Metallleitung 117 in der zweiten Wafer-Dielektrikum-Schicht 115 ausgebildet werden, um gemeinsam eine erste Wafer-Verbindungsstruktur 119 (auch als M1 -Metallschicht bezeichnet) zu bilden. In einer Ausführungsform kann die zweite Wafer-Dielektrikumsschicht 115 zum Beispiel aus Low-k-Dielektrika mit Dielektrizitätskonstanten (k-Werten) zwischen etwa 2,9 und 3,8, Ultra-Low-k-(ULK) -Dielektrika mit k-Werten von weniger als etwa 2,5, Extra-Low-k- (ELK) -Dielektrika mit k-Werten zwischen etwa 2,5 und etwa 2,9, einigen Kombinationen von Low-k-Dielektrika oder dergleichen ausgebildet sein. Die zweite Wafer-Dielektrikumsschicht 115 kann unter Verwendung eines Verfahrens wie Rotationsbeschichtung, CVD, ALD, Kombinationen von diesen oder dergleichen ausgebildet werden. Es kann jedoch jedes geeignete Material oder Herstellungsverfahren verwendet werden.
  • Nachdem die zweite Wafer-Dielektrikumsschicht 115 über der ersten Wafer-Dielektrikumsschicht 113 und dem ersten Wafer-Gatekontakt 111 ausgebildet worden ist, kann die zweite Wafer-Dielektrikumsschicht 115 strukturiert werden, um die gewünschte Struktur für die ersten Wafer-Metallleitungen 117 auszubilden. In einer Ausfiihrungsform kann die zweite Wafer-Dielektrikumsschicht 115 unter Verwendung z. B. eines photolithographischen Maskierungs- und Ätzverfahrens strukturiert werden, bei dem ein lichtempfindliches Material abgeschieden, einer strukturierten Energiequelle (z. B. Licht) ausgesetzt und entwickelt wird, um eine Maske auszubilden. Wenn die Maske fertig ist, wird eine anisotrope Ätzung wie eine reaktive Ionenätzung verwendet, um die Struktur der Maske auf die darunter liegende zweite Wafer-Dielektrikumsschicht 115 zu übertragen. Es kann jedoch jedes geeignete Verfahren zum Strukturieren der zweiten Wafer-Dielektrikumsschicht 115 verwendet werden.
  • Nachdem eine Öffnung durch die zweite Wafer-Dielektrikumsschicht 115 ausgebildet worden ist, kann die Öffnung mit einem leitfähigen Material gefüllt werden, um die ersten Wafer-Metallleitungen 117 auszubilden. In einer Ausführungsform können die ersten Wafer-Metallleitungen 117 aus einem leitfähigen Material wie Kupfer bestehen, das abgeschieden wird, indem zuerst eine Keimschicht abgeschieden wird und dann ein Elektroplattierungs- oder ein stromloses Plattierungsverfahren verwendet wird, um die Öffnung durch die zweite Wafer-Dielektrikumsschicht 115 zu füllen und/oder zu überfüllen. Nachdem die Öffnungen gefüllt und/oder überfüllt worden sind, kann jegliches abgeschiedene Material außerhalb der Öffnung, die durch das Strukturieren der zweiten Wafer-Dielektrikumsschicht 115 ausgebildet wurde, unter Verwendung eines Planarisierungsverfahrens wie chemisch-mechanischen Polierens (CMP) entfernt werden. Es kann jedoch jedes geeignete Material und Verfahren zur Ausbildung verwendet werden.
  • In einer Ausführungsform können die ersten Wafer Metallleitungen 117 so ausgebildet werden, dass sie eine dritte Breite W3 von zwischen etwa 1 nm und etwa 1000 nm aufweisen, beispielsweise etwa 7 nm. Zusätzlich kann die erste Wafer-Verbindungsstruktur 119 so ausgebildet werden, dass sie eine dritte Höhe H3 zwischen etwa 1 nm und etwa 1000 nm aufweist, beispielsweise etwa 5 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • Zusätzlich soll, während das Ausbilden des ersten Wafer-Gatekontakts 111 und der ersten Wafer-Metallleitungen 117 als eine Folge separater einzelner Schritte (z. B. einer Folge einzelner Damascene-Verfahren) beschrieben ist, dies beispielhaft und nicht einschränkend sein. Vielmehr können in weiteren Ausführungsformen der erste Wafer-Gatekontakt 111 und die ersten Wafer-Metallleitungen 117 durch sowohl die erste Wafer-Dielektrikumsschicht 113 als auch die zweite Wafer-Dielektrikumsschicht 115 in einer einzigen Folge von Schritten ausgebildet werden, wie etwa einem Dual-Damascene-Verfahren. Jede geeignete Anzahl von Schritten kann verwendet werden.
  • 1A zeigt zusätzlich ein Ausbilden einer ersten Wafer-Bondschicht 121 über der zweiten Wafer-Dielektrikumsschicht 115. Die erste Wafer-Bondschicht 121 kann zum Hybridbonden oder Schmelzbonden (auch als Oxid-Oxid-Bonden bezeichnet) verwendet werden. Gemäß einigen Ausführungsformen ist die erste Wafer-Bondschicht 121 aus einem siliziumhaltigen Dielektrikum wie etwa Siliziumoxid, Siliziumnitrid oder dergleichen ausgebildet. Die erste Wafer-Bondschicht 121 kann unter Verwendung irgendeines geeigneten Verfahrens wie zum Beispiel Atomlagenabscheidung (ALD), CVD, chemischer Gasphasenabscheidung im hochdichten Plasma (HDPCVD), PVD oder dergleichen auf eine erste Dicke T1 zwischen etwa 1 nm und etwa 1000 nm abgeschieden werden, beispielsweise etwa 5 nm. Es können jedoch beliebige geeignete Materialien, Verfahren und Dicken verwendet werden.
  • 1B zeigt ein Ausbilden von Bondöffnungen in der ersten Wafer-Bondschicht 121 und ein Ausbilden eines ersten leitfähigen Wafer-Bondmaterials 123 in den Bondöffnungen. In einer Ausführungsform können die Bondöffnungen ausgebildet werden, indem zuerst ein Photoresist (nicht getrennt in 1B gezeigt) über der oberen Fläche der ersten Wafer-Bondschicht 121 aufgebracht und strukturiert wird. Der Photoresist wird dann verwendet, um die erste Wafer-Bondschicht 121 zu ätzen, um die Öffnungen auszubilden. Die erste Wafer-Bondschicht 121 kann durch Trockenätzen (z. B. reaktives Ionenätzen (RIE) oder Neutralstrahlätzen (NBE)), Nassätzen oder dergleichen geätzt werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung stoppt das Ätzen auf den ersten Wafer-Metallleitungen 117 in der ersten Wafer-Verbindungsstruktur 119, so dass die ersten Wafer-Metallleitungen 117 durch die Öffnungen in der ersten Wafer-Bondschicht 121 freigelegt sind.
  • Nachdem die ersten Wafer-Metallleitungen 117 freigelegt worden sind, kann das erste leitfähige Wafer-Bondmaterial 123 in körperlichem und elektrischem Kontakt mit den ersten Wafer-Metallleitungen 117 in der ersten Wafer-Verbindungsstruktur 119 ausgebildet werden. In einer Ausführungsform kann das erste leitfähige Wafer-Bondmaterial 123 eine Sperrschicht, eine Keimschicht, ein Füllmetall oder Kombinationen davon (nicht separat gezeigt) umfassen. Zum Beispiel kann die Sperrschicht deckend über den ersten Wafer-Metallleitungen 117 abgeschieden werden. Die Sperrschicht kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Die Keimschicht kann aus einem leitfähigen Material wie Kupfer bestehen und kann über der Sperrschicht unter Verwendung von Verfahren wie Sputtern, Verdampfen oder plasmaunterstützter chemischer Gasphasenabscheidung (PECVD) oder dergleichen in Abhängigkeit von den gewünschten Materialien deckend abgeschieden werden. Das Füllmetall kann ein Leiter wie Kupfer oder eine Kupferlegierung sein und kann über der Keimschicht abgeschieden werden, um die Öffnungen durch ein Plattierungsverfahren, wie zum Beispiel elektrisches oder stromloses Plattieren, zu füllen oder überfüllen. Nachdem das Füllmetall abgeschieden worden ist, kann überschüssiges Material des Füllmetalls, der Keimschicht und der Sperrschicht außerhalb der Öffnungen durch ein Planarisierungsverfahren wie chemisch-mechanisches Polieren entfernt werden. Während jedoch ein einzelnes Damascene-Verfahren beschrieben wurde, kann jedes geeignete Verfahren, wie beispielsweise ein Dual-Damascene-Verfahren, ebenfalls verwendet werden.
  • In einer Ausführungsform kann das erste leitfähige Wafer-Bondmaterial 123 so ausgebildet sein, dass es eine erste Abmessung D1 zwischen etwa 1 nm und etwa 180 nm aufweist, beispielsweise etwa 7 nm. Zusätzlich kann das erste leitfähige Wafer-Bondmaterial 123 mit einem ersten Mittenabstand P1 zwischen etwa 2 nm und etwa 2000 nm, beispielsweise etwa 36 nm, ausgebildet sein. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • 2 zeigt eine zweite Halbleitervorrichtung 200, die durch das erste leitfähige Wafer-Bondmaterial 123 mit der ersten Wafer-Verbindungsstruktur 119 gebondet wird. In einer Ausführungsform kann die zweite Halbleitervorrichtung 200 ein bekannter fertiger guter Chipstapel sein, der getestet wurde, oder kann ein bekannter nicht fertiger guter Chipstapel sein, auf dem keine separaten externen Verbindungen (wie Aluminiumkontaktflächen, äußere Kupfersäulen oder Lötkugeln) ausgebildet wurden. In bestimmten weiteren Ausführungsformen kann die zweite Halbleitervorrichtung 200 eine System-on-Chip-Vorrichtung, eine III-V-Vorrichtung, eine Sensorvorrichtung oder eine passive Vorrichtung sein. Jede geeignete Konfiguration oder Funktionalität kann verwendet werden.
  • In einer Ausführungsform kann die zweite Halbleitervorrichtung 200 ein erstes Die-Substrat 201, erste Die-Isolierbereiche 203, erste Die-Finnen (nicht separat außerhalb des ersten Die-Substrats 201 gezeigt), erste Die-Source/Drain-Bereiche 205, einen ersten Die-Gatestapel 207, eine erste Die-ILD-Schicht 209, eine erste Die-Gatekontakt-Dielektrikumsschicht 211 und einen ersten Die-Gatekontakt 213 umfassen. In einer Ausführungsform können das erste Die-Substrat 201, die ersten Die-Isolierbereiche 203, die ersten Die-Finnen, die ersten Die-Source/Drain-Bereiche 205, der erste Die-Gatestapel 207, die erste Die-ILD-Schicht 209, die erste Die-Gatekontakt-Dielektrikumsschicht 211 und der erste Die-Gatekontakt 213 dem ersten Wafer-Substrat 101, den ersten Wafer-Isolationsbereichen 103, den ersten Finnen, den ersten Wafer-Source/Drain-Bereichen 105, dem ersten Wafer-Gatestapel 109, der ersten Wafer-ILD-Schicht 107, der ersten Wafer-Dielektrikumsschicht 113 und dem ersten Wafer-Gatekontakt 111, wie oben in Bezug auf 1A beschrieben, ähneln. In weiteren Ausführungsformen jedoch können das erste Die-Substrat 201, die ersten Die-Isolierbereiche 203, die ersten Die-Finnen, die ersten Die-Source/Drain-Bereiche 205, der erste Die-Gatestapel 207, die erste Die-ILD-Schicht 209, die erste Die-Gatekontakt-Dielektrikumsschicht 211 und der erste Die-Gatekontakt 213 unterschiedlich sein.
  • Die zweite Halbleitervorrichtung 200 kann auch eine erste Die-Dielektrikumsschicht 215 und erste Die-Metallleitungen 217 in der ersten Die-Dielektrikumsschicht 215 aufweisen, um gemeinsam eine erste Die-Verbindungsstruktur 231 (auch als M1-Metallschicht bezeichnet) zu bilden. In einer Ausführungsform können die erste Die-Dielektrikumsschicht 215 und die ersten Die-Metallleitungen 217 ähnlich zu der ersten Wafer-Dielektrikumsschicht 113 und den ersten Wafer-Metallleitungen 117 ausgebildet werden, wie oben mit Bezug auf 1A beschrieben. Zum Beispiel kann die erste Die-Dielektrikumsschicht 215 aus einem Low-k-Dielektrikum bestehen, das strukturiert und mit einem leitfähigen Material gefüllt wird, um die ersten Die-Metallleitungen 217 auszubilden. Es können jedoch beliebige geeignete Materialien und Verfahren verwendet werden.
  • In einer Ausführungsform kann die erste Die-Metallleitung 217 so ausgebildet sein, dass sie eine vierte Breite W4 von zwischen etwa 1 nm und etwa 1000 nm aufweist, beispielsweise etwa 5 nm. Zusätzlich kann die erste Die-Verbindungsstruktur 231 so ausgebildet sein, dass sie eine vierte Höhe H4 zwischen etwa 1 nm und etwa 1000 nm aufweist, beispielsweise etwa 5 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • Optional können Substratdurchkontaktierungen 202 ausgebildet sein, um eine elektrische Verbindung von einer ersten Seite des ersten Die-Substrats 201 zu beispielsweise der ersten Die-Verbindungsstruktur 231 herzustellen. In einer Ausführungsform können die Substratdurchkontaktierungen 202 ausgebildet werden, indem anfänglich eine Öffnung in das erste Die-Substrat 201 (und in einigen Ausführungsformen durch die erste Die-ILD-Schicht 209 und die erste Die-Gatedielektrikumsschicht 211) bis zu einer tieferen Tiefe als die endgültige Höhe der zweiten Halbleitervorrichtung 200 ausgebildet wird. Die Öffnung kann dann mit einer Sperrschicht und einer Keimschicht ausgekleidet werden, worauf ein leitfähiges Material wie Kupfer auf die Keimschicht plattiert wird, um die Öffnung zu füllen und/oder zu überfüllen. Überschüssiges Material, das sich außerhalb der Öffnung befindet, wird dann z. B. unter Verwendung eines Planarisierungsverfahrens entfernt und eine Rückseite des ersten Die-Substrats 201 wird verdünnt, um das leitfähige Material freizulegen und die Substratdurchkontaktierungen 202 auszubilden.
  • 2 zeigt zusätzlich die Ausbildung einer mittleren Die-Verbindungsstruktur 219 (auch als Die-Mx-Metallisierungsschichten bezeichnet) über der ersten Die-Verbindungsstruktur 231, um zusätzliche Routingoptionen bereitzustellen. In einer Ausführungsform kann die mittlere Die-Verbindungsstruktur 219 eine dritte Die-Dielektrikumsschicht 221 mit zweiten Die-Metallleitungen 223 aufweisen, die in der dritten Die-Dielektrikumsschicht 221 ausgebildet sind. In einer Ausführungsform können die dritte Die-Dielektrikumsschicht 221 und die zweite Die-Metallleitungen 223 ähnlich der ersten Wafer-Dielektrikumsschicht 113 und den ersten Wafer-Metallleitungen 117 ausgebildet werden, wie oben mit Bezug auf 1A beschrieben. Zum Beispiel kann ein Einfach- oder Dual-Damascene-Verfahren verwendet werden, um Öffnungen durch die dritte Die-Dielektrikumsschicht 221 auszubilden und zu füllen.
  • Zusätzlich kann das Verfahren des Ausbildens der dritten Die-Dielektrikumsschicht 221 und der zweiten Die-Metallleitungen 223 wiederholt werden, um eine weitere Schicht der dritten Die-Dielektrikumsschicht 221 und eine weitere Schicht der zweiten Die-Metallleitungen 223 auszubilden, so dass es zwei Schichten in der mittleren Die-Verbindungsstruktur 219 gibt. Ferner können jede geeignete Anzahl von Schichten, wie zwischen etwa 1 Schicht und etwa 10 Schichten, als Teil der mittleren Die-Verbindungsstruktur 219 ausgebildet werden und jede derartige Anzahl von Schichten soll vollständig in den Umfang der Ausführungsformen eingeschlossen sein.
  • In einer Ausführungsform können die zweiten Die-Metallleitungen 223 mit einer fünften Breite W5 von zwischen etwa 1 nm und etwa 1000 nm ausgebildet sein, beispielsweise etwa 6 nm. Zusätzlich kann die dritte Die-Dielektrikumsschicht 221 auf eine fünften Höhe H5 zwischen etwa 1 nm und etwa 1000 nm ausgebildet sein, beispielsweise etwa 5 nm. Zusätzlich kann die mittlere Die-Verbindungsstruktur 219 eine Gesamthöhe der fünften Höhe H5 mal der Anzahl der Schichten aufweisen. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • Nachdem die mittlere Die-Verbindungsstruktur 219 ausgebildet worden ist, kann schließlich eine obere Die-Verbindungsstruktur 225 über der mittleren Die-Verbindungsstruktur 219 ausgebildet werden, um eine geeignete obere Schicht für die zweite Halbleitervorrichtung 200 bereitzustellen. In einer Ausführungsform kann die obere Die-Verbindungsstruktur 225 eine vierte Die-Dielektrikumsschicht 227 mit dritten Die-Metallleitungen 229 aufweisen, die in der vierten Die-Dielektrikumsschicht 227 ausgebildet sind. In einer Ausführungsform können die vierte Die-Dielektrikumsschicht 227 und die dritten Die-Metallleitungen 229 ähnlich wie die erste Wafer-Dielektrikumsschicht 113 und die ersten Wafer-Metallleitungen 117 ausgebildet werden, wie oben mit Bezug auf 1A beschrieben.
  • In einer Ausführungsform können die dritten Die-Metallleitungen 229 mit einer sechsten Breite W6 zwischen etwa 1 nm und etwa 1000 nm ausgebildet sein, beispielsweise etwa 7 nm. Zusätzlich kann die obere Die-Verbindungsstruktur 225 so ausgebildet sein, dass sie eine sechste Höhe H6 zwischen etwa 1 nm und etwa 1000 nm aufweist, beispielsweise etwa 5 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • Nachdem die obere Die-Verbindungsstruktur 225 ausgebildet worden ist, kann die zweite Halbleitervorrichtung 200 von ihrem Wafer vereinzelt und zum Bonden mit der ersten Wafer-Verbindungsstruktur 119 durch die erste Wafer-Bondschicht 121 vorbereitet werden. In einer Ausführungsform kann das Vereinzeln durchgeführt werden, indem ein Sägeblatt (nicht gezeigt) verwendet wird, um durch das erste Die-Substrat 201 zu schneiden, wodurch ein Abschnitt von einem anderen getrennt wird. Wie für den Durchschnittsfachmann auf dem Gebiet jedoch ersichtlich ist, ist die Verwendung eines Sägeblatts für das Vereinzelungsverfahren lediglich eine beispielhafte Ausführungsform und soll nicht einschränkend sein. Alternative Verfahren zur Vereinzelung, wie etwa die Verwendung von einer oder mehreren Ätzungen zum Trennen der zweiten Halbleitervorrichtung 200, können verwendet werden. Diese Verfahren und andere geeignete Verfahren können verwendet werden, um die zweite Halbleitervorrichtung 200 zu vereinzeln.
  • 3 zeigt ein heterogenes Die-Wafer-Bonden der zweiten Halbleitervorrichtung 200 mit der ersten Wafer-Verbindungsstruktur 119 durch die erste Wafer-Bondschicht 121. In einer Ausführungsform kann die Kombination der ersten Halbleitervorrichtung 100 und der zweiten Halbleitervorrichtung 200 verwendet werden, um einen Chipstapel unter Verwendung der gleichen oder verschiedener Herstellungstechnologien auszubilden. Zum Beispiel könnte dieser Stapel die zweite Halbleitervorrichtung 200 verwenden, um einen PMOS-Stapel auf einen NMOS-Wafer zu bonden. Es kann jedoch jede geeignete Kombination, wie die Verwendung unterschiedlicher Verarbeitungsknoten, verwendet werden.
  • In einer Ausführungsform kann die zweite Halbleitervorrichtung 200 mit der ersten Wafer-Verbindungsstruktur 119 unter Verwendung eines Hybridbondens gebondet werden, die durch Aktivieren der oberen Die-Verbindungsstruktur 225 und der ersten Wafer-Bondschicht 121 initiiert werden kann. Eine solche Aktivierung kann z. B. unter Verwendung einer Trockenbehandlung, einer Nassbehandlung, einer Plasmabehandlung, einem Aussetzen gegenüber H2, einem Aussetzen gegenüber N2, einem Aussetzen gegenüber O2, Kombinationen von diesen oder dergleichen durchgeführt werden. In Ausführungsformen, in denen eine Nassbehandlung verwendet wird, kann beispielsweise eine RCA-Reinigung verwendet werden. Das Aktivierungsverfahren unterstützt das Hybridbonden der oberen Die-Verbindungsstruktur 225 und der ersten Wafer-Bondschicht 121, indem z. B. die Verwendung von niedrigeren Drücken und Temperaturen in nachfolgenden Hybridbondverfahren ermöglicht wird.
  • Nach dem Aktivierungsverfahren können die obere Die-Verbindungsstruktur 225 und die erste Wafer-Bondschicht 121 unter Verwendung einer chemischen Spülung gereinigt werden. Nach dem Reinigen wird die zweite Halbleitervorrichtung 200 umgedreht und mit der ersten Halbleitervorrichtung 100 ausgerichtet. Nach dem Ausrichten werden die erste Halbleitervorrichtung 100 und die zweite Halbleitervorrichtung 200 derart zusammengebracht, dass die obere Die-Verbindungsstruktur 225 in körperlichem Kontakt mit der ersten Wafer-Bondschicht 121 steht.
  • Nachdem sie in körperlichem Kontakt stehen, werden die erste Halbleitervorrichtung 100 und die zweite Halbleitervorrichtung 200 einer thermischen Behandlung und einem Kontaktdruck unterzogen, um das Bondverfahren zu unterstützen. Zum Beispiel können die erste Halbleitervorrichtung 100 und die zweite Halbleitervorrichtung 200 einem Druck von etwa 200 kPa oder weniger und einer Temperatur zwischen etwa 200 °C und etwa 400 °C ausgesetzt werden, um die erste Wafer-Bondschicht 121 und die obere Die-Verbindungsstruktur 225 zu verschmelzen. Die erste Halbleitervorrichtung 100 und die zweite Halbleitervorrichtung 200 können dann einer Temperatur bei dem eutektischen Punkt für das Material der dritten Die-Metallleitungen 229 und des ersten leitfähigen Wafer-Bondmaterials 123 oder darüber ausgesetzt werden, z. B. zwischen etwa 150 °C und etwa 650 °C, um die dritten Die-Metallleitungen 229 und das erste leitfähige Wafer-Bondmaterial 123 zu verschmelzen. Auf diese Weise bildet das Verschmelzen der ersten Halbleitervorrichtung 100 und der zweiten Halbleitervorrichtung 200 eine hybridgebondete Vorrichtung mit mehreren Bondarten (z. B. Metall-Metall-Bonds sowie Dielektrikum-Dielektrikum-Bonds) aus.
  • Während ein Hybridbondverfahren ein solches Bondverfahren ist, das verwendet werden kann, soll dies beispielhaft sein und soll die Ausführungsformen nicht einschränken. Vielmehr kann jede geeignete Art von Bonden, wie etwa Oxid-Oxid-Bonden oder Metall-Metall-Bonden, ebenfalls verwendet werden. Jede geeignete Art von Bondverfahren kann verwendet werden.
  • 3 zeigt zusätzlich ein Bonden einer dritten Halbleitervorrichtung 300 mit der ersten Wafer-Verbindungsstruktur 119. In einer Ausführungsform ähnelt die dritte Halbleitervorrichtung 300 der zweiten Halbleitervorrichtung 200 und kann ähnliche oder unterschiedliche Funktionalitäten wie die erste Halbleitervorrichtung 100 aufweisen. Zum Beispiel kann die dritte Halbleitervorrichtung 300 ähnliche Strukturen aufweisen (z. B. ein Halbleitersubstrat, aktive Vorrichtungen, darüber liegende Metallisierungsschichten usw.). Es können jedoch beliebige geeignete Strukturen verwendet werden.
  • 4 zeigt ein Ausbilden eines ersten Füllmaterials 401 zwischen der zweiten Halbleitervorrichtung 200 und der dritten Halbleitervorrichtung 300. In einer Ausführungsform kann das erste Füllmaterial 401 ein Nicht-Polymer wie Siliziumdioxid, Siliziumnitrid oder dergleichen, wie etwa ein anderes Oxid oder Nitrid, umfassen, das unter Verwendung irgendeines geeigneten Verfahrens abgeschieden wird. Zum Beispiel kann das erste Füllmaterial 401 durch CVD-, PECVD- oder ALD-Abscheidungsverfahren, FCVD oder ein Spin-on-Glass-Verfahren ausgebildet werden. In weiteren Ausführungsformen kann das erste Füllmaterial 401 eine Formmasse wie etwa ein Epoxid, ein Harz, ein formbares Polymer, Polyimid oder dergleichen umfassen. Die Formmasse kann aufgetragen werden, während sie im Wesentlichen flüssig ist, und kann dann durch eine chemische Reaktion, gehärtet werden, beispielsweise wie in einem Epoxid oder Harz. In einigen Ausführungsformen kann die Formmasse ein ultraviolett (UV) oder thermisch gehärtetes Polymer sein, das als Gel oder verformbarer Feststoff aufgetragen wird.
  • Nachdem das erste Füllmaterial 401 an Ort und Stelle ist, kann das erste Füllmaterial 401 planarisiert werden, um eine planare Oberfläche für die weitere Verarbeitung bereitzustellen. In einer Ausführungsform kann das erste Füllmaterial unter Verwendung eines Planarisierungsverfahrens wie eines chemisch-mechanischen Polierens planarisiert werden, wobei Schleifmittel und Ätzmittel in Kontakt mit dem ersten Füllmaterial 401 gebracht werden, während eine Platte das Material des ersten Füllmaterials 401 schleift, bis das erste Füllmaterial 401 planar mit der zweiten Halbleitervorrichtung 200 und der dritten Halbleitervorrichtung 300 ist.
  • 5 zeigt ein Ausbilden von Füllmaterial-Durchkontaktierungen 501. In einer Ausführungsform kann ein Photoresist (nicht separat gezeigt) über den oberen Flächen des ersten Füllmaterials 401, der zweiten Halbleitervorrichtung 200 und der dritten Halbleitervorrichtung 300 aufgebracht und strukturiert werden. Der Photoresist wird dann als Maske verwendet, um das erste Füllmaterial 401 zu ätzen, um Öffnungen auszubilden (nicht getrennt gezeigt). Das erste Füllmaterial 401 kann durch Trockenätzen (z. B. reaktives Ionenätzen (RIE) oder Neutralstrahlätzen (NBE)), Nassätzen oder dergleichen geätzt werden. Der Photoresist kann unter Verwendung geeigneter Photoresist-Abzugstechniken wie chemischer Lösungsmittelreinigung, Plasmaveraschung, Trockenabziehen und/oder dergleichen entfernt werden.
  • Die Öffnungen können dann mit einem leitfähigen Material gefüllt werden. Das leitfähige Material kann eine Sperrschicht, eine Keimschicht, ein Plattiermetall oder Kombinationen davon umfassen und kann beispielsweise unter Verwendung von Sputtern, CVD, Elektroplattieren, stromlosem Plattieren, Kombinationen davon oder dergleichen abgeschieden werden. Das leitfähige Material kann dann planarisiert werden, um die Füllmaterial-Durchkontaktierungen 501 auszubilden. Zum Beispiel kann ein Planarisierungsverfahren, wie zum Beispiel CMP, durchgeführt werden, um das leitfähige Material derart zu planarisieren, dass die oberen Flächen des Füllmaterials 401, der zweiten Halbleitervorrichtung 200, der dritten Halbleitervorrichtung 300 und der Füllmaterial-Durchkontaktierungen 501 koplanar sind.
  • In einer Ausführungsform können die Füllmaterial-Durchkontaktierungen 501 so ausgebildet sein, dass sie eine siebte Breite W7 von zwischen etwa 1 nm und etwa 1000 nm aufweisen, beispielsweise etwa 100 nm. Zusätzlich können die Füllmaterial-Durchkontaktierungen 501 eine siebte Höhe H7 zwischen etwa 500 nm und etwa 5000 nm aufweisen, beispielsweise etwa 1000 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • 6 zeigt, dass, nachdem das Füllmaterial 401 planarisiert worden ist, eine erste Verbindungsschicht 600, die eine mittlere Zwischenverbindungsstruktur 601 umfasst, über der zweiten Halbleitervorrichtung 200, der dritten Halbleitervorrichtung 300 und dem Füllmaterial 401 ausgebildet werden kann, um jede der Vorrichtungen mit darüber liegenden ersten externen Verbindungen 603 zu verbinden. In einer Ausführungsform kann die erste Verbindungsschicht 600 der mittleren Die-Verbindungsstruktur 219 ähneln, wie oben mit Bezug auf 2 beschrieben. Zum Beispiel kann die erste Verbindungsschicht 600 eine oder mehrere aufeinander gestapelte Metallisierungsschichten umfassen, wobei jede Metallisierungsschicht eine dielektrische Schicht und eine Metallleitung umfasst, die entweder unter Verwendung eines Damascene- oder eines Dual-Damascene-Herstellungsverfahrens ausgebildet werden. Es kann jedoch irgendein geeignetes Herstellungsverfahren verwendet werden.
  • 6 zeigt zusätzlich die Ausbildung einer oberen Zwischenverbindungsstruktur 605. In einer Ausführungsform kann die obere Zwischenverbindungsstruktur 605 der oberen Die-Verbindungsstruktur 225 ähneln, die oben in Bezug auf 2 beschrieben wurde. Zum Beispiel kann die obere Die-Verbindungsstruktur 225 eine obere Die-Dielektrikumsschicht und eine obere Die-Metallleitung umfassen, die entweder unter Verwendung eines Damascene- oder eines Dual-Damascene-Abscheidungsverfahrens ausgebildet werden. Es kann jedoch irgendein geeignetes Herstellungsverfahren verwendet werden.
  • Nachdem die obere Zwischenverbindungsstruktur 605 ausgebildet worden ist, können die ersten externen Verbindungen 603 verwendet werden, um einen externen Verbindungspunkt für eine elektrische Verbindung mit der oberen Zwischenverbindungsstruktur 605 bereitzustellen, und können beispielsweise Kontakthöcker als Teil eines Ball-Grid-Array (BGA) sein, obwohl jede geeignete Verbindung verwendet werden kann. In einer Ausführungsform, in der die ersten externen Verbindungen 603 Kontakthöcker sind, können die ersten externen Verbindungen 603 ein Material wie z. B. Zinn oder andere geeignete Materialien wie Silber, bleifreies Zinn oder Kupfer umfassen. In einer Ausführungsform, in der die ersten externen Verbindungen 603 Zinn-Lötkontakthöcker sind, können die ersten externen Verbindungen 603 durch anfängliches Ausbilden einer Zinnschicht durch solche üblicherweise verwendeten Verfahren wie Verdampfen, Elektroplattieren, Drucken, Lottransfer, Ballplatzierung usw. auf eine Dicke von beispielsweise etwa 250 µm ausgebildet werden. Nachdem eine Schicht aus Zinn auf der Struktur ausgebildet wurde, kann ein Aufschmelzen durchgeführt werden, um das Material in die gewünschte Höckerform zu formen.
  • 7 zeigt eine weitere Ausführungsform, in der eine vierte Halbleitervorrichtung 700 vor der Ausbildung der ersten Verbindungsschicht 600 mit der zweiten Halbleitervorrichtung 200 gestapelt und gebondet wird. In einer Ausführungsform kann die vierte Halbleitervorrichtung 700 der zweiten Halbleitervorrichtung 200 ähneln und dafür ausgelegt sein, in Verbindung mit der zweiten Halbleitervorrichtung 200 zu arbeiten. Zum Beispiel kann die vierte Halbleitervorrichtung 700 ein Halbleitersubstrat, aktive Vorrichtungen und Metallisierungsschichten (einschließlich einer oberen Die-Verbindungsstruktur 225) umfassen. In weiteren Ausführungsformen kann sich die vierte Halbleitervorrichtung 700 jedoch von der zweiten Halbleitervorrichtung 200 unterscheiden.
  • In einer Ausführungsform wird die vierte Halbleitervorrichtung 700 mit der zweiten Halbleitervorrichtung 200 beispielsweise unter Verwendung eines Hybridbondverfahrens gebondet, wie oben in Bezug auf 3 beschrieben. Zum Beispiel werden Oberflächen sowohl der vierten Halbleitervorrichtung 700 (z. B. der oberen Die-Verbindungsstruktur 225) als auch der zweiten Halbleitervorrichtung 200 aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • 7 zeigt zusätzlich ein Ausbilden eines zweiten Füllmaterials 703 benachbart zu der vierten Halbleitervorrichtung 700. In einer Ausführungsform kann das zweite Füllmaterial 703 dem Füllmaterial 401 ähneln, wie oben in Bezug auf 4 beschrieben. Zum Beispiel kann das Füllmaterial 703 ein Dielektrikum sein, wie z. B. Siliziumoxid, das durch ein Abscheidungsverfahren wie CVD, PECVD oder ALD ausgebildet und dann mit der vierten Halbleitervorrichtung 700 planarisiert wird. Jedoch kann irgendein geeignetes Material oder Verfahren verwendet werden.
  • Nachdem das zweite Füllmaterial 703 planarisiert worden ist, können zweite Füllmaterial-Durchkontaktierungen 705 optional durch das zweite Füllmaterial 703 ausgebildet werden, um einen Kontakt mit den ersten Füllmaterial-Durchkontaktierungen 501 und der dritten Halbleitervorrichtung 300 herzustellen. In einer Ausführungsform können die zweiten Füllmaterial-Durchkontaktierungen 705 auf ähnliche Weise wie die ersten Füllmaterial-Durchkontaktierungen 501 (oben mit Bezug auf 5 beschrieben) ausgebildet werden, obwohl jedes geeignete Herstellungsverfahren verwendet werden kann. Nachdem die zweiten Füllmaterial-Durchkontaktierungen 705 ausgebildet worden sind, kann zusätzlich die obere Zwischenverbindungsstruktur 605 in elektrischer Verbindung mit sowohl den zweiten Füllmaterial-Durchkontaktierungen 705 als auch der vierten Halbleitervorrichtung 700 ausgebildet werden, und die ersten externen Verbindungen 603 können platziert oder ausgebildet werden. In einer Ausführungsform können die zweiten Füllmaterial-Durchkontaktierungen 705 den Füllmaterial-Durchkontaktierungen 501 ähneln, wie oben in Bezug auf 5 beschrieben.
  • 8 zeigt eine weitere Ausführungsform, in der die vierte Halbleitervorrichtung 700 nicht mit der zweiten Halbleitervorrichtung 200 gebondet wird (die in dieser Ausführungsform nicht vorhanden ist), sondern stattdessen elektrisch mit der ersten Wafer-Verbindungsstruktur 119 z. B. über die Füllmaterial-Durchkontaktierungen 501 verbunden wird. In dieser Ausführungsform wird die vierte Halbleitervorrichtung 700 direkt sowohl mit den Füllmaterial-Durchkontaktierungen 501 als auch dem ersten Füllmaterial 401 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel kann das erste Füllmaterial 401 mit einem Dielektrikum in der vierten Halbleitervorrichtung 700 gebondet werden (z. B. das Dielektrikum in der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219 oder der ersten Die-Verbindungsstruktur 231), während die Füllmaterial-Durchkontaktierungen 501 mit den Metallleitungen in der vierten Halbleitervorrichtung 700 gebondet werden (z. B. den ersten Die-Metallleitungen 217, den zweiten Die-Metallleitungen 223 oder den dritten Die-Metallleitungen 229). Nachdem die vierte Halbleitervorrichtung 700 mit den ersten Füllmaterial-Durchkontaktierungen 501 gebondet worden ist, kann das zweite Füllmaterial 703 abgeschieden werden, die zweiten Füllmaterial-Durchkontaktierungen 705 können ausgebildet werden, die erste Verbindungsschicht 600 und die obere Zwischenverbindungsstruktur 605 können in elektrischer Verbindung sowohl mit den zweiten Füllmaterial-Durchkontaktierungen 705 als auch der vierten Halbleitervorrichtung 700 ausgebildet werden und die ersten externen Verbindungen 603 können platziert oder ausgebildet werden.
  • 9 zeigt noch eine weitere Ausführungsform, in der die vierte Halbleitervorrichtung 700 nach der Ausbildung der ersten Verbindungsschicht 600 gebondet wird. In dieser Ausführungsform wird die erste Verbindungsschicht 600 wie oben mit Bezug auf 6 beschrieben (mit oder ohne der zweiten Halbleitervorrichtung 200) ausgebildet, aber, anstatt die ersten externen Verbindungen 603 auf der ersten Verbindungsschicht 600 anzuordnen, wird die vierte Halbleitervorrichtung 700 mit der ersten Verbindungsschicht 600 z. B. unter Verwendung eines Hybridbondens gebondet. Zum Beispiel kann die erste Verbindungsschicht 600 mit einem Dielektrikum in der vierten Halbleitervorrichtung 700 gebondet werden (z. B. dem Dielektrikum in der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219 oder der ersten Die-Verbindungsstruktur 231), während die Metallleitungen in der ersten Verbindungsschicht 600 mit den Metallleitungen in der vierten Halbleitervorrichtung 700 gebondet werden (z. B. den ersten Die-Metallleitungen 217, den zweiten Die-Metallleitungen 223 oder den dritten Die-Metallleitungen 229). Nachdem die vierte Halbleitervorrichtung 700 mit der ersten Verbindungsschicht 600 gebondet worden ist, kann das zweite Füllmaterial 703 abgeschieden werden und die zweiten Füllmaterial-Durchkontaktierungen 705 können ausgebildet werden.
  • Nachdem das zweite Füllmaterial 703 ausgebildet worden ist, kann zusätzlich eine zweite Verbindungsschicht 900 über der vierten Halbleitervorrichtung 700 ausgebildet werden. In einer Ausführungsform kann die zweite Verbindungsschicht 900 der ersten Verbindungsschicht 600 ähneln, etwa indem sie eine zweite mittlere Zwischenverbindungsstruktur 903 (ähnlich der mittleren Zwischenverbindungsstruktur 601) und eine zweite obere Zwischenverbindungsstruktur 905 (ähnlich der oberen Zwischenverbindungsstruktur 605) aufweist. Nachdem die zweite Verbindungsschicht 900 ausgebildet worden ist, werden zusätzlich die ersten externen Verbindungen 603 in Verbindung mit der zweiten Verbindungsschicht 900 platziert.
  • 10A zeigt eine weitere Ausführungsform, bei der die erste Wafer-Verbindungsstruktur 119 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Wafer-Verbindungsstruktur 119 mit der oberen Die-Verbindungsstruktur 225 gebondet wird, die erste Wafer-Verbindungsstruktur 119 mit der ersten Die-Verbindungsstruktur 231 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225 und die mittlere Die-Verbindungsstruktur 219 nicht ausgebildet und die erste Die-Verbindungsstruktur 231 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-Verbindungsstruktur 231 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl in 10A nicht explizit gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet wurde, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 10A auch in jeder der oben mit Bezug auf die in den 7 - 9 beschriebenen Ausführungsformen verwendet werden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 10B zeigt eine weitere Ausführungsform, bei der die erste Wafer-Verbindungsstruktur 119 durch die erste Wafer-Bondschicht 121 und das erste leitfähige Wafer-Bondmaterial 123 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Wafer-Verbindungsstruktur 119 mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219 oder der ersten Die-Verbindungsstruktur 231 gebondet wird, die erste Wafer-Verbindungsstruktur 119 mit dem ersten Die-Gatekontakt 213 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219 und die erste Die-Verbindungsstruktur 231 nicht ausgebildet und der erste Die-Gatekontakt 213 und die erste Die-Gatekontakt-Dielektrikumsschicht 211 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-Gatekontakt-Dielektrikumsschicht 211 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 10B gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 10B auch in jeder der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 10C zeigt eine weitere Ausführungsform, bei der die erste Wafer-Verbindungsstruktur 119 durch die erste Wafer-Bondschicht 121 und das erste leitfähige Wafer-Bondmaterial 123 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Wafer-Verbindungsstruktur 119 entweder mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219, der ersten Die-Verbindungsstruktur 231 oder dem ersten Die-Gatekontakt 213 gebondet wird, die erste Wafer-Verbindungsstruktur 119 mit dem ersten Die-Gatestapel 207 gebondet. Bei dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219, die erste Die-Verbindungsstruktur 231 und der erste Die-Gatekontakt 213 nicht ausgebildet und der erste Die-Gatestapel 207 und die erste Die-ILD-Schicht 209 werden direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-ILD-Schicht 209 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 10C gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 10C auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 10D zeigt eine weitere Ausführungsform, bei der die erste Wafer-Verbindungsstruktur 119 durch die erste Wafer-Bondschicht 121 und das erste leitfähige Wafer-Bondmaterial 123 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Wafer-Verbindungsstruktur 119 mit der oberen Die-Verbindungsstruktur 225, der ersten Die-Verbindungsstruktur 231 oder dem ersten Die-Gatekontakt 213 gebondet wird, die erste Wafer-Verbindungsstruktur 119 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Bei dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225 und die erste Die-Verbindungsstruktur 231 nicht ausgebildet und die mittlere Die-Verbindungsstruktur 219 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die mittlere Die-Verbindungsstruktur 219 und die erste Wafer-Bondschicht 121 wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 10D gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 10 D auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 11A zeigt eine weitere Ausführungsform, in der die obere Die-Verbindungsstruktur 225 der zweiten Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die obere Die-Verbindungsstruktur 225 mit der ersten Wafer-Bondschicht 121 über der ersten Wafer-Verbindungsstruktur 119 (wie oben beschrieben) gebondet wird, eine mittlere Wafer-Verbindungsstruktur 1101 (auch als Mx-Schichten bezeichnet) über der ersten Wafer-Verbindungsstruktur 119 ausgebildet. In einer Ausführungsform kann die mittlere Wafer-Verbindungsstruktur 1101 eine dritte Wafer-Dielektrikumsschicht 1103 und zweite Wafer-Metallleitungen 1105 umfassen, die aus ähnlichen Materialien und unter Verwendung ähnlicher Verfahren wie die dritte Die-Dielektrikumsschicht 221 und die zweiten Die-Metallleitungen 223 ausgebildet werden können (oben in Bezug auf 2 beschrieben). Zum Beispiel kann die dritte Wafer-Dielektrikumsschicht 1103 abgeschieden und strukturiert werden, um Öffnungen auszubilden, und die Öffnungen können mit leitfähigen Materialien in einem Damascene- oder Dual-Damascene-Verfahren gefüllt werden. Zusätzlich können diese Verfahren wiederholt werden, um irgendeine gewünschte Anzahl von Schichten in der mittleren Wafer-Verbindungsstruktur 1101 auszubilden.
  • In einer Ausführungsform kann die mittlere Wafer-Verbindungsstruktur 1101 mit einer achten Höhe H8 zwischen etwa 1 nm und etwa 1000 nm ausgebildet werden, beispielsweise etwa 10 nm. Zusätzlich können die zweiten Wafer Metallleitungen 1105 so ausgebildet werden, dass sie eine achte Breite W8 von zwischen etwa 1 nm und etwa 1000 nm aufweisen, beispielsweise etwa 7 nm. Es können jedoch beliebige geeignete Abmessungen verwendet werden.
  • Nachdem die mittlere Wafer-Verbindungsstruktur 1101 ausgebildet worden ist, kann die erste Wafer-Bondschicht 121 über der mittleren Wafer-Verbindungsstruktur 1101 ausgebildet werden, wie oben in Bezug auf 1A beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 aus einem Dielektrikum wie etwa Siliziumoxid bestehen, das unter Verwendung eines Verfahrens wie etwa CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 11B zeigt ein Ausbilden des ersten leitfähigen Wafer-Bondmaterials 123 in der ersten Wafer-Bondschicht 121, wie oben in Bezug auf 1B beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 unter Verwendung z. B. eines photolithographischen Maskierungs- und Ätzverfahrens strukturiert werden, um Öffnungen auszubilden, die zumindest einen Teil der zweiten Wafer-Metallleitungen 1105 freilegen, und die Öffnungen werden dann mit dem ersten leitfähigen Wafer-Bondmaterial 123 entweder in einem Damascene- oder einem Dual-Damascene-Verfahren gefüllt. Es kann jedoch jedes geeignete Herstellungsverfahren verwendet werden.
  • 11C zeigt, dass, nachdem die erste Wafer-Bondschicht 121 über der mittleren Wafer-Verbindungsstruktur 1101 ausgebildet ist, die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 unter Verwendung z. B. eines Hybridbondens mit der ersten Halbleitervorrichtung 100 gebondet werden können. In der in 11C gezeigten Ausführungsform weisen die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 beide eine oberen Die-Verbindungsstruktur 225 auf und die obere Die-Verbindungsstruktur 225 wird mit der ersten Wafer-Bondschicht 121 gebondet, wie oben in Bezug auf 3 beschrieben ist. Zum Beispiel werden die obere Die-Verbindungsstruktur 225 und die erste Wafer-Bondschicht 121 beide aktiviert und dann in körperlichen Kontakt mit einander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 11D zeigt, dass nachdem die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 gebondet sind, das erste Füllmaterial 401 abgeschieden werden kann und optionale erste Füllmaterial-Durchkontaktierungen 501 wie oben beschrieben ausgebildet werden können. Zusätzlich kann die erste Verbindungsschicht 600 ausgebildet werden und die ersten externen Verbindungen 603 können platziert werden, um externe Verbindungen bereitzustellen. Es können jedoch auch andere geeignete Verfahren verwendet werden.
  • Zusätzlich kann, obwohl nicht explizit in 11D gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 11D auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 11E zeigt eine weitere Ausführungsform, bei der die mittlere Wafer-Verbindungsstruktur 1101 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. Bei dieser Ausführungsform wird jedoch, anstatt dass die mittlere Wafer-Verbindungsstruktur 1101 mit der oberen Die-Verbindungsstruktur 225 gebondet wird, die mittlere Wafer-Verbindungsstruktur 1101 mit der ersten Die-Verbindungsstruktur 231 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225 und die mittlere Die-Verbindungsstruktur 219 nicht ausgebildet und die erste Die-Verbindungsstruktur 231 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-Verbindungsstruktur 231 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 11E gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 11E auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 11F zeigt eine weitere Ausführungsform, bei der die erste Wafer-Verbindungsstruktur 119 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die mittlere Wafer-Verbindungsstruktur 1101 mit entweder der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219 oder der ersten Die-Verbindungsstruktur 231 gebondet wird, die mittlere Wafer-Verbindungsstruktur 1101 mit dem ersten Die-Gatekontakt 213 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219 und die erste Die-Verbindungsstruktur 231 nicht ausgebildet und der erste Die-Gatekontakt 213 und die erste Die-Gatekontakt-Dielektrikumsschicht 211 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 z. B. unter Verwendung eines Hybridbondens gebondet. Zum Beispiel werden der erste Die-Gatekontakt 213 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 11F gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 11F auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 11G zeigt eine weitere Ausführungsform, bei der die erste Wafer-Verbindungsstruktur 119 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. Bei dieser Ausführungsform wird jedoch, anstatt dass die mittlere Wafer-Verbindungsstruktur 1101 entweder mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219, der ersten Die-Verbindungsstruktur 231 oder dem erste Die-Gatekontakt 213 gebondet wird, die mittlere Wafer-Verbindungsstruktur 1101 mit dem ersten Die-Gatestapel 207 gebondet. Bei dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219, die erste Die-Verbindungsstruktur 231 und der erste Die-Gatekontakt 213 nicht ausgebildet und der erste Die-Gatestapel 207 und die erste Die-ILD-Schicht 209 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-ILD-Schicht 209 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 11G gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 11G auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 11H zeigt eine weitere Ausführungsform, bei der die mittlere Wafer-Verbindungsstruktur 1101 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die mittlere Wafer-Verbindungsstruktur 1101 mit der oberen Die-Verbindungsstruktur 225 gebondet wird, die mittlere Wafer-Verbindungsstruktur 1101 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Bei dieser Ausführungsform ist die obere Die-Verbindungsstruktur 225 nicht ausgebildet und die mittlere Die-Verbindungsstruktur 219 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die mittlere Die-Verbindungsstruktur 219 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 11H gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 11H auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 12A zeigt eine weitere Ausführungsform, in der die obere Die-Verbindungsstruktur 225 der zweiten Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die obere Die-Verbindungsstruktur 225 über der ersten Wafer-Verbindungsstruktur 119 mit der ersten Wafer-Bondschicht 121 gebondet wird (wie oben beschrieben), eine obere Wafer-Verbindungsstruktur 1201 über der mittleren Wafer-Verbindungsstruktur 1101 ausgebildet. In einer Ausführungsform kann die obere Wafer-Verbindungsstruktur 1201 eine vierte Wafer-Dielektrikumsschicht 1203 und dritte Wafer-Metallleitungen 1205 umfassen, die aus ähnlichen Materialien und unter Verwendung ähnlicher Verfahren wie die vierte Die-Dielektrikumsschicht 227 und die dritten Metall-Metallleitungen 229 ausgebildet werden können (oben in Bezug auf 2 beschrieben). Zum Beispiel kann die vierte Wafer-Dielektrikumsschicht 1203 abgeschieden und strukturiert werden, um Öffnungen auszubilden, und die Öffnungen können mit leitfähigen Materialien in einem Damascene- oder Dual-Damascene-Verfahren gefüllt werden. Zusätzlich können diese Verfahren wiederholt werden, um irgendeine gewünschte Anzahl von Schichten in der oberen Wafer-Verbindungsstruktur 1201 auszubilden.
  • In einer Ausführungsform kann die obere Die-Verbindungsstruktur 225 mit einer neunten Höhe H9 zwischen etwa 1 nm und etwa 1000 nm ausgebildet werden, beispielsweise etwa 5 nm. Zusätzlich können die dritten Wafer-Metallleitungen 1205 mit einer Dicke von zwischen etwa 1 nm und etwa 1000 nm, beispielsweise etwa 5 nm, ausgebildet werden und können eine neunte Breite W9 zwischen etwa 1 nm und etwa 1000 nm aufweisen, beispielsweise etwa 7 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • Nachdem die obere Wafer-Verbindungsstruktur 1201 ausgebildet worden ist, kann die erste Wafer-Bondschicht 121 über der oberen Wafer-Verbindungsstruktur 1201 ausgebildet werden, wie oben in Bezug auf 1A beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 aus einem Dielektrikum wie etwa Siliziumoxid bestehen, das unter Verwendung eines Verfahrens wie etwa CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 12B zeigt ein Ausbilden des ersten leitfähigen Wafer-Bondmaterials 123 in der ersten Wafer-Bondschicht 121, wie oben in Bezug auf 1B beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 unter Verwendung z. B. eines photolithographischen Maskierungs- und Ätzverfahrens strukturiert werden, um Öffnungen auszubilden, die mindestens einen Teil der dritten Wafer-Metallleitungen 1205 freilegen, und die Öffnungen werden dann mit dem ersten leitfähigen Wafer-Bondmaterial 123 gefüllt. Es kann jedoch jedes geeignete Herstellungsverfahren verwendet werden.
  • 12C zeigt, dass, nachdem die erste Wafer-Bondschicht 121 über der oberen Wafer-Verbindungsstruktur 1201 ausgebildet ist, die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 unter Verwendung z. B. eines Hybridbondens mit der ersten Halbleitervorrichtung 100 gebondet werden können. In der in 12C gezeigten Ausführungsform weisen die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 beide eine oberen Die-Verbindungsstruktur 225 auf und die obere Die-Verbindungsstruktur 225 wird mit der ersten Wafer-Bondschicht 121 gebondet, wie oben in Bezug auf 3 beschrieben ist. Zum Beispiel werden die obere Die-Verbindungsstruktur 225 und die erste Wafer-Bondschicht 121 beide aktiviert und dann in körperlichen Kontakt mit einander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 12D zeigt, dass, nachdem die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 mit der ersten Halbleitervorrichtung 100 gebondet sind, das erste Füllmaterial 401 abgeschieden werden kann und optionale erste Füllmaterial-Durchkontaktierungen 501 wie oben beschrieben ausgebildet werden können. Zusätzlich kann die erste Verbindungsschicht 600 ausgebildet werden und die ersten externen Verbindungen 603 können platziert werden, um externe Verbindungen bereitzustellen. Es können jedoch auch andere geeignete Verfahren verwendet werden.
  • Zusätzlich kann, obwohl nicht explizit in 12D gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 12D auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 12E zeigt eine weitere Ausführungsform, bei der die obere Wafer-Verbindungsstruktur 1201 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die obere Wafer-Verbindungsstruktur 1201 mit der oberen Die-Verbindungsstruktur 225 gebondet wird, die obere Wafer-Verbindungsstruktur 1201 mit der ersten Die-Verbindungsstruktur 231 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225 und die mittlere Die-Verbindungsstruktur 219 nicht ausgebildet und die erste Die-Verbindungsstruktur 231 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-Verbindungsstruktur 231 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 12E gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 12E auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 12F zeigt eine weitere Ausführungsform, bei der die obere Wafer-Verbindungsstruktur 1201 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die obere Wafer-Verbindungsstruktur 1201 entweder mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219 oder der ersten Die-Verbindungsstruktur 231 gebondet wird, die obere Wafer-Verbindungsstruktur 1201 mit dem ersten Die-Gatekontakt 213 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219 und die erste Die-Verbindungsstruktur 231 nicht ausgebildet und der erste Die-Gatekontakt 213 und die erste Die-Gatekontakt-Dielektrikumsschicht 211 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden der erste Die-Gatekontakt 213 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 12F gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 12F auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 12G zeigt eine weitere Ausführungsform, bei der die obere Wafer-Verbindungsstruktur 1201 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die obere Wafer-Verbindungsstruktur 1201 entweder mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219, der ersten Die-Verbindungsstruktur 231 oder dem ersten Die-Gatekontakt 213 gebondet wird, die obere Wafer-Verbindungsstruktur 1201 mit dem ersten Die-Gatestapel 207 gebondet. Bei dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219, die erste Die-Verbindungsstruktur 231 und der erste Die-Gatekontakt 213 nicht ausgebildet und der erste Die-Gatestapel 207 und die erste Die-ILD-Schicht 209 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-ILD-Schicht 209 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 12G gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 12G auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 12H zeigt eine weitere Ausführungsform, bei der die obere Wafer-Verbindungsstruktur 1201 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die obere Wafer-Verbindungsstruktur 1201 mit der oberen Die-Verbindungsstruktur 225 gebondet ist, die obere Wafer-Verbindungsstruktur 1201 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Bei dieser Ausführungsform ist die obere Die-Verbindungsstruktur 225 nicht ausgebildet und die mittlere Die-Verbindungsstruktur 219 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die mittlere Die-Verbindungsstruktur 219 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 12H gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 12H auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 13A zeigt eine weitere Ausführungsform, in der die obere Die-Verbindungsstruktur 225 der zweiten Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die obere Die-Verbindungsstruktur 225 über der ersten Wafer-Verbindungsstruktur 119 mit der ersten Wafer-Bondschicht 121 gebondet wird (wie oben beschrieben), die erste Wafer-Bondschicht 121 über dem ersten Wafer-Gatekontakt 111 ausgebildet, während die obere Wafer-Verbindungsstruktur 1201, die mittlere Wafer-Verbindungsstruktur 1101 und die erste Wafer-Verbindungsstruktur 119 nicht ausgebildet werden. In dieser Ausführungsform kann die erste Wafer-Bondschicht 121 über dem ersten Wafer-Gatekontakt 111 ausgebildet werden, wie oben in Bezug auf 1A beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 aus einem Dielektrikum wie etwa Siliziumoxid bestehen, das unter Verwendung eines Verfahrens wie etwa CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 13B zeigt ein Ausbilden des ersten leitfähigen Wafer-Bondmaterials 123 in der ersten Wafer-Bondschicht 121, wie oben in Bezug auf 1B beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 unter Verwendung z. B. eines photolithographischen Maskierungs- und Ätzverfahrens strukturiert werden, um Öffnungen auszubilden, die mindestens einen Teil des ersten Wafer-Gatekontakts 111 freilegen, und die Öffnungen werden dann mit dem ersten leitfähigen Wafer-Bondmaterial 123 gefüllt. Es kann jedoch jedes geeignete Herstellungsverfahren verwendet werden.
  • In dieser Ausführungsform kann jedoch das erste leitfähige Wafer-Bondmaterial 123 so ausgebildet werden, dass ein Kontakt mit dem darunter liegenden ersten Wafer-Gatekontakt 111 sichergestellt wird. Somit kann in einer Ausführungsform das erste leitfähige Wafer-Bondmaterial 123 so ausgebildet werden, dass es einen ersten Abstand D1 zwischen etwa 1 nm und etwa 1000 nm aufweist, beispielsweise etwa 7 nm. Zusätzlich kann das erste leitfähige Wafer-Bondmaterial 123 so ausgebildet werden, dass es einen zweiten Mittenabstand P2 zwischen etwa 2 nm und etwa 2000 nm aufweist, beispielsweise etwa 36 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • 13C zeigt, dass, nachdem die erste Wafer-Bondschicht 121 über dem ersten Wafer-Gatekontakt 111 ausgebildet ist, die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 unter Verwendung z. B. eines Hybridbondens mit der ersten Halbleitervorrichtung 100 gebondet werden können. In der in 13C gezeigten Ausführungsform weisen die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 beide eine oberen Die-Verbindungsstruktur 225 auf und die obere Die-Verbindungsstruktur 225 wird mit der ersten Wafer-Bondschicht 121 gebondet, wie oben in Bezug auf 3 beschrieben ist. Zum Beispiel werden die obere Die-Verbindungsstruktur 225 und die erste Wafer-Bondschicht 121 beide aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 13D zeigt, dass, nachdem die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 mit der ersten Halbleitervorrichtung 100 gebondet sind, das erste Füllmaterial 401 abgeschieden werden kann und optionale erste Füllmaterial-Durchkontaktierungen 501 wie oben beschrieben ausgebildet werden können. Zusätzlich kann die erste Verbindungsschicht 600 ausgebildet werden und die ersten externen Verbindungen 603 können platziert werden, um externe Verbindungen bereitzustellen. Es können jedoch auch andere geeignete Verfahren verwendet werden.
  • Zusätzlich kann, obwohl nicht explizit in 13D gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 13D auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 13E zeigt eine weitere Ausführungsform, bei der der erste Wafer-Gatekontakt 111 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatekontakt 111 mit der oberen Die-Verbindungsstruktur 225 gebondet wird, der erste Wafer-Gatekontakt 111 mit der ersten Die-Verbindungsstruktur 231 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225 und die mittlere Die-Verbindungsstruktur 219 nicht ausgebildet und die erste Die-Verbindungsstruktur 231 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-Verbindungsstruktur 231 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 13E gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 13E auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 13F zeigt eine weitere Ausführungsform, bei der der erste Wafer-Gatekontakt 111 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatekontakt 111 entweder mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219 oder der ersten Die-Verbindungsstruktur 231 gebondet wird, der erste Wafer-Gatekontakt 111 mit dem ersten Die-Gatekontakt 213 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219 und die erste Die-Verbindungsstruktur 231 nicht ausgebildet und der erste Die-Gatekontakt 213 und die erste Die-Gatekontakt-Dielektrikumsschicht 211 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 z. B. unter Verwendung eines Hybridbondens gebondet. Zum Beispiel werden der erste Die-Gatekontakt 213 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 13F gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 13F auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 13G zeigt eine weitere Ausführungsform, bei der der erste Wafer-Gatekontakt 111 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatekontakt 111 entweder mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219, der ersten Die-Verbindungsstruktur 231 oder dem ersten Die-Gatekontakt 213 gebondet wird, der Wafer-Gatekontakt 111 mit dem ersten Die-Gatestapel 207 gebondet. Bei dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219, die erste Die-Verbindungsstruktur 231 und der erste Die-Gatekontakt 213 nicht ausgebildet und der erste Die-Gatestapel 207 und die erste Die-ILD-Schicht 209 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-ILD-Schicht 209 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 13G gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 13G auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 13H zeigt eine weitere Ausführungsform, bei der der erste Wafer-Gatekontakt 111 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatekontakt 111 mit der oberen Die-Verbindungsstruktur 225 gebondet wird, der erste Wafer-Gatekontakt 111 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Bei dieser Ausführungsform ist die obere Die-Verbindungsstruktur 225 nicht ausgebildet und die mittlere Die-Verbindungsstruktur 219 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die mittlere Die-Verbindungsstruktur 219 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 13H gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 13H auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 14A zeigt eine weitere Ausführungsform, in der die obere Die-Verbindungsstruktur 225 der zweiten Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass die obere Die-Verbindungsstruktur 225 über der ersten Wafer-Verbindungsstruktur 119 mit der ersten Wafer-Bondschicht 121 gebondet wird (wie oben beschrieben), die erste Wafer-Bondschicht 121 über dem ersten Wafer-Gatestapel ausgebildet, während die obere Wafer-Verbindungsstruktur 1201, die mittlere Wafer-Verbindungsstruktur 1101, die erste Wafer-Verbindungsstruktur 119 und der erste Wafer-Gatekontakt 111 nicht ausgebildet sind. In dieser Ausführungsform kann die erste Wafer-Bondschicht 121 über dem ersten Wafer-Gatestapel 109 ausgebildet werden, wie oben in Bezug auf 1A beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 aus einem Dielektrikum wie etwa Siliziumoxid bestehen, das unter Verwendung eines Verfahrens wie etwa CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 14B zeigt ein Ausbilden des ersten leitfähigen Wafer-Bondmaterials 123 in der ersten Wafer-Bondschicht 121, wie oben in Bezug auf 1B beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 z. B. unter Verwendung eines photolithographischen Maskierungs- und Ätzverfahrens strukturiert werden, um Öffnungen auszubilden, die zumindest einen Teil des ersten Wafer-Gatestapels 109 freilegen, und die Öffnungen werden dann mit dem ersten leitfähigen Wafer-Bondmaterial 123 gefüllt. Es kann jedoch jedes geeignete Herstellungsverfahren verwendet werden.
  • In dieser Ausführungsform kann jedoch das erste leitfähige Wafer-Bondmaterial 123 so ausgebildet werden, dass ein Kontakt mit dem darunter liegenden ersten Wafer-Gatestapel 109 sichergestellt wird. Somit kann in einer Ausführungsform das erste leitfähige Wafer-Bondmaterial 123 so ausgebildet werden, dass es einen zweiten Abstand D2 zwischen etwa 1 nm und etwa 1000 nm aufweist, beispielsweise etwa 7 nm. Zusätzlich kann das erste leitfähige Wafer-Bondmaterial 123 so ausgebildet werden, dass es einen dritten Mittenabstand P3 zwischen etwa 2 nm und etwa 2000 nm aufweist, beispielsweise etwa 36 nm. Jedoch können irgendwelche geeigneten Abmessungen verwendet werden.
  • 14C zeigt, dass, nachdem die erste Wafer-Bondschicht 121 über dem ersten Wafer-Gatestapel 109 ausgebildet ist, die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 unter Verwendung z. B. eines Hybridbondens mit der ersten Halbleitervorrichtung 100 gebondet werden können. In der in 14C gezeigten Ausführungsform weisen die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 beide eine oberen Die-Verbindungsstruktur 225 auf und die obere Die-Verbindungsstruktur 225 wird mit der ersten Wafer-Bondschicht 121 gebondet, wie oben in Bezug auf 3 beschrieben ist. Zum Beispiel werden die obere Die-Verbindungsstruktur 225 und die erste Wafer-Bondschicht 121 beide aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 14D zeigt, dass, nachdem die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 gebondet sind, das erste Füllmaterial 401 abgeschieden werden kann und optionale erste Füllmaterial-Durchkontaktierungen 501 wie oben beschrieben ausgebildet werden können. Zusätzlich kann die erste Verbindungsschicht 600 ausgebildet werden und die ersten externen Verbindungen 603 können platziert werden, um externe Verbindungen bereitzustellen. Es können jedoch auch andere geeignete Verfahren verwendet werden.
  • Zusätzlich kann, obwohl nicht explizit in 14D gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 14D auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 14E zeigt eine weitere Ausführungsform, bei der der erste Wafer-Gatekontakt 111 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatestapel 109 mit der oberen Die-Verbindungsstruktur 225 gebondet wird, der erste Wafer-Gatestapel 109 mit der ersten Die-Verbindungsstruktur 231 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225 und die mittlere Die-Verbindungsstruktur 219 nicht ausgebildet und die erste Die-Verbindungsstruktur 231 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-Verbindungsstruktur 231 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 14E gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 14E auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination von Elementen kann verwendet werden.
  • 14F zeigt eine weitere Ausführungsform, bei der der erste Wafer-Gatekontakt 111 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatekontakt 111 entweder mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219 oder der ersten Die-Verbindungsstruktur 231 gebondet wird, der erste Wafer-Gatestapel 109 mit dem ersten Die-Gatekontakt 213 gebondet. In dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219 und die erste Die-Verbindungsstruktur 231 nicht ausgebildet und der erste Die-Gatekontakt 213 und die erste Die-Gatekontakt-Dielektrikumsschicht 211 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-Gatekontakt-Dielektrikumsschicht 211 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 14F gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 14F auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden.
  • 14G zeigt eine weitere Ausführungsform, bei der der erste Wafer-Gatestapel 109 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatestapel 109 entweder mit der oberen Die-Verbindungsstruktur 225, der mittleren Die-Verbindungsstruktur 219, der ersten Die-Verbindungsstruktur 231 oder dem ersten Die-Gatekontakt 213 gebondet wird, der erste Wafer-Gatestapel 109 mit dem ersten Die-Gatestapel 207 gebondet. Bei dieser Ausführungsform sind die obere Die-Verbindungsstruktur 225, die mittlere Die-Verbindungsstruktur 219, die erste Die-Verbindungsstruktur 231 und der erste Die-Gatekontakt 213 nicht ausgebildet und der erste Die-Gatestapel 207 und die erste Die-ILD-Schicht 209 werden direkt mit der ersten Wafer-Bondschicht 121 und dem ersten leitfähigen Wafer-Bondmaterial 123 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die erste Die-ILD-Schicht 209 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 14G gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3 - 6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 14G auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 14H zeigt eine weitere Ausführungsform, bei der der erste Wafer-Gatestapel 109 durch die erste Wafer-Bondschicht 121 mit der zweiten Halbleitervorrichtung 200 gebondet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatestapel 109 mit der oberen Die-Verbindungsstruktur 225 gebondet wird, der erste Wafer-Gatestapel 109 mit der mittleren Die-Verbindungsstruktur 219 gebondet. In dieser Ausführungsform ist die obere Die-Verbindungsstruktur 225 nicht ausgebildet und die mittlere Die-Verbindungsstruktur 219 wird direkt mit der ersten Wafer-Bondschicht 121 unter Verwendung z. B. eines Hybridbondens gebondet. Zum Beispiel werden die mittlere Die-Verbindungsstruktur 219 und die erste Wafer-Bondschicht 121 beide wie oben beschrieben aktiviert und dann in körperlichen Kontakt miteinander gebracht. Hitze und Druck werden dann angewendet, um die Bindung zu verstärken.
  • Zusätzlich kann, obwohl nicht explizit in 14H gezeigt, nachdem die zweite Halbleitervorrichtung 200 mit der ersten Halbleitervorrichtung 100 gebondet ist, die dritte Halbleitervorrichtung 300 auch gebondet werden, das erste Füllmaterial 401 kann abgeschieden werden, die ersten Füllmaterial-Durchkontaktierungen 501 können ausgebildet werden, die erste Verbindungsschicht 600 kann ausgebildet werden und die ersten externen Verbindungen 603 können ausgebildet werden, wie oben mit Bezug auf die 3-6 beschrieben ist. In ähnlicher Weise kann die Ausführungsform in 14H auch in irgendeiner der Ausführungsformen verwendet werden, die oben unter Bezugnahme auf die 7 - 9 beschrieben wurden, in denen die vierte Halbleitervorrichtung 700, ein zweites Füllmaterial 703 und/oder eine zweite Verbindungsschicht 900 verwendet werden. Jede geeignete Kombination kann verwendet werden.
  • 15A zeigt eine weitere Ausführungsform, in der die erste Halbleitervorrichtung 100 ein Wafer ist und homogen mit der zweiten Halbleitervorrichtung 200 und der dritten Halbleitervorrichtung 300 gebondet wird, während die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 Teil eines zweiten Wafers 1501 vor der Vereinzelung sind. In dieser Ausführungsform werden die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 wie oben in Bezug auf die 2 und 3 beschrieben ausgebildet. Zum Beispiel können sowohl die zweite Halbleitervorrichtung 200 als auch die dritte Halbleitervorrichtung 300 mit den ersten Die-Gatestapeln 207, den ersten Die-Gatekontakten 213 und der ersten Die-Verbindungsstruktur 231 ausgebildet sein. Der zweite Wafer 1501 wurde vor dem Verbinden des zweiten Wafers 1501 mit dem ersten Wafer der ersten Halbleitervorrichtung 100 jedoch nicht vereinzelt.
  • Zusätzlich können in dieser Ausführungsform zweite Substratdurchkontaktierungen 1507 in dem zweiten Wafer 1501 ausgebildet sein. In einer Ausführungsform können die zweiten Substratdurchkontaktierungen 1507 auf eine ähnliche Art und Weise und unter Verwendung ähnlicher Materialien wie die ersten Substratdurchkontaktierungen 202 ausgebildet werden (oben mit Bezug auf 2 beschrieben). Zum Beispiel wird eine Öffnung ausgebildet, die sich in das erste Die-Substrat 201 erstreckt, und dann mit Sperrschichten und leitfähigen Materialien gefüllt, um die Öffnung zu füllen und/oder zu überfüllen. Überschüssiges Material wird dann entfernt, indem z. B. ein Planarisierungsverfahren wie chemisch-mechanisches Polieren verwendet wird.
  • Eine zweite Wafer-Bondschicht 1503 kann auf der ersten Die-Verbindungsstruktur 231 auf dem zweiten Wafer 1501 ausgebildet werden. In einer Ausführungsform kann die zweite Wafer-Bondschicht 1503 aus ähnlichen Materialien und auf ähnliche Weise wie die erste Wafer-Bondschicht 121 ausgebildet werden, wie oben mit Bezug auf 1A beschrieben. Zum Beispiel kann die zweite Wafer-Bondschicht 1503 aus einem Bondmaterial wie etwa Siliziumoxid bestehen, das unter Verwendung eines Abscheidungsverfahrens wie CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • Nachdem die zweite Wafer-Bondschicht 1503 ausgebildet worden ist, kann ein zweites leitfähiges Wafer-Bondmaterial 1505 in der zweiten Wafer-Bondschicht 1503 ausgebildet werden. In einer Ausführungsform kann das zweite leitfähige Wafer-Bondmaterial 1505 aus ähnlichem Material und auf ähnliche Weise wie das erste leitfähige Wafer-Bondmaterial 123 ausgebildet werden (oben mit Bezug auf 1A beschrieben). Zum Beispiel kann das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet werden, indem anfänglich die zweite Wafer-Bondschicht 1503 zum Ausbilden von Öffnungen strukturiert wird und dann die Öffnungen mit einem Material wie Kupfer gefüllt und/oder überfüllt werden, bevor überschüssiges Material außerhalb der Öffnungen mit einem Planarisierungsverfahren wie chemisch-mechanischem Polieren entfernt wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 15B zeigt, dass, nachdem das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet worden ist, das zweite leitfähige Wafer-Bondmaterial 1505 und die zweite Wafer-Bondschicht 1503 mit dem ersten leitfähigen Wafer-Bondmaterial 123 bzw. der ersten Wafer-Bondschicht 121 zum Beispiel unter Verwendung eines Hybridbondens gebondet werden können, wie oben in Bezug auf 3 beschrieben. Zum Beispiel können die Oberflächen der zweiten Wafer-Bondschicht 1503 und der ersten Wafer-Bondschicht 121 aktiviert und miteinander ausgerichtet werden, bevor sie in körperlichen Kontakt miteinander gebracht werden. Dann können Wärme und Druck angewendet werden, um die Bindungen zu verstärken und sicherzustellen, dass das zweite leitfähige Wafer-Bondmaterial 1505 mit dem ersten leitfähigen Wafer-Bondmaterial 123 gebondet wird. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 15C zeigt ein Verdünnen des ersten Die-Substrats 201 des zweiten Wafers 1501, um die zweiten Substratdurchkontaktierungen 1507 freizulegen. In einer Ausführungsform kann der zweite Wafer 1501 unter Verwendung eines Planarisierungsverfahrens, wie etwa einer chemisch-mechanischen Planarisierung, verdünnt werden. Es kann jedoch auch jedes andere geeignete Planarisierungsverfahren wie Schleifen oder sogar eine Folge von einem oder mehreren Ätzungen verwendet werden.
  • 15D zeigt, dass, nachdem die zweiten Substratdurchkontaktierungen 1507 freigelegt sind, die erste Verbindungsschicht 600 über der Rückseite des zweiten Wafers 1501 und in elektrischer Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden kann. In einer Ausführungsform kann die erste Verbindungsschicht 600 wie oben mit Bezug auf 6 beschrieben ausgebildet werden. Zum Beispiel können dielektrische Schichten abgeschieden und strukturiert werden und die resultierenden Öffnungen können mit leitfähigem Material gefüllt werden, wobei entweder ein Damascene- oder ein Dual-Damascene-Verfahren verwendet wird. Die erste Verbindungsschicht 600 kann jedoch unter Verwendung beliebiger geeigneter Materialien und Verfahren ausgebildet werden.
  • 15D zeigt zusätzlich die Ausbildung und/oder Anordnung der ersten externen Verbindungen 603 in Verbindung mit der ersten Verbindungsschicht 600. In einer Ausführungsform können die ersten externen Verbindungen 603 wie oben in Bezug auf 4 beschrieben ausgebildet werden. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 15E zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Wafer-Verbindungsstruktur 119 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 15A - 15D beschrieben), die erste Wafer-Verbindungsstruktur 119 mit der oberen Die-Verbindungsstruktur 225 gebondet. Zum Beispiel wird, wie in 15E gezeigt, die erste Wafer-Verbindungsstruktur 119 (auf der ersten Halbleitervorrichtung 100) mit der oberen Die-Verbindungsstruktur 225 (auf dem zweiten Wafer 1501) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der ersten Wafer-Verbindungsstruktur 119 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der oberen Die-Verbindungsstruktur 225 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden die erste Wafer-Verbindungsstruktur 119 und die obere Die-Verbindungsstruktur 225 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 15E nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 15F zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 15A - 15D beschrieben), die erste Halbleitervorrichtung 100 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Zum Beispiel wird, wie in 15F gezeigt, die mittlere Die-Verbindungsstruktur 219 (auf dem zweiten Wafer 1501) mit der ersten Wafer-Verbindungsstruktur 119 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der ersten Wafer-Verbindungsstruktur 119 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der mittleren Die-Verbindungsstruktur 219 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden die mittlere Die-Verbindungsstruktur 219 und die erste Wafer-Verbindungsstruktur 119 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 15F nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 15G zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 15A - 15D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatekontakt 213 gebondet. Zum Beispiel wird, wie in 15G gezeigt, der erste Die-Gatekontakt 213 (auf dem zweiten Wafer 1501) mit der ersten Wafer-Verbindungsstruktur 119 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der ersten Wafer-Verbindungsstruktur 119 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatekontakt 213 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatekontakt 213 und die erste Wafer-Verbindungsstruktur 119 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 15G nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 15H zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 15A - 15D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatestapel 207 gebondet. Zum Beispiel wird, wie in 15H gezeigt, der erste Die-Gatestapel 207 (auf dem zweiten Wafer 1501) mit der ersten Wafer-Verbindungsstruktur 119 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der ersten Wafer-Verbindungsstruktur 119 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatestapel 207 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatestapel 207 und die erste Wafer-Verbindungsstruktur 119 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 15H nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 16A zeigt eine weitere Ausführungsform, in der die erste Halbleitervorrichtung 100 ein Wafer ist und homogen mit der zweiten Halbleitervorrichtung 200 und der dritten Halbleitervorrichtung 300 gebondet wird, während die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 Teil eines zweiten Wafers 1501 vor der Vereinzelung sind. In dieser Ausführungsform kann die zweite Wafer-Bondschicht 1503 auf der ersten Die-Verbindungsstruktur 231 auf dem zweiten Wafer 1501 ausgebildet werden. In einer Ausführungsform kann die zweite Wafer-Bondschicht 1503 aus ähnlichen Materialien und auf ähnliche Weise wie die erste Wafer-Bondschicht 121 ausgebildet werden, wie oben mit Bezug auf 1A beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 aus einem Bondmaterial wie Siliziumoxid bestehen, das unter Verwendung eines Abscheidungsverfahrens wie etwa CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • Nachdem die zweite Wafer-Bondschicht 1503 ausgebildet worden ist, kann das zweite leitfähige Wafer-Bondmaterial 1505 in der zweiten Wafer-Bondschicht 1503 ausgebildet werden. In einer Ausführungsform kann das zweite leitfähige Wafer-Bondmaterial 1505 aus ähnlichem Material und auf ähnliche Weise wie das erste leitfähige Wafer-Bondmaterial 123 ausgebildet werden (oben mit Bezug auf 1A beschrieben). Zum Beispiel kann das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet werden, indem anfänglich die zweite Wafer-Bondschicht 1503 zum Ausbilden von Öffnungen strukturiert wird und dann die Öffnungen mit einem Material wie Kupfer gefüllt und/oder überfüllt werden, bevor überschüssiges Material außerhalb der Öffnungen mit einem Planarisierungsverfahren wie chemisch-mechanischem Polieren entfernt wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 16B zeigt, dass, nachdem das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet wurde, das zweite leitfähige Wafer-Bondmaterial 1505 und die zweite Wafer-Bondschicht 1503 mit dem ersten leitfähigen Wafer-Bondmaterial 123 bzw. der ersten Wafer-Bondschicht 121 unter Verwendung beispielsweise eines Hybridbondens gebondet werden können, wie oben in Bezug auf 3 beschrieben. Zum Beispiel können die Oberflächen der zweiten Wafer-Bondschicht 1503 und der ersten Wafer-Bondschicht 121 aktiviert und miteinander ausgerichtet werden, bevor sie in körperlichen Kontakt miteinander gebracht werden. Dann können Wärme und Druck angewendet werden, um die Bindungen zu verstärken und sicherzustellen, dass das zweite leitfähige Wafer-Bondmaterial 1505 mit dem ersten leitfähigen Wafer-Bondmaterial 123 gebondet wird. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 16C zeigt eine Verdünnung des zweiten Substrats des zweiten Wafers 1501, um die zweiten Substratdurchkontaktierungen 1507 freizulegen. In einer Ausführungsform kann der zweite Wafer 1501 unter Verwendung eines Planarisierungsverfahrens, wie etwa einer chemisch-mechanischen Planarisierung, verdünnt werden. Es kann jedoch auch jedes andere geeignete Planarisierungsverfahren wie Schleifen oder sogar eine Folge von einem oder mehreren Ätzungen verwendet werden.
  • 16D zeigt, dass, nachdem die zweiten Substratdurchkontaktierungen 1507 freigelegt sind, die erste Verbindungsschicht 600 über der Rückseite des zweiten Wafers 1501 und in elektrischer Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden kann. In einer Ausführungsform kann die erste Verbindungsschicht 600 wie oben mit Bezug auf 6 beschrieben ausgebildet werden. Die erste Verbindungsschicht 600 kann jedoch unter Verwendung beliebiger geeigneter Materialien und Verfahren ausgebildet werden.
  • 16D zeigt zusätzlich die Ausbildung und/oder Anordnung der ersten externen Verbindungen 603 in Verbindung mit der ersten Verbindungsschicht 600. In einer Ausführungsform können die ersten externen Verbindungen 603 wie oben in Bezug auf 6 beschrieben ausgebildet werden. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 16E zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die mittlere Wafer-Verbindungsstruktur 1101 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 16A - 16D beschrieben), die mittlere Wafer-Verbindungsstruktur 1101 mit der oberen Die-Verbindungsstruktur 225 gebondet. Zum Beispiel wird, wie in 16E gezeigt, die mittlere Wafer-Verbindungsstruktur 1101 (auf der ersten Halbleitervorrichtung 100) mit der oberen Die-Verbindungsstruktur 225 (auf dem zweiten Wafer 1501) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der mittleren Wafer-Verbindungsstruktur 1101 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der oberen Die-Verbindungsstruktur 225 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden die mittlere Wafer-Verbindungsstruktur 1101 und die obere Die-Verbindungsstruktur 225 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 16E nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 16F zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 (wie oben mit Bezug auf die 16A - 16D beschrieben) gebondet wird, die erste Halbleitervorrichtung 100 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Zum Beispiel wird, wie in 16F gezeigt, die mittlere Die-Verbindungsstruktur 219 (auf dem zweiten Wafer 1501) mit der mittleren Wafer-Verbindungsstruktur 1101 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der mittleren Wafer-Verbindungsstruktur 1101 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der mittleren Die-Verbindungsstruktur 219 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden die mittlere Die-Verbindungsstruktur 219 und die mittlere Wafer-Verbindungsstruktur 1101 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 16E nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 16G zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 16A - 16D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatekontakt 213 gebondet. Zum Beispiel wird, wie in 16G gezeigt, der erste Die-Gatekontakt 213 (auf dem zweiten Wafer 1501) mit der mittleren Wafer-Verbindungsstruktur 1101 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der mittleren Wafer-Verbindungsstruktur 1101 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatekontakt 213 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatekontakt 213 und die mittlere Wafer-Verbindungsstruktur 1101 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 16G nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, eine zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 16H zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 16A - 16D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatestapel 207 gebondet. Zum Beispiel wird, wie in 16H gezeigt, der erste Die-Gatestapel 207 (auf dem zweiten Wafer 1501) mit der mittleren Wafer-Verbindungsstruktur 1101 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der mittleren Wafer-Verbindungsstruktur 1101 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatestapel 207 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatestapel 207 und die mittlere Wafer-Verbindungsstruktur 1101 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 16G nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, eine zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 17A zeigt eine weitere Ausführungsform, in der die erste Halbleitervorrichtung 100 ein Wafer ist und homogen mit der zweiten Halbleitervorrichtung 200 und der dritten Halbleitervorrichtung 300 gebondet wird, während die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 Teil des zweiten Wafers 1501 vor der Vereinzelung sind. In dieser Ausführungsform kann die zweite Wafer-Bondschicht 1503 auf der ersten Die-Verbindungsstruktur 231 auf dem zweiten Wafer 1501 ausgebildet werden. In einer Ausführungsform kann die zweite Wafer-Bondschicht 1503 aus ähnlichen Materialien und auf ähnliche Weise wie die erste Wafer-Bondschicht 121 ausgebildet werden, wie oben mit Bezug auf 1A beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 aus einem Bondmaterial wie Siliziumoxid bestehen, das unter Verwendung eines Abscheidungsverfahrens wie etwa CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • Nachdem die zweite Wafer-Bondschicht 1503 ausgebildet worden ist, kann das zweite leitfähige Wafer-Bondmaterial 1505 in der zweiten Wafer-Bondschicht 1503 ausgebildet werden. In einer Ausführungsform kann das zweite leitfähige Wafer-Bondmaterial 1505 aus ähnlichem Material und auf ähnliche Weise wie das erste leitfähige Wafer-Bondmaterial 123 ausgebildet werden (oben mit Bezug auf 1A beschrieben). Zum Beispiel kann das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet werden, indem anfänglich die zweite Wafer-Bondschicht 1503 zum Ausbilden von Öffnungen strukturiert wird und dann die Öffnungen mit einem Material wie Kupfer gefüllt und/oder überfüllt werden, bevor überschüssiges Material außerhalb der Öffnungen mit einem Planarisierungsverfahren wie chemisch-mechanischem Polieren entfernt wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 17B zeigt, dass, nachdem das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet worden ist, das zweite leitfähige Wafer-Bondmaterial 1505 und die zweite Wafer-Bondschicht 1503 mit dem ersten leitfähigen Wafer-Bondmaterial 123 bzw. der ersten Wafer-Bondschicht 121 zum Beispiel unter Verwendung eines Hybridbondens gebondet werden können, wie oben in Bezug auf 3 beschrieben. Zum Beispiel können die Oberflächen der zweiten Wafer-Bondschicht 1503 und der ersten Wafer-Bondschicht 121 aktiviert und miteinander ausgerichtet werden, bevor sie in körperlichen Kontakt miteinander gebracht werden. Dann können Wärme und Druck angewendet werden, um die Bindungen zu verstärken und sicherzustellen, dass das zweite leitfähige Wafer-Bondmaterial 1505 mit dem ersten leitfähigen Wafer-Bondmaterial 123 gebondet wird. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 17C zeigt eine Verdünnung des zweiten Substrats des zweiten Wafers 1501, um die zweiten Substratdurchkontaktierungen 1507 freizulegen. In einer Ausführungsform kann der zweite Wafer 1501 unter Verwendung eines Planarisierungsverfahrens, wie etwa einer chemisch-mechanischen Planarisierung, verdünnt werden. Es kann jedoch auch jedes andere geeignete Planarisierungsverfahren wie Schleifen oder sogar eine Folge von einem oder mehreren Ätzungen verwendet werden.
  • 17D zeigt, dass, nachdem die zweiten Substratdurchkontaktierungen 1507 freigelegt sind, die erste Verbindungsschicht 600 über der Rückseite des zweiten Wafers 1501 und in elektrischer Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden kann. In einer Ausführungsform kann die erste Verbindungsschicht 600 wie oben mit Bezug auf 6 beschrieben ausgebildet werden. Die erste Verbindungsschicht 600 kann jedoch unter Verwendung beliebiger geeigneter Materialien und Verfahren ausgebildet werden.
  • 17D zeigt zusätzlich die Ausbildung und/oder Anordnung der ersten externen Verbindungen 603 in Verbindung mit der ersten Verbindungsschicht 600. In einer Ausführungsform können die ersten externen Verbindungen 603 wie oben in Bezug auf 6 beschrieben ausgebildet werden. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 17E zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 17A - 17D beschrieben), die erste Halbleitervorrichtung 100 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Zum Beispiel wird, wie in 17E gezeigt, die mittlere Die-Verbindungsstruktur 219 (auf dem zweiten Wafer 1501) mit der oberen Wafer-Verbindungsstruktur 1201 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der oberen Wafer-Verbindungsstruktur 1201 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der mittleren Die-Verbindungsstruktur 219 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden die mittlere Die-Verbindungsstruktur 219 und die obere Wafer-Verbindungsstruktur 1201 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 17E nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 17F zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 17A - 17D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatekontakt 213 gebondet. Zum Beispiel wird, wie in 17F gezeigt, der erste Die-Gatekontakt 213 (auf dem zweiten Wafer 1501) mit der oberen Wafer-Verbindungsstruktur 1201 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der oberen Wafer-Verbindungsstruktur 1201 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatekontakt 213 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatekontakt 213 und die obere Wafer-Verbindungsstruktur 1201 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 17F nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 17G zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 17A - 17D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatestapel 207 gebondet. Zum Beispiel wird, wie in 17G gezeigt, der erste Die-Gatestapel 207 (auf dem zweiten Wafer 1501) mit der oberen Wafer-Verbindungsstruktur 1201 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf der oberen Wafer-Verbindungsstruktur 1201 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatestapel 207 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet wurden, werden der erste Die-Gatestapel 207 und die obere Wafer-Verbindungsstruktur 1201 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 17G nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 18A zeigt eine weitere Ausführungsform, in der die erste Halbleitervorrichtung 100 ein Wafer ist und homogen mit der zweiten Halbleitervorrichtung 200 und der dritten Halbleitervorrichtung 300 gebondet wird, während die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 Teil des zweiten Wafers 1501 vor der Vereinzelung sind. In dieser Ausführungsform kann die zweite Wafer-Bondschicht 1503 auf der ersten Die-Verbindungsstruktur 231 auf dem zweiten Wafer 1501 ausgebildet werden. In einer Ausführungsform kann die zweite Wafer-Bondschicht 1503 aus ähnlichen Materialien und auf ähnliche Weise wie die erste Wafer-Bondschicht 121 ausgebildet werden, wie oben mit Bezug auf 1A beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 aus einem Bondmaterial wie Siliziumoxid bestehen, das unter Verwendung eines Abscheidungsverfahrens wie etwa CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • Nachdem die zweite Wafer-Bondschicht 1503 ausgebildet worden ist, kann das zweite leitfähige Wafer-Bondmaterial 1505 in der zweiten Wafer-Bondschicht 1503 ausgebildet werden. In einer Ausführungsform kann das zweite leitfähige Wafer-Bondmaterial 1505 aus ähnlichem Material und auf ähnliche Weise wie das erste leitfähige Wafer-Bondmaterial 123 ausgebildet werden (oben mit Bezug auf 1A beschrieben). Zum Beispiel kann das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet werden, indem anfänglich die zweite Wafer-Bondschicht 1503 zum Ausbilden von Öffnungen strukturiert wird und dann die Öffnungen mit einem Material wie Kupfer gefüllt und/oder überfüllt werden, bevor überschüssiges Material außerhalb der Öffnungen mit einem Planarisierungsverfahren wie chemisch-mechanischem Polieren entfernt wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 18B zeigt, dass, nachdem das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet worden ist, das zweite leitfähige Wafer-Bondmaterial 1505 und die zweite Wafer-Bondschicht 1503 mit dem ersten leitfähigen Wafer-Bondmaterial 123 bzw. der ersten Wafer-Bondschicht 121 zum Beispiel unter Verwendung eines Hybridbondens gebondet werden können, wie oben in Bezug auf 3 beschrieben. Zum Beispiel können die Oberflächen der zweiten Wafer-Bondschicht 1503 und der ersten Wafer-Bondschicht 121 aktiviert und miteinander ausgerichtet werden, bevor sie in körperlichen Kontakt miteinander gebracht werden. Dann können Wärme und Druck angewendet werden, um die Bindungen zu verstärken und sicherzustellen, dass das zweite leitfähige Wafer-Bondmaterial 1505 mit dem ersten leitfähigen Wafer-Bondmaterial 123 gebondet wird. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 18C zeigt eine Verdünnung des zweiten Substrats des zweiten Wafers 1501, um die zweiten Substratdurchkontaktierungen 1507 freizulegen. In einer Ausführungsform kann der zweite Wafer 1501 unter Verwendung eines Planarisierungsverfahrens, wie etwa einer chemisch-mechanischen Planarisierung, verdünnt werden. Es kann jedoch auch jedes andere geeignete Planarisierungsverfahren wie Schleifen oder sogar eine Folge von einem oder mehreren Ätzungen verwendet werden.
  • 18D zeigt, dass, nachdem die zweiten Substratdurchkontaktierungen 1507 freigelegt sind, die erste Verbindungsschicht 600 über der Rückseite des zweiten Wafers 1501 und in elektrischer Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden kann. In einer Ausführungsform kann die erste Verbindungsschicht 600 wie oben mit Bezug auf 6 beschrieben ausgebildet werden. Die erste Verbindungsschicht 600 kann jedoch unter Verwendung beliebiger geeigneter Materialien und Verfahren ausgebildet werden.
  • 18D zeigt zusätzlich die Ausbildung und/oder Anordnung der ersten externen Verbindungen 603 in Verbindung mit der ersten Verbindungsschicht 600. In einer Ausführungsform können die ersten externen Verbindungen 603 wie oben in Bezug auf 6 beschrieben ausgebildet werden. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 18E zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatekontakt 111 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 18A - 18D beschrieben), der erste Wafer-Gatekontakt 111 mit der oberen Die-Verbindungsstruktur 225 gebondet. Zum Beispiel wird, wie in 18E gezeigt, der erste Wafer-Gatekontakt 111 (auf der ersten Halbleitervorrichtung 100) mit der oberen Die-Verbindungsstruktur 225 (auf dem zweiten Wafer 1501) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf dem ersten Wafer-Gatekontakt 111 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der oberen Die-Verbindungsstruktur 225 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Wafer-Gatekontakt 111 und die obere Die-Verbindungsstruktur 225 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 18E nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 18F zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 18A - 18D beschrieben), die erste Halbleitervorrichtung 100 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Zum Beispiel wird, wie in 18F gezeigt, die mittlere Die-Verbindungsstruktur 219 (auf dem zweiten Wafer 1501) mit dem ersten Wafer-Gatekontakt 111 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf dem ersten Wafer-Gatekontakt 111 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der mittleren Die-Verbindungsstruktur 219 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden die mittlere Die-Verbindungsstruktur 219 und der erste Wafer-Gatekontakt 111 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 18F nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 18G zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 18A - 18D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatekontakt 213 gebondet. Zum Beispiel wird, wie in 18G gezeigt, der erste Die-Gatekontakt 213 (auf dem zweiten Wafer 1501) mit dem ersten Wafer-Gatekontakt 111 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf dem ersten Wafer-Gatekontakt 111 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatekontakt 213 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatekontakt 213 und der erste Wafer-Gatekontakt 111 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 18G nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 18H zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 18A - 18D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatestapel 207 gebondet. Zum Beispiel wird, wie in 18H gezeigt, der erste Die-Gatestapel 207 (auf dem zweiten Wafer 1501) mit dem ersten Wafer-Gatekontakt 111 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf dem ersten Wafer-Gatekontakt 111 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatestapel 207 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatestapel 207 und der erste Wafer-Gatekontakt 111 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 18H nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 19A zeigt eine weitere Ausführungsform, in der die erste Halbleitervorrichtung 100 ein Wafer ist und homogen mit der zweiten Halbleitervorrichtung 200 und der dritten Halbleitervorrichtung 300 gebondet wird, während die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 Teil des zweiten Wafers 1501 vor der Vereinzelung sind. In dieser Ausführungsform kann die zweite Wafer-Bondschicht 1503 auf der ersten Die-Verbindungsstruktur 231 auf dem zweiten Wafer 1501 ausgebildet werden. In einer Ausführungsform kann die zweite Wafer-Bondschicht 1503 aus ähnlichen Materialien und auf ähnliche Weise wie die erste Wafer-Bondschicht 121 ausgebildet werden, wie oben mit Bezug auf 1A beschrieben. Zum Beispiel kann die erste Wafer-Bondschicht 121 aus einem Bondmaterial wie Siliziumoxid bestehen, das unter Verwendung eines Abscheidungsverfahrens wie etwa CVD abgeschieden wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • Nachdem die zweite Wafer-Bondschicht 1503 ausgebildet worden ist, kann das zweite leitfähige Wafer-Bondmaterial 1505 in der zweiten Wafer-Bondschicht 1503 ausgebildet werden. In einer Ausführungsform kann das zweite leitfähige Wafer-Bondmaterial 1505 aus ähnlichem Material und auf ähnliche Weise wie das erste leitfähige Wafer-Bondmaterial 123 ausgebildet werden (oben mit Bezug auf 1A beschrieben). Zum Beispiel kann das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet werden, indem anfänglich die zweite Wafer-Bondschicht 1503 zum Ausbilden von Öffnungen strukturiert wird und dann die Öffnungen mit einem Material wie Kupfer gefüllt und/oder überfüllt werden, bevor überschüssiges Material außerhalb der Öffnungen mit einem Planarisierungsverfahren wie chemisch-mechanischem Polieren entfernt wird. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 19B zeigt, dass, nachdem das zweite leitfähige Wafer-Bondmaterial 1505 ausgebildet worden ist, das zweite leitfähige Wafer-Bondmaterial 1505 und die zweite Wafer-Bondschicht 1503 mit dem ersten leitfähigen Wafer-Bondmaterial 123 bzw. der ersten Wafer-Bondschicht 121 zum Beispiel unter Verwendung eines Hybridbondens gebondet werden können, wie oben in Bezug auf 3 beschrieben. Zum Beispiel können die Oberflächen der zweiten Wafer-Bondschicht 1503 und der ersten Wafer-Bondschicht 121 aktiviert und miteinander ausgerichtet werden, bevor sie in körperlichen Kontakt miteinander gebracht werden. Dann können Wärme und Druck angewendet werden, um die Bindungen zu verstärken und sicherzustellen, dass das zweite leitfähige Wafer-Bondmaterial 1505 mit dem ersten leitfähigen Wafer-Bondmaterial 123 gebondet wird. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • 19C zeigt eine Verdünnung des zweiten Substrats des zweiten Wafers 1501, um die zweiten Substratdurchkontaktierungen 1507 freizulegen. In einer Ausführungsform kann der zweite Wafer 1501 unter Verwendung eines Planarisierungsverfahrens, wie etwa einer chemisch-mechanischen Planarisierung, verdünnt werden. Es kann jedoch auch jedes andere geeignete Planarisierungsverfahren wie Schleifen oder sogar eine Folge von einem oder mehreren Ätzungen verwendet werden.
  • 19D zeigt, dass, nachdem die zweiten Substratdurchkontaktierungen 1507 freigelegt sind, die erste Verbindungsschicht 600 über der Rückseite des zweiten Wafers 1501 und in elektrischer Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden kann. In einer Ausführungsform kann die erste Verbindungsschicht 600 wie oben mit Bezug auf 6 beschrieben ausgebildet werden. Die erste Verbindungsschicht 600 kann jedoch unter Verwendung beliebiger geeigneter Materialien und Verfahren ausgebildet werden.
  • 19D zeigt zusätzlich die Ausbildung und/oder Anordnung der ersten externen Verbindungen 603 in Verbindung mit der ersten Verbindungsschicht 600. In einer Ausführungsform können die ersten externen Verbindungen 603 wie oben in Bezug auf 6 beschrieben ausgebildet werden. Es kann jedoch jedes geeignete Material und Herstellungsverfahren verwendet werden.
  • 19E zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass der erste Wafer-Gatestapel 109 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 19A - 19D beschrieben), der erste Wafer-Gatestapel 109 mit der oberen Die-Verbindungsstruktur 225 gebondet. Zum Beispiel wird, wie in 19E gezeigt, der erste Wafer-Gatestapel 109 (auf der ersten Halbleitervorrichtung 100) mit der oberen Die-Verbindungsstruktur 225 (auf dem zweiten Wafer 1501) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf dem ersten Wafer-Gatestapel 109 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der oberen Die-Verbindungsstruktur 225 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Wafer-Gatestapel 109 und die obere Die-Verbindungsstruktur 225 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 19E nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 19F zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 19A - 19D beschrieben), die erste Halbleitervorrichtung 100 mit der mittleren Die-Verbindungsstruktur 219 gebondet. Zum Beispiel wird, wie in 19F gezeigt, die mittlere Die-Verbindungsstruktur 219 (auf dem zweiten Wafer 1501) mit dem ersten Wafer-Gatestapel 109 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf dem ersten Wafer-Gatestapel 109 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf der mittleren Die-Verbindungsstruktur 219 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden die mittlere Die-Verbindungsstruktur 219 und der erste Wafer-Gatestapel 109 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 19F nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 19G zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 19A - 19D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatekontakt 213 gebondet. Zum Beispiel wird, wie in 19G gezeigt, der erste Die-Gatekontakt 213 (auf dem zweiten Wafer 1501) mit dem ersten Wafer-Gatestapel 109 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf dem ersten Wafer-Gatestapel 109 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatekontakt 213 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatekontakt 213 und der erste Wafer-Gatestapel 109 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 19G nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • 19H zeigt eine weitere Ausführungsform, in der ein homogener Wafer-Wafer-Bond zwischen der ersten Halbleitervorrichtung 100 und dem zweiten Wafer 1501 ausgebildet wird. In dieser Ausführungsform wird jedoch, anstatt dass die erste Halbleitervorrichtung 100 mit der ersten Die-Verbindungsstruktur 231 gebondet wird (wie oben mit Bezug auf die 19A - 19D beschrieben), die erste Halbleitervorrichtung 100 mit dem ersten Die-Gatestapel 207 gebondet. Zum Beispiel wird, wie in 19H gezeigt, der erste Die-Gatestapel 207 (auf dem zweiten Wafer 1501) mit dem ersten Wafer-Gatestapel 109 (auf der ersten Halbleitervorrichtung 100) gebondet. Zum Beispiel kann die erste Wafer-Bondschicht 121 (und ihr zugehöriges erstes leitfähiges Wafer-Bondmaterial 123) auf dem ersten Wafer-Gatestapel 109 ausgebildet werden und die zweite Wafer-Bondschicht 1503 (und ihr zugehöriges zweites leitfähiges Wafer-Bondmaterial 1505) kann auf dem ersten Die-Gatestapel 207 ausgebildet werden.
  • Nachdem die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 ausgebildet worden sind, werden der erste Die-Gatestapel 207 und der erste Wafer-Gatestapel 109 mit der ersten Wafer-Bondschicht 121 und der zweiten Wafer-Bondschicht 1503 unter Verwendung z. B. eines Hybridbondens gebondet. In einer Ausführungsform können die erste Wafer-Bondschicht 121 und die zweite Wafer-Bondschicht 1503 beide aktiviert werden, miteinander ausgerichtet werden und in körperlichen Kontakt gebracht werden. Wärme und Druck können dann wie oben beschrieben angelegt werden, um die Bindung zu verstärken und sicherzustellen, dass das erste leitfähige Wafer-Bondmaterial 123 und das zweite leitfähige Wafer-Bondmaterial 1505 ebenfalls miteinander gebondet werden. Es kann jedoch jedes geeignete Bondverfahren verwendet werden.
  • Zusätzlich kann, obwohl in 19H nicht explizit gezeigt, nachdem die erste Halbleitervorrichtung 100 und der zweite Wafer 1501 miteinander gebondet worden sind, zusätzliche Verarbeitung durchgeführt werden. Zum Beispiel kann der zweite Wafer 1501 verdünnt werden, um die zweiten Substratdurchkontaktierungen 1507 freizulegen, die erste Verbindungsschicht 600 kann in Verbindung mit den zweiten Substratdurchkontaktierungen 1507 ausgebildet werden und die ersten externen Verbindungen 603 können in Verbindung mit der ersten Verbindungsschicht 600 ausgebildet werden.
  • Durch das Bonden der Wafer und Dies, wie in den obigen Ausführungsformen beschrieben, kann eine weitere Integration der Chips auf Vorrichtungsebene, Metallgate-Ebene oder der Ebene der Metallisierungsschichten erreicht werden. Daher muss man nicht auf fertige und getestete gute Chips warten, um die Vorrichtungen zu integrieren. Solche Optionen ermöglichen kürzere Routing-Wege, die zu schnelleren elektrischen Signalen führen, und eine größere Integrationsflexibilität, insbesondere in Ausführungsformen, die für Chip-auf-Wafer-(CoW) -Technologien verwendet werden.
  • 20 zeigt eine weitere Ausführungsform, bei der die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 unter Verwendung eines Schmelzbondverfahrens mit der ersten Halbleitervorrichtung 100 gebondet werden. In dieser Ausführungsform kann die erste Halbleitervorrichtung 100 so ausgebildet werden, dass sie die erste Wafer-Verbindungsstruktur 119, aber nicht die mittleren Wafer-Verbindungsstrukturen 1101 oder die oberen Wafer-Verbindungsstrukturen 1201 aufweist. In weiteren Ausführungsformen können jedoch die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 mit den mittleren Wafer-Verbindungsstrukturen 1101 oder den oberen Wafer-Verbindungsstrukturen 1201 gebondet sein.
  • Zusätzlich können die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 mit der ersten Die-Verbindungsstruktur 231 ausgebildet werden. Um jedoch mit einem Schmelzbondverfahren zu bonden, kann jedoch zusätzlich eine dritte Bondschicht 2001 über der ersten Die-Verbindungsstruktur 231 ausgebildet werden. In einer Ausführungsform kann die dritte Bondschicht 2001 aus ähnlichen Materialien und unter Verwendung ähnlicher Verfahren wie die erste Wafer-Bondschicht 121 (oben mit Bezug auf 1 beschrieben) ausgebildet werden. Zum Beispiel kann die dritte Bondschicht 2001 aus einem Oxid unter Verwendung eines chemischen Gasphasenabscheidungsverfahrens ausgebildet werden. Es kann jedoch jedes geeignete Material und Abscheidungsverfahren verwendet werden.
  • Nachdem die dritte Bondschicht 2001 ausgebildet worden ist, kann die dritte Bondschicht 2001 mit der ersten Wafer-Verbindungsstruktur 119 beispielsweise unter Verwendung eines Schmelzbondverfahrens anstelle eines Hybridbondverfahrens gebondet werden. Zum Beispiel können sowohl die dritte Bondschicht 2001 als auch die zweite Wafer-Dielektrikumsschicht 115 wie oben mit Bezug auf 3 beschrieben aktiviert werden. Nachdem sie aktiviert sind, werden die dritte Bondschicht 2001 und die zweite Wafer-Dielektrikumsschicht 115 in körperlichen Kontakt miteinander gebracht, um das Bondverfahren zu initiieren, wobei die dritte Bondschicht 2001 eine oder mehrere der ersten Wafer-Metallleitungen 117 in der ersten Wafer-Verbindungsstruktur 119 bedeckt.
  • Nachdem das Schmelzbondverfahren initiiert worden ist, kann Wärme an die dritte Bondschicht 2001 und die erste Wafer-Metallisierung 119 angelegt werden, um dabei zu helfen, die Bindung zu verstärken. In einer Ausführungsform können die dritte Bondschicht 2001 und die erste Wafer-Verbindungsstruktur 119 auf eine Temperatur von zwischen etwa 300 °C und etwa 400 °C erwärmt werden, beispielsweise etwa 350 °C. Es kann jedoch jede geeignete Temperatur verwendet werden.
  • 20B zeigt, dass, nachdem die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 schmelzgebondet wurden, das Füllmaterial 401 abgeschieden und planarisiert werden kann, die ersten Füllmaterial-Durchkontaktierungen 501 ausgebildet werden können und die erste Verbindungsschicht 600 ausgebildet werden kann und die ersten externen Verbindungen 603 ausgebildet oder platziert werden können. In dieser Ausführungsform werden die erste Verbindungsschicht 600 und die ersten Füllmaterial-Durchkontaktierungen 501 verwendet, um die zweite Halbleitervorrichtung 200 und die dritte Halbleitervorrichtung 300 sowohl mit den ersten externen Verbindungen 603 als auch mit der ersten Halbleitervorrichtung 100 zu verbinden.
  • In einer Ausführungsform umfasst ein Verfahren zum Herstellen einer Halbleitervorrichtung: Ausbilden einer ersten Metallisierungsschicht über einem ersten Halbleitersubstrat unter Verwendung entweder eines ersten Damascene- oder eines ersten Dual-Damascene-Verfahrens; Aufbringen einer ersten Bondschicht in körperlichem Kontakt mit der ersten Metallisierungsschicht; Ausbilden einer zweiten Metallisierungsschicht über einem zweiten Halbleitersubstrat unter Verwendung entweder eines zweiten Damascene- oder eines zweiten Dual-Damascene-Verfahrens; und Bonden der zweiten Metallisierungsschicht an die erste Bondschicht. In einer Ausführungsform steht die erste Metallisierungsschicht in körperlichem Kontakt mit einem ersten Gatekontakt. In einer Ausführungsform ist die erste Metallisierungsschicht benachbart zu einer dritten Metallisierungsschicht, die in körperlichem Kontakt mit einem ersten Gatekontakt steht. In einer Ausführungsform ist die erste Metallisierungsschicht von dem ersten Halbleitersubstrat durch mindestens zwei Metallisierungsschichten getrennt, die sich von der ersten Metallisierungsschicht unterscheiden. In einer Ausführungsform steht die zweite Metallisierungsschicht in körperlichem Kontakt mit einem zweiten Gatekontakt. In einer Ausführungsform ist die zweite Metallisierungsschicht benachbart zu einer dritten Metallisierungsschicht, die in körperlichem Kontakt mit einem zweiten Gatekontakt steht. In einer Ausführungsform ist die zweite Metallisierungsschicht von dem zweiten Halbleitersubstrat durch mindestens zwei Metallisierungsschichten getrennt, die sich von der zweiten Metallisierungsschicht unterscheiden.
  • In einer weiteren Ausführungsform umfasst ein Verfahren zum Herstellen einer Halbleitervorrichtung: Abscheiden einer ersten dielektrischen Bondschicht in körperlichem Kontakt mit entweder einer Gateelektrode oder einem Gateelektrodenkontakt; Einbetten eines ersten leitfähigen Bondmaterials durch die erste dielektrische Bondschicht und in körperlichem Kontakt mit entweder der Gateelektrode oder dem Gateelektrodenkontakt; und Bonden eines leitfähigen Materials eines ersten Halbleiter-Dies direkt mit dem ersten leitfähigen Bondmaterial. In einer Ausführungsform scheidet das Abscheiden der ersten dielektrischen Bondschicht die erste dielektrische Bondschicht in körperlichem Kontakt mit der Gateelektrode ab. In einer Ausführungsform scheidet das Abscheiden der ersten dielektrischen Bondschicht die erste dielektrische Bondschicht in körperlichem Kontakt mit dem Gateelektrodenkontakt ab. In einer Ausführungsform umfasst das Verfahren ferner das Abscheiden eines Füllmaterials benachbart zu dem ersten Halbleiter-Die nach dem Bonden des leitfähigen Materials. In einer Ausführungsform umfasst das Verfahren ferner das Ausbilden von Füllmaterial-Durchkontaktierungen, die sich von einer ersten Seite des Füllmaterials zu einer zweiten Seite des Füllmaterials erstrecken. In einer Ausführungsform umfasst das Verfahren ferner:
    • Abscheiden einer ersten dielektrischen Verbindungsschicht über dem ersten Halbleiter-Die und dem Füllmaterial; und Einbetten eines ersten leitfähigen Verbindungsmaterials in die erste dielektrische Verbindungsschicht unter Verwendung entweder eines Damascene-Verfahrens oder eines Dual-Damascene-Verfahrens. In einer Ausführungsform umfasst das Verfahren ferner: Bonden eines zweiten Halbleiter-Dies mit dem ersten Halbleiter-Die auf einer der ersten dielektrischen Bondschicht gegenüberliegenden Seite des ersten Halbleiter-Dies; Abscheiden eines zweiten Füllmaterials benachbart zu dem zweiten Halbleiter-Die; und Ausbilden zweiter Füllmaterial-Durchkontaktierungen, die sich von einer ersten Seite des zweiten Füllmaterials zu einer zweiten Seite des zweiten Füllmaterials erstrecken.
  • In noch einer weiteren Ausführungsform umfasst ein Verfahren zur Herstellung einer Halbleitervorrichtung: Abscheiden einer ersten dielektrischen Bondschicht über einem ersten Halbleiterwafer und in körperlichem Kontakt mit einem von: einem ersten Gatestapel, einem ersten Gateelektrodenkontakt, einer ersten Metallisierungsschicht in körperlichem Kontakt mit dem ersten Gateelektrodenkontakt oder einer zweiten Metallisierungsschicht benachbart zu der ersten Metallisierungsschicht; Einbetten eines ersten Bondleiters in die erste dielektrische Bondschicht; Abscheiden einer zweiten dielektrischen Bondschicht über einem zweiten Halbleiterwafer, der sich von dem ersten Halbleiterwafer unterscheidet, und in körperlichem Kontakt mit einem von: einem zweiten Gatestapel, einem zweiten Gateelektrodenkontakt, einer dritten Metallisierungsschicht in körperlichem Kontakt mit dem zweiten Gateelektrodenkontakt oder einer vierten Metallisierungsschicht benachbart zu der dritten Metallisierungsschicht; Einbetten eines zweiten Bondleiters in die zweite dielektrische Bondschicht; Aktivieren der ersten dielektrischen Bondschicht und der zweiten dielektrischen Bondschicht; und Kontaktieren der ersten dielektrischen Bondschicht mit der zweiten dielektrischen Bondschicht. In einer Ausführungsform wird die erste dielektrische Bondschicht in körperlichem Kontakt mit dem ersten Gatestapel abgeschieden. In einer Ausführungsform wird die erste dielektrische Bondschicht in körperlichem Kontakt mit dem ersten Gateelektrodenkontakt abgeschieden. In einer Ausführungsform wird die erste dielektrische Bondschicht in körperlichem Kontakt mit der ersten Metallisierungsschicht abgeschieden. In einer Ausführungsform wird die erste dielektrische Bondschicht in körperlichem Kontakt mit der zweiten Metallisierungsschicht abgeschieden. In einer Ausführungsform umfasst das Verfahren ferner das Verdünnen des zweiten Halbleiterwafers zum Freilegen von Substratdurchkontaktierungen.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte anerkennen, dass er die vorliegende Offenbarung leicht als Basis verwenden kann, um weitere Verfahren und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen kann, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62565557 [0001]

Claims (20)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Ausbilden einer ersten Verbindungsstruktur über einem ersten Halbleitersubstrat; Aufbringen einer ersten Bondschicht in körperlichem Kontakt mit der ersten Verbindungsstruktur; Ausbilden einer zweiten Verbindungsstruktur über einem zweiten Halbleitersubstrat; und Bonden der zweiten Verbindungsstruktur auf die erste Bondschicht.
  2. Verfahren nach Anspruch 1, wobei die erste Verbindungsstruktur in körperlichem Kontakt mit einem ersten Gatekontakt steht.
  3. Verfahren nach Anspruch 1, wobei die erste Verbindungsstruktur benachbart zu einer dritten Verbindungsstruktur ist, die in körperlichem Kontakt mit einem ersten Gatekontakt steht.
  4. Verfahren nach Anspruch 1, wobei die erste Verbindungsstruktur von dem ersten Halbleitersubstrat durch mindestens zwei Verbindungsstrukturen getrennt ist, die sich von der ersten Verbindungsstruktur unterscheiden.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die zweite Verbindungsstruktur in körperlichem Kontakt mit einem zweiten Gatekontakt steht.
  6. Verfahren nach einem der Ansprüche 1 bis 4, wobei die zweite Verbindungsstruktur benachbart zu einer dritten Verbindungsstruktur ist, die in körperlichem Kontakt mit einem zweiten Gatekontakt steht.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden der ersten Verbindungsstruktur über dem ersten Halbleitersubstrat entweder ein erstes Damascene-Verfahren oder ein erstes Dual-Damascene-Verfahren verwendet.
  8. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Abscheiden einer ersten dielektrischen Bondschicht in körperlichem Kontakt mit entweder einer Gateelektrode oder einem Gateelektrodenkontakt; Einbetten eines ersten leitfähigen Bondmaterials durch die erste dielektrische Bondschicht und in körperlichem Kontakt mit entweder der Gateelektrode oder dem Gateelektrodenkontakt; und Bonden eines leitfähigen Materials eines ersten Halbleiter-Dies direkt auf das erste leitfähige Bondmaterial.
  9. Verfahren nach Anspruch 8, wobei das Abscheiden der ersten dielektrischen Bondschicht die erste dielektrische Bondschicht in körperlichem Kontakt mit der Gateelektrode abscheidet.
  10. Verfahren nach Anspruch 8, wobei das Abscheiden der ersten dielektrischen Bondschicht die erste dielektrische Bondschicht in körperlichem Kontakt mit dem Gateelektrodenkontakt abscheidet.
  11. Verfahren nach einem der Ansprüche 8 bis 10, das ferner das Abscheiden eines Füllmaterials benachbart zu dem ersten Halbleiter-Die nach dem Bonden des leitfähigen Materials umfasst.
  12. Verfahren nach Anspruch 11, das ferner das Ausbilden von Füllmaterial-Durchkontaktierungen umfasst, die sich von einer ersten Seite des Füllmaterials zu einer zweiten Seite des Füllmaterials erstrecken.
  13. Verfahren nach Anspruch 12, ferner umfassend: Abscheiden einer ersten dielektrischen Verbindungsschicht über dem ersten Halbleiter-Die und dem Füllmaterial; und Einbetten eines ersten leitfähigen Verbindungsmaterials in die erste dielektrische Verbindungsschicht unter Verwendung entweder eines Damascene-Verfahrens oder eines Dual-Damascene-Verfahrens.
  14. Verfahren nach Anspruch 12 oder 13, ferner umfassend: Bonden eines zweiten Halbleiter-Dies an den ersten Halbleiter-Die auf einer der ersten dielektrischen Bondschicht gegenüberliegenden Seite des ersten Halbleiter-Dies; Abscheiden eines zweiten Füllmaterials benachbart zu dem zweiten Halbleiter-Die; und Ausbilden zweiter Füllmaterial-Durchkontaktierungen, die sich von einer ersten Seite des zweiten Füllmaterials zu einer zweiten Seite des zweiten Füllmaterials erstrecken.
  15. Verfahren zur Herstellung einer Halbleitervorrichtung, umfassend: Abscheiden einer ersten dielektrischen Bondschicht über einem ersten Halbleiterwafer und in körperlichem Kontakt mit einem von: einem ersten Gatestapel, einem ersten Gateelektrodenkontakt, einer ersten Verbindungsstruktur in körperlichem Kontakt mit dem ersten Gateelektrodenkontakt oder einer zweiten Verbindungsstruktur benachbart zu der ersten Verbindungsstruktur; Einbetten eines ersten Bondleiters in die erste dielektrische Bondschicht; Abscheiden einer zweiten dielektrischen Bondschicht über einem zweiten Halbleiterwafer, der sich von dem ersten Halbleiterwafer unterscheidet, und in körperlichem Kontakt mit einem von: einem zweiten Gatestapel, einem zweiten Gateelektrodenkontakt, einer dritten Verbindungsstruktur in körperlichem Kontakt mit dem zweiten Gateelektrodenkontakt oder einer vierten Verbindungsstruktur benachbart zu der dritten Verbindungsstruktur; Einbetten eines zweiten Bondleiters in die zweite dielektrische Bondschicht; Aktivieren der ersten dielektrischen Bondschicht und der zweiten dielektrischen Bondschicht; und Kontaktieren der ersten dielektrischen Bondschicht und der zweiten dielektrischen Bondschicht.
  16. Verfahren nach Anspruch 15, wobei das Abscheiden der ersten dielektrischen Bondschicht diese in körperlichem Kontakt mit dem ersten Gatestapel abscheidet.
  17. Verfahren nach Anspruch 15, wobei das Abscheiden der ersten dielektrischen Bondschicht diese in körperlichem Kontakt mit dem ersten Gateelektrodenkontakt abscheidet.
  18. Verfahren nach Anspruch 15, wobei das Abscheiden der ersten dielektrischen Bondschicht diese in körperlichem Kontakt mit der ersten Verbindungsstruktur abscheidet.
  19. Verfahren nach Anspruch 15 oder 18, wobei das Abscheiden der ersten dielektrischen Bondschicht diese in körperlichem Kontakt mit der zweiten Verbindungsstruktur abscheidet.
  20. Verfahren nach einem der Ansprüche 15 bis 19, das ferner das Verdünnen des zweiten Halbleiterwafers zum Freilegen von Substratdurchkontaktierungen umfasst.
DE102018116750.4A 2017-09-29 2018-07-11 Halbleitervorrichtung und Herstellungsverfahren Pending DE102018116750A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762565557P 2017-09-29 2017-09-29
US62/565,557 2017-09-29
US16/025,331 US10727217B2 (en) 2017-09-29 2018-07-02 Method of manufacturing semiconductor device that uses bonding layer to join semiconductor substrates together
US16/025,331 2018-07-02

Publications (1)

Publication Number Publication Date
DE102018116750A1 true DE102018116750A1 (de) 2019-04-04

Family

ID=65728017

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018116750.4A Pending DE102018116750A1 (de) 2017-09-29 2018-07-11 Halbleitervorrichtung und Herstellungsverfahren

Country Status (3)

Country Link
US (1) US20220246598A1 (de)
KR (2) KR20220044181A (de)
DE (1) DE102018116750A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3958307A4 (de) * 2019-05-20 2022-09-21 Huawei Technologies Co., Ltd. Chip-gehäusestruktur und chip-verpackungsverfahren

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11574894B2 (en) * 2018-07-11 2023-02-07 Dynex Semiconductor Limited Semiconductor device sub-assembly
CN110876200B (zh) 2018-09-04 2023-04-18 华为技术有限公司 一种传输数据的方法和装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9064879B2 (en) * 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US9666520B2 (en) * 2014-04-30 2017-05-30 Taiwan Semiconductor Manufactuing Company, Ltd. 3D stacked-chip package
US9899355B2 (en) * 2015-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3958307A4 (de) * 2019-05-20 2022-09-21 Huawei Technologies Co., Ltd. Chip-gehäusestruktur und chip-verpackungsverfahren

Also Published As

Publication number Publication date
KR20240090126A (ko) 2024-06-21
KR20220044181A (ko) 2022-04-06
US20220246598A1 (en) 2022-08-04

Similar Documents

Publication Publication Date Title
DE102020121641B3 (de) Rückseitendurchkontaktierungen in halbleitervorrichtungen
DE102020121728B4 (de) Verpackte halbleitervorrichtungen, die rückseitige stromschienen aufweisen, und verfahren zu deren herstellung
DE102020120522B4 (de) Selbstjustiertes ätzen in halbleitervorrichtungen
DE102016115984A1 (de) Halbleiter-Bauelement und Verfahren zu dessen Herstellung
CN109616425B (zh) 半导体器件及其制造方法
DE102014111783A1 (de) Gestapelte integrierte schaltungen mit umverteilungsleitungen
DE102016115991A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102014019191B4 (de) Verbindungsanordnung mit spannungsreduzierender Struktur und Verfahren zu ihrer Herstellung
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102017120565A1 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102020129746A1 (de) Source/drain-kontakte und verfahren zu ihrer bildung
US20220246598A1 (en) Semiconductor Devices and Methods of Manufacture
DE102020129257B4 (de) Abstandhalter für Halbleitervorrichtungen, die rückseitige Stromschienen aufweisen
DE102017117865A1 (de) Verbindungsstruktur und zugehörige Verfahren
DE102022107308A1 (de) Wärmeableitungsstrukturen für halbleitervorrichtungen und herstellungsverfahren
DE102020122823B4 (de) Halbleitervorrichtungen mit entkopplungskondensatoren
DE102022100241A1 (de) Rückseitige source/drain-kontakte und verfahren zum bilden derselben
DE102021119223A1 (de) Wärmeableitung für halbleitervorrichtungen und verfahren zur herstellung
DE102020130171B4 (de) Abstandselemente für Halbleitervorrichtungen, welche rückseitige Stromschienen aufweisen
DE102020120002A1 (de) Wärmeableitung in halbleitervorrichtungen
DE102020134570A1 (de) Halbleitervorrichtung und -verfahren
DE102020124267A1 (de) Halbleitervorrichtung und Verfahren
DE102017126435B4 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102022100035A1 (de) Silizium-durchkontaktierungen und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication