DE102014113890A1 - Elektronische Struktur, Batteriestruktur und Verfahren zur Herstellung einer elektronischen Struktur - Google Patents

Elektronische Struktur, Batteriestruktur und Verfahren zur Herstellung einer elektronischen Struktur Download PDF

Info

Publication number
DE102014113890A1
DE102014113890A1 DE201410113890 DE102014113890A DE102014113890A1 DE 102014113890 A1 DE102014113890 A1 DE 102014113890A1 DE 201410113890 DE201410113890 DE 201410113890 DE 102014113890 A DE102014113890 A DE 102014113890A DE 102014113890 A1 DE102014113890 A1 DE 102014113890A1
Authority
DE
Germany
Prior art keywords
battery
thin film
film batteries
layer
batteries
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE201410113890
Other languages
English (en)
Inventor
Marko Lemke
Stefan Tegen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102014113890A1 publication Critical patent/DE102014113890A1/de
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/01Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate comprising only passive thin-film or thick-film elements formed on a common insulating substrate
    • H01L27/016Thin-film circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M10/00Secondary cells; Manufacture thereof
    • H01M10/04Construction or manufacture in general
    • H01M10/0436Small-sized flat cells or batteries for portable equipment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M10/00Secondary cells; Manufacture thereof
    • H01M10/42Methods or arrangements for servicing or maintenance of secondary cells or secondary half-cells
    • H01M10/425Structural combination with electronic components, e.g. electronic circuits integrated to the outside of the casing
    • H01M10/4257Smart batteries, e.g. electronic circuits inside the housing of the cells or batteries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01MPROCESSES OR MEANS, e.g. BATTERIES, FOR THE DIRECT CONVERSION OF CHEMICAL ENERGY INTO ELECTRICAL ENERGY
    • H01M6/00Primary cells; Manufacture thereof
    • H01M6/40Printed batteries, e.g. thin film batteries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G11/00Hybrid capacitors, i.e. capacitors having different positive and negative electrodes; Electric double-layer [EDL] capacitors; Processes for the manufacture thereof or of parts thereof
    • H01G11/08Structural combinations, e.g. assembly or connection, of hybrid or EDL capacitors with other electric components, at least one hybrid or EDL capacitor being the main component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES, LIGHT-SENSITIVE OR TEMPERATURE-SENSITIVE DEVICES OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/40Structural combinations of fixed capacitors with other electric elements, the structure mainly consisting of a capacitor, e.g. RC combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/24153Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/24195Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • H01L2924/13055Insulated gate bipolar transistor [IGBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • Y02E60/10Energy storage using batteries
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Electrochemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Semiconductor Memories (AREA)
  • Secondary Cells (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Gemäß verschiedenen Ausführungsformen kann eine elektronische Struktur vorgesehen werden, die elektronische Struktur kann umfassen: einen Halbleiterträger (102), und eine Batteriestruktur, die mit dem Halbleiterträger (102) monolithisch integriert ist, wobei die Batteriestruktur eine Mehrzahl von Dünnfilmbatterien (104) aufweist.

Description

  • Verschiedene Ausführungsformen betreffen allgemein eine elektronische Struktur, eine Batteriestruktur und ein Verfahren zur Herstellung einer elektronischen Struktur.
  • In der Halbleiterindustrie können verschiedenste Prozesse zur Herstellung elektronischer Vorrichtungen, wie integrierte Schaltungen, Speicherchips, Sensoren und dgl., verwendet werden. Ferner kann es zweckmäßig sein, Herstellungsprozesse für Batterien, z. B. Dünnfilmbatterien, unter Verwendung ähnlicher Herstellungstechniken, wie sie in der Halbleiterindustrie verwendet werden, zu entwickeln. Üblicherweise verwendete Dünnfilm-Abscheidungstechniken können die Herstellung funktioneller Schichten, die eine Batterie bilden, oder die eine wiederaufladbare Batterie bilden, in der Dünnfilmtechnologie gestatten. Typischwerweise kann eine Dünnfilmbatterie einen Festkörperelektrolyten umfassen, um in einer Halbleiter-Dünnfilmtechnologie verarbeitet werden zu können.
  • Gemäß verschiedenen Ausführungsformen kann eine elektronische Struktur vorgesehen werden, die elektronische Struktur kann umfassen: einen Halbleiterträger, und eine Mehrzahl von Dünnfilmbatterien, die mit dem Halbleiterträger monolithisch integriert sind.
  • In einer Ausgestaltung kann die elektronische Struktur ferner aufweisen eine Kontaktstruktur, die ausgelegt ist, die Mehrzahl von Dünnfilmbatterien miteinander elektrisch zu verbinden; wobei wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in Serie oder parallel elektrisch gekoppelt sind. In noch einer Ausgestaltung kann jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien einen Batterieschichtstapel aufweisen, wobei der Batterieschichtstapel wenigstens eine Festkörperelektrolytschicht aufweist. In noch einer Ausgestaltung kann der Batterieschichtstapel ferner wenigstens eine Anodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, und wenigstens eine Kathodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, aufweisen. In noch einer Ausgestaltung kann der Batterieschichtstapel ferner wenigstens eine Anodenstrom-Kollektorschicht, die an die wenigstens eine Anodenschicht angrenzt, und wenigstens eine Kathodenstrom-Kollektorschicht, die an die wenigstens eine Kathodenschicht angrenzt, aufweisen. In noch einer Ausgestaltung kann der Träger einen Halbleiter-Wafer aufweisen. In noch einer Ausgestaltung kann der Träger einen Halbleiterchip aufweisen. In noch einer Ausgestaltung kann die Kontaktstruktur eine Metallisierungsstruktur aufweisen. In noch einer Ausgestaltung kann die elektronische Struktur ferner aufweisen eine integrierte Schaltungsstruktur, die wenigstens eines von über und in dem Träger angeordnet ist, wobei die integrierte Schaltungsstruktur mit der Mehrzahl von Dünnfilmbatterien elektrisch leitfähig gekoppelt ist. In noch einer Ausgestaltung kann die integrierte Schaltungsstruktur ausgelegt sein, die Mehrzahl von Dünnfilmbatterien selektiv miteinander zu koppeln und/oder die Mehrzahl von Dünnfilmbatterien selektiv voneinander zu entkoppeln. In noch einer Ausgestaltung kann die integrierte Schaltungsstruktur als Logikschaltung ausgelegt sein.
  • In verschiedenen Ausführungsformen wird eine Batteriestruktur bereitgestellt, aufweisend: eine Mehrzahl von Dünnfilmbatterien, die mit einem Halbleiterträger monolithisch integriert sind, wobei jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien wenigstens eine Festkörperelektrolytschicht, wenigstens eine Anodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, und wenigstens eine Kathodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, aufweist, eine Kontaktstruktur, welche die Mehrzahl von Dünnfilmbatterien elektrisch verbindet, wobei wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien mit den Kontakten und miteinander elektrisch gekoppelt sind. In einer Ausgestaltung kann die wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in Serie miteinander elektrisch gekoppelt sein. In noch einer Ausgestaltung können die wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien parallel miteinander elektrisch gekoppelt sein. In noch einer Ausgestaltung kann die Batteriestruktur ferner aufweien wenigstens eine Anodenstrom-Kollektorschicht, die an die wenigstens eine Anodenschicht angrenzt, und wenigstens eine Kathodenstrom-Kollektorschicht, die an die wenigstens eine Kathodenschicht angrenzt. In noch einer Ausgestaltung kann die Batteriestruktur ferner aufweisen eine integrierte Schaltungsstruktur, die mit der Mehrzahl von Dünnfilmbatterien und mit der Kontaktstruktur elektrisch gekoppelt ist, wobei die integrierte Schaltungsstruktur ausgelegt ist, eine oder mehrere Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien miteinander selektiv zu koppeln und/oder eine oder mehrere Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien voneinander selektiv zu entkoppeln. In noch einer Ausgestaltung kann die Batteriestruktur ferner aufweisen eine Verdrahtungsstruktur, die in die Kontaktstruktur geschaltet wird, wobei die Verdrahtungsstruktur ein oder mehrere Schaltelemente aufweist, wobei jedes der einen oder der mehreren Schaltelemente ausgelegt ist, von der integrierten Schaltungsstruktur gesteuert zu werden, wobei wenigstens ein Schaltelement des einen oder der mehreren Schaltelemente ausgelegt ist, wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in einem ersten Betriebszustand voneinander zu isolieren und die wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in einem zweiten Betriebszustand miteinander elektrisch leitfähig zu verbinden.
  • In verschiedenen Ausführungsformen wird ein Verfahren zur Herstellung einer elektronischen Struktur bereitgestellt, wobei das Verfahren aufweist: Bereitstellen eines Halbleiterträgers, und Bilden einer Mehrzahl von Dünnfilmbatterien, die mit dem Halbleiterträger monolithisch integriert sind.
  • In einer Ausgestaltung kann das Bilden der Mehrzahl von Dünnfilmbatterien das Bilden einer Mehrzahl von Dünnfilmbatterie-Schichtstapeln aufweisen. In noch einer Ausgestaltung kann das Verfahren ferner aufweisen ein Bilden einer Kontaktstruktur, welche die Mehrzahl von Dünnfilmbatterien miteinander elektrisch verbindet; wobei wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in Serie oder parallel elektrisch gekoppelt werden.
  • In den Zeichnungen beziehen sich ähnliche Bezugszeichen allgemein auf die gleichen Teile in allen unterschiedlichen Ansichten. Die Zeichnungen sind nicht unbedingt maßstabgetreu, wobei stattdessen das Augenmerk allgemein auf die Veranschaulichung der Prinzipien der Erfindung gelegt wird. In der folgenden Beschreibung werden verschiedene Ausführungsformen der Erfindung mit Bezugnahme auf die folgenden Zeichnungen beschrieben, in denen:
  • 1A bis 1F jeweils eine elektronische Struktur oder eine Batteriestruktur in einer schematischen Querschnittansicht oder Seitenansicht gemäß verschiedenen Ausführungsformen zeigen;
  • 2A und 2B jeweils einen Batterieschichtstapel in einer schematischen Querschnittansicht oder Seitenansicht gemäß verschiedenen Ausführungsformen zeigen;
  • 3A und 3B jeweils ein Verfahren zur Herstellung einer elektronischen Struktur in einem schematischen Prozessflussdiagramm gemäß verschiedenen Ausführungsformen zeigen;
  • 4A bis 4H jeweils eine elektronische Struktur oder eine Batteriestruktur während der Herstellung in einer schematischen Querschnittansicht oder Seitenansicht gemäß verschiedenen Ausführungsformen zeigen;
  • 5A bis 5G jeweils eine elektronische Struktur oder eine Batteriestruktur während der Herstellung in einer schematischen Querschnittansicht oder Seitenansicht gemäß verschiedenen Ausführungsformen zeigen;
  • 6A und 6B jeweils eine elektronische Struktur oder eine Batteriestruktur in einer schematischen Querschnittansicht oder Seitenansicht gemäß verschiedenen Ausführungsformen zeigen;
  • 7A bis 7D jeweils eine elektronische Struktur oder eine Batteriestruktur während der Herstellung in einer schematischen Querschnittansicht oder Seitenansicht gemäß verschiedenen Ausführungsformen zeigen;
  • 8A und 8B jeweils eine elektronische Struktur oder eine Batteriestruktur in einer schematischen Querschnittansicht oder Seitenansicht gemäß verschiedenen Ausführungsformen zeigen; und
  • 9 eine elektronische Struktur oder eine Batteriestruktur in einer schematischen Querschnittansicht oder Seitenansicht gemäß verschiedenen Ausführungsformen zeigt.
  • Die folgende detaillierte Beschreibung bezieht sich auf die begleitenden Zeichnungen, die zur Veranschaulichung spezifische Details und Ausführungsformen zeigen, in denen die Erfindung praktiziert werden kann.
  • Das Wort „über”, welches in Bezug auf ein abgeschiedenes Material verwendet wird, das „über” eine Seite oder Fläche gebildet wird, oder eine Schicht abscheiden „über” einen Träger, kann hier verwendet werden, um zu bedeuten, dass das abgeschiedene Material „direkt auf”, z. B. in direktem Kontakt mit, der implizierten Seite, der Fläche oder dem Träger gebildet werden kann. Das Wort „über”, welches in Bezug auf ein abgeschiedenes Material verwendet wird, das „über” eine Seite oder Fläche gebildet wird, oder eine Schicht abscheiden „über” einen Träger, kann hier verwendet werden, um zu bedeuten, dass das abgeschiedene Material „indirekt auf” der implizierten Seite, der Fläche oder dem Träger gebildet werden kann, wobei eine oder mehrere zusätzliche Schichten zwischen der implizierten Seite, der Fläche oder dem Träger und dem abgeschiedenen Material angeordnet ist oder sind.
  • Der Ausdruck „lateral”, der in Bezug auf die „laterale” Ausdehnung einer Struktur (oder eines Trägers) verwendet wird, oder „lateral” verschoben, kann hier verwendet werden, um eine Ausdehnung entlang einer Richtung parallel zu einer Fläche eines Trägers zu bedeuten. Das heißt, dass eine Fläche eines Trägers (z. B. eine Fläche eines Substrats oder eine Fläche eines Wafers) als Referenz dienen kann, die üblicherweise als Hauptprozessierungsfläche eines Wafers (oder als Hauptprozessierungsfläche eines anderen Typs eines Trägers) bezeichnet wird. Ferner kann der Ausdruck „Breite”, der in Bezug auf eine „Breite” einer Struktur (oder eines Strukturelements, z. B. eines Hohlraums) verwendet wird, hier verwendet werden, um die laterale Ausdehnung einer Struktur zu bedeuten. Ferner kann der Ausdruck „Höhe”, der in Bezug auf eine Höhe einer Struktur (oder eines Strukturelements) verwendet wird, hier verwendet werden, um eine Ausdehnung einer Struktur entlang einer Richtung rechtwinklig zur Fläche eines Trägers (z. B. rechtwinklig zur Hauptprozessierungsfläche eines Trägers) zu bedeuten. Ferner kann der Ausdruck „Tiefe”, der in Bezug auf eine Tiefe eines Hohlraums (oder eines Lochs) verwendet wird, hier verwendet werden, um eine Ausdehnung eines Hohlraums entlang einer Richtung rechtwinklig zur Fläche eines Trägers (z. B. rechtwinklig zur Hauptprozessierungsfläche eines Trägers) zu bedeuten Das Wort „bedecken”, welches in Bezug auf abgeschiedenes Material verwendet wird, das eine Struktur (oder ein Strukturelement oder eine Seitenwand) bedeckt, kann hier verwendet werden, um zu bedeuten, dass ein abgeschiedenes Material eine Struktur (oder ein Strukturelement oder eine Seitenwand) vollständig bedecken kann, z. B. indem alle freiliegenden Seiten und Flächen einer Struktur bedeckt werden. Das Wort „bedecken”, welches in Bezug auf abgeschiedenes Material verwendet wird, das eine Struktur (oder ein Strukturelement oder eine Seitenwand) bedeckt, kann hier verwendet werden, um zu bedeuten, dass ein abgeschiedenes Material eine Struktur wenigstens teilweise bedecken kann, z. B. kann ein Material wenigstens teilweise die freiliegenden Seiten und Flächen einer Struktur bedecken.
  • Gemäß verschiedenen Ausführungsformen kann das Bilden einer Schicht (z. B. Abscheiden einer Schicht, Abscheiden eines Materials und/oder Anwenden eines Schichtbildungsprozesses), wie hier beschrieben, auch das Bilden einer Schicht umfassen, wobei die Schicht verschiedene Teilschichten umfassen kann, wodurch unterschiedliche Teilschichten jeweils unterschiedliche Materialien umfassen können. Mit anderen Worten können verschiedene unterschiedliche Teilschichten in einer Schicht enthalten sein, oder es können verschiedene unterschiedliche Regionen in einer abgeschiedenen Schicht und/oder in einem abgeschiedenen Material enthalten sein.
  • Da es viele einzelne Prozesse geben kann, die in einer Halbleiterherstellung verwendet werden (z. B. während der Herstellung einer Batteriestruktur oder einer elektronischen Struktur, z. B. während der Verarbeitung eines Trägers oder eines Wafers, der eine Batteriestruktur oder eine elektronische Struktur aufweist), und die üblicherweise in einer Sequenz durchgeführt werden, können einige grundlegende Herstellungstechniken wenigstens einmal im gesamten Herstellungsprozess verwendet werden. Die folgende Beschreibung grundlegender Techniken ist als Veranschaulichung von Beispielen zu verstehen, welche Techniken in den hier beschriebenen Prozessen enthalten sein können. Die als Beispiele beschriebenen grundlegenden Techniken müssen nicht unbedingt als bevorzugt oder vorteilhaft gegenüber anderen Techniken oder Verfahren auszulegen sein, da sie dazu dienen können zu veranschaulichen, wie eine oder mehrere Ausführungsformen der Erfindung praktiziert werden kann oder können. Der Kürze halber kann die Veranschaulichung von als Beispiele beschriebenen grundlegenden Techniken nur eine kurze Übersicht sein und ist nicht als erschöpfende Beschreibung anzusehen. Gemäß verschiedenen Ausführungsformen kann wenigstens eine der folgenden Techniken zur Herstellung einer elektronischen Struktur oder einer Batteriestruktur, wie hier beschrieben, verwendet werden. Gemäß verschiedenen Ausführungsformen kann wenigstens eine der Techniken, wie im Folgenden beschrieben, in einem Verfahren zur Herstellung einer elektronischen Struktur oder in einem Verfahren zur Herstellung einer Batteriestruktur, oder z. B. in einem Verfahren zur Herstellung einer Batteriestruktur, die eine integrierte Schaltung umfasst, um die Batteriestruktur zu steuern, wie hier beschrieben, enthalten sein.
  • Gemäß verschiedenen Ausführungsformen kann wenigstens eine Schichtbildung (oder ein Schichtbildungsprozess) bei der Herstellung einer elektronischen Struktur oder einer Batteriestruktur, wie hier beschrieben, verwendet werden. In einem Schichtbildungsprozess kann eine Schicht (auch allgemein als Film oder Dünnfilm bezeichnet) über eine Fläche (z. B. über einen Träger, über einen Wafer, über ein Substrat, über eine weitere Schicht, über eine Mehrzahl von Strukturelementen und dgl.) unter Verwendung von Abscheidungstechniken abgeschieden werden, die chemische Dampfabscheidung (CVD oder einen CVD-Prozess) und/oder physikalische Dampfabscheidung (PVD oder einen PVD-Prozess) gemäß verschiedenen Ausführungsformen umfassen können. Die Dicke einer abgeschiedenen Schicht kann im Bereich von einigen Nanometern bis einigen Mikrometern in Abhängigkeit von ihrer spezifischen Funktion liegen. Die Dicke einer abgeschiedenen Schicht kann als räumliche Ausdehnung der abgeschiedenen Schicht entlang ihrer Wuchsrichtung angesehen werden. Dünne Schichten im Bereich von einigen Nanometern, z. B. mit einer Schichtdicke von weniger als 50 nm, können unter Verwendung einer atomaren Schichtabscheidung (ALD) gebildet werden. Eine konforme Schicht, die z. B. die Seitenwände eines Strukturelements bedeckt oder die inneren Seitenwände eines Hohlraums bedeckt, kann unter Verwendung einer atomaren Schichtabscheidung (ALD) oder eines anderen geeigneten konformen Abscheidungsprozesses, wie beispielsweise Niederdruck-chemische Dampfabscheidung (LPCVD), gebildet werden. Gemäß verschiedenen Ausführungsformen kann eine abgeschiedene (gebildete oder vorgesehene) Schicht wenigstens eines von einem elektrisch isolierenden Material, einem elektrisch halbleitenden Material und/oder einem elektrisch leitfähigen Material in Abhängigkeit von der spezifischen Funktion der abgeschiedenen Schicht umfassen. Gemäß verschiedenen Ausführungsformen können elektrisch leitfähige Materialien, wie beispielsweise Aluminium, Aluminium-Silicium-Legierungen, Aluminium-Kupfer-Legierungen, Kupfer, Nichrom (eine Legierung aus Nickel, Chrom und/oder Eisen), Wolfram, Titan, Titannitrid, Molybdän, Platin, Gold, Kohlenstoff (Graphit) oder dgl., unter Verblendung eines CVD- oder eines PVD-Prozesses abgeschieden werden. Gemäß verschiedenen Ausführungsformen können halbleitende Materialien, wie beispielsweise Silicium (z. B. Silicium, polykristallines Silicium (auch als Polysilicium bezeichnet) oder amorphes Silicium), Germanium, ein Halbleiter-Verbundmaterial, wie Galliumarsenid (GaAs), Indiumphosphid (InP) oder Indiumgalliumarsenid (InGaAs), unter Verwendung eines CVD-Prozesses abgeschieden werden. Isoliermaterialien, wie beispielsweise Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, Metalloxide (z. B. Aluminiumoxid), organische Verbindungen, Polymere (oder dgl.), können unter Verwendung eines CVD-Prozesses oder eines PVD-Prozesses abgeschieden werden. Gemäß verschiedenen Ausführungsformen können Modifikationen dieser Prozesse wie im Folgenden beschrieben verwendet werden.
  • Gemäß verschiedenen Ausführungsformen kann ein chemischer Dampfabscheidungsprozess (CVD-Prozess) verschiedenste Modifikationen umfassen, wie beispielsweise Atmosphärendruck-CVD (APCVD), Niederdruck-CVD (LPCVD), Ultrahochvakuum-CVD (UHVCVD), plasmaverstärkte CVD (PECVD), hochdichte Plasma-CVD (HDPCVD), entfernte plasmaverstärkte CVD (RPECVD), atomare Schicht-CVD (ALCVD), Dampfphasenepitaxie (VPE), metallorganische CVD (MOCVD), hybride physikalische CVD (HPCVD) und dgl. Gemäß verschiedenen Ausführungsformen können Silicium, Polysilicium, amorphes Silicium, Siliciumdioxid, Siliciumnitrid und dgl. unter Verwendung von LPCVD oder ALCVD abgeschieden werden. Gemäß verschiedenen Ausführungsformen können beispielsweise Platin, Titannitrid, Titanoxid, LIPON, LLT, LTO, Kupfernitrid, Vanadiumoxid, LiFePO4, und LiCoOx unter Verwendung von atomarer Schichtabscheidung (ALD oder ALCVD) abgeschieden werden. Gemäß verschiedenen Ausführungsformen kann ein physikalischer Dampfabscheidungsprozess verschiedenste Modifikationen umfassen, wie beispielsweise Magnetron-Sputtern, Ionenstrahl-Sputtern (IBS), reaktives Sputtern, Hochleistungsimpuls-Magnetron-Sputtern (HIPIMS), Vakuumverdampfung, Molekularstrahlepitaxie (MBE) und dgl. Gemäß verschiedenen Ausführungsformen kann ein Schichtbildungsprozess ferner eine thermische Oxidation (auch als thermischer Oxidationsprozess bezeichnet) umfassen. Gemäß verschiedenen Ausführungsformen kann eine thermische Oxidation verwendet werden, um hochqualitative Siliciumoxid-Schichten (eine sogenannte Hochtemperatur-Oxid-Schicht (HTO)) auf einer Silicium-Fläche, z. B. bei Temperaturen im Bereich von etwa 800°C bis etwa 1200°C, aufzuwachsen. Die thermische Oxidation kann bei Atmosphärendruck oder bei Hochdruck und als weitere Modifikation als rascher thermischer Oxidationsprozess (RTO) vorgenommen werden. Gemäß verschiedenen Ausführungsformen kann auch eine thermische Nitridierung angewendet werden, um hochqualitative Nitrid- oder Oxynitrid-Schichten (z. B. Siliciumnitrid-Schichten oder Siliciumoxynitrid-Schichten), z. B. unter Verwendung einer raschen thermischen Nitridierung (z. B. bei Temperaturen bis etwa 1300°C), zu generieren. Ferner kann gemäß verschiedenen Ausführungsformen ein Prozess, der angewendet werden kann, um eine Metallschicht zu generieren, Plattieren, z. B. Elektroplattieren oder stromloses Plattieren, sein. Elektrisch leitfähige Materialien, wie Gold, Silber, Palladium, Nickel, Aluminium, Kupfer und/oder Verbindungen wie Nickel-Phosphor, können unter Verwendung eines Plattierungsprozesses abgeschieden werden. Gemäß verschiedenen Ausführungsformen kann ein Plattierungsprozess zur Bildung einer Metallisierungsschichtstruktur oder einer Metallisierung verwendet werden. Gemäß verschiedenen Ausführungsformen kann eine Metallisierungsschicht beispielsweise eine oder mehrere Metallleitungen und ein oder mehrere Durchgangslöcher umfassen, die einige Strukturen oder Strukturelemente auf einem Träger verbinden, z. B. eine Mehrzahl von Batteriestrukturen miteinander verbinden, z. B. eine Mehrzahl von Batterien oder eine Mehrzahl von Batteriestrukturen mit einer integrierten Schaltung verbinden. Ferner kann eine Metallisierung eine dielektrische Struktur oder eine strukturierte dielektrische Schicht umfassen, wobei die Verdrahtung in der dielektrischen Struktur oder in der dielektrischen Schicht eingebettet sein kann.
  • Diesbezüglich kann wenigstens ein Metallisierungsprozess zur Herstellung einer Kontaktstruktur einer elektronischen Struktur oder einer Batteriestruktur, oder z. B. zur Herstellung einer elektronischen Struktur oder einer Batteriestruktur angewendet werden. Eine Metallisierung kann in direktem Kontakt mit wenigstens einem Strukturelement einer elektronischen Struktur oder einer Batteriestruktur (oder mit wenigstens einer Struktur auf einem Träger, z. B. mit einer Dünnfilmbatterie oder mit einer integrierten Schaltung) stehen. Daher kann ein Metallisierungsprozess verwendet werden, um erforderliche elektrische Verbindungen (oder Zwischenverbindungen) einer elektronischen Struktur oder einer Batteriestruktur auf einem Wafer vorzusehen. Ein Metallisierungsprozess kann wenigstens einen Schichtbildungsprozess und wenigstens einen Strukturierungsprozess umfassen. Ein Metallisierungsprozess kann umfassen: Abscheiden einer Schicht eines dielektrischen Materials (z. B. eines low-k-dielektrischen Materials, z. B. undotiertes Silikatglas und dgl.), Bilden von Kontaktlöchern an den gewünschten Orten (z. B. unter Verwendung wenigstens eines Strukturierungsprozesses) und Füllen der Kontaktlöcher mit wenigstens einem elektrisch leitfähigen Material (z. B. mit wenigstens einem von einem Metall (z. B. Aluminium, Kupfer, Wolfram, Titan, Molybdän, Gold, Platin und dgl.), einem metallischen Material (z. B. Titan, Nitrid, Platin, Silicid, Titansilicid, Wolframsilicid, Molybdänsilicid und dgl.), elektrisch leitfähigem Polysilicium, und einer Metalllegierung (z. B. Aluminium-Silicium-Legierungen, Aluminium-Kupfer-Legierungen, Nichrom, Titan-Wolfram-Legierungen und dgl.)) unter Verwendung eines Schichtbildungsprozesses. Ferner kann ein Metallisierungsprozess (oder eine Metallisierung) umfassen: Bilden zusätzlicher Schichten beispielsweise als Sperre (z. B. umfassend wenigstens eines von Molybdän, einem Übergangsmetallnitrid (z. B. Titannitrid), Platinsilicid, Titansilicid, Wolframsilicid, Molybdänsilicid, Boride, Tantal, Wolfram und dgl.), oder als Adhäsionspolymer (z. B. umfassend wenigstens eines von Platinsilicid, Titansilicid, Wolframsilicid, Molybdänsilicid und dgl.). Ferner kann eine dielektrische Schicht einen Schichtstapel umfassen, z. B. eine oder mehrere Schichten, die übereinander angeordnet sind, umfassend beispielsweise Siliciumoxid, Siliciumnitrid und/oder Siliciumoxynitrid. Eine dielektrische Schicht aus Siliciumoxid, Siliciumnitrid und/oder Siliciumoxynitrid kann als finale dielektrische Schicht oder als finale Passivierungsschicht oben auf der Metallisierung verwendet werden. Ferner kann eine Zwischenebenen-Dielektrikum oder ein Zwischenschicht-Dielektrikum (ILD) verwendet werden, um die Komponenten einer Verdrahtungsstruktur (z. B. Zwischenleitungen, Kontaktstellen und dgl.) elektrisch zu trennen, die in einigen Ebenen einer Mehrebenen-Metallisierung angeordnet sind oder in einer Metallisierungsschicht einer Mehrebenen-Metallisierung angeordnet sind. Das ILD kann ein low-k-dielektrisches Material (z. B. Siliciumoxid, poröses Silicium und dgl.) umfassen, um die elektrische Kopplung zwischen benachbarten Komponenten der Verdrahtungsstruktur zu reduzieren.
  • Der hier verwendete Ausdruck „Dielektrikum”, der sich auf ein dielektrisches Material, eine dielektrische Schicht, eine dielektrische Struktur und dgl. bezieht, kann hier verwendet werden, um im Allgemeinen ein elektrisch isolierendes Material zu bedeuten. Ferner kann sich der Ausdruck „Dielektrikum” auf ein sogenanntes low-k-Material beziehen, wie es typischerweise in Metallisierungsstrukturen in einer beliebigen Halbleitertechnologie verwendet wird. Gemäß verschiedenen Ausführungsformen kann wenigstens eines der folgenden Materialien verwendet werden, um eine dielektrische Schicht oder eine dielektrische Struktur vorzusehen: Siliciumoxid (Dielektrizitätskonstante von 3,9) und ein Material mit einer kleineren Dielektrizitätskonstante als Siliciumoxid, z. B. Fluor-dotiertes Siliciumdioxid, Fluorsilicatglas, Kohlenstoff-dotiertes Siliciumdioxid, poröses Siliciumdioxid, poröses Kohlenstoff-dotiertes Siliciumdioxid, organische Dielektrika, dielektrische Polymere, auf Silicium basierende polymere Dielektrika, Polynorbornene, Benzocyclobuten, Polytetrafluorethylen, Harze, Hydrogensilsesquioxan (HSQ), Methylsilsesquioxan (MSQ) und dgl.
  • Gemäß verschiedenen Ausführungsformen kann das Anwenden eines Metallisierungsprozesses ferner eine Planarisierung einer Trägerfläche (Wafer-Fläche, Substratfläche und dgl.) und/oder eine Planarisierung von Zwischenschichten umfassen, die in einem Mehrebenen-Metallisierungsprozess enthalten sind (z. B. unter Verwendung eines chemisch-mechanischen Polierens (CMP)).
  • Ein Planarisierungsprozess kann beispielsweise angewendet werden, um die Oberflächenrauigkeit zu reduzieren, oder um Variationen im Tiefenprofil einer Fläche eines Trägers zu reduzieren, der Strukturelemente mit unterschiedlichen Höhen umfasst, da einige Prozesse eine flache Fläche (eine planare Fläche) erfordern können (z. B. Hochauflösungs-Lithografie). Ein Planarisierungsprozess kann gewünscht werden, wenn die Anzahl vorgenommener Schichtbildungsprozesse und Strukturierungsprozesse zunimmt, und wenn eine planare Fläche erforderlich sein kann. Ein chemisch-mechanischer Polierprozess (CMP oder CMP-Prozess) kann vorgenommen werden, wobei dieser Prozess selektiv für spezifische Materialien auf der Fläche eines Trägers (eines Wafers, eines Substrats, einer Oberflächenschicht und dgl.) sein kann. Ein chemisch-mechanischer Polierprozess (CMP) kann vorgenommen werden, wobei dieser Prozess nicht-selektiv für spezifische Materialien anf der Fläche eines Trägers (eines Wafers, eines Substrats, einer Oberflächenschicht und dgl.) sein kann. Ein Planarisierungsprozess kann zusätzlich in einigen Prozessen, z. B. Schichtbildungsprozessen, Strukturierungsprozessen und dgl., eingeschlossen werden. Ein chemisch-mechanischer Polierprozess (CMP) kann verwendet werden, um eine Oberflächenschicht oder einen Teil einer Oberflächenschicht zu entfernen.
  • Es ist zu beachten, dass verschiedenste Kombinationen von Materialien und Prozessen in einem Schichtbildungsprozess gemäß verschiedenen Ausführungsformen verwendet werden können. In Abhängigkeit von spezifischen Aspekten oder gewünschten Eigenschaften der zu bildenden Schicht, wie beispielsweise kristalline Qualität, Oberflächenrauigkeit, Randbedeckungsverhalten, Wachstumsgeschwindigkeit und Ausbeute, kann der geeignetste Prozess für das jeweilige Material gemäß verschiedenen Ausführungsformen angewendet werden.
  • Gemäß verschiedenen Ausführungsformen können einige Prozesse während der Herstellung einer integrierten Schaltung einen konform abgeschiedene Schicht oder das konforme Abscheiden einer Schicht erfordern (z. B. zur Bildung eines Schichtstapels über eine Seitenwand eines Strukturelements oder über eine innere Seitenwand eines Hohlraums), was bedeutet, dass eine Schicht (oder ein Material, das eine Schicht bildet) nur geringe Dickenvariationen entlang einer Grenzfläche mit einem anderen Körper aufweisen kann, z. B. kann eine Schicht nur geringe Dickenvariationen entlang der Ränder, Stufen oder anderen Elemente der Morphologie der Grenzfläche aufweisen. Gemäß verschiedenen Ausführungsformen können Schichtbildungsprozesse, wie Plattieren, atomare Schichtabscheidung (ALD) oder einige CVD-Prozesse (z. B. ALCVD oder LPCVD), geeignet sein, um eine konforme Schicht oder eine konform abgeschiedene Schicht eines Materials zu generieren. Gemäß verschiedenen Ausführungsformen kann beispielsweise unter Verwendung eines atomaren Schichtabscheidungs-(ALD-)Prozesses eine Struktur mit einem hohen Aspektverhältnis (z. B. größer als 5, z. B. größer als 10, z. B. größer als 20) konform mit einer konformen Schicht oder einem konformen Dünnfilm bedeckt werden. Ferner kann gemäß verschiedenen Ausführungsformen beispielsweise unter Verwendung eines atomaren Schichtabscheidungs-(ALD-)Prozesses die innere Seitenwand eines Hohlraums oder einer hohlen Kammer mit einer konformen Schicht oder einem konformen Dünnfilm (vollständig oder teilweise) bedeckt werden. Mit anderen Worten kann die Verwendung einer atomaren Schichtabscheidung das Beschichten der inneren Seitenwand eines Hohlraums oder der Seitenwände eines Grabens mit einer Materialschicht (z. B. mit einer konformen Materialschicht) gestatten, falls der Hohlraum oder der Graben wenigstens eine Öffnung aufweisen kann, so dass das die Materialschicht bildende Material das Innere des Hohlraums oder des Grabens erreichen kann. Gemäß verschiedenen Ausführungsformen kann wenigstens ein Strukturieren oder wenigstens ein Strukturierungsprozess zur Bildung einer elektronischen Struktur oder einer Batteriestruktur verwendet werden, wie hier beschrieben. Wenigstens ein Strukturieren oder wenigstens ein Strukturierungsprozess kann in einem Verfahren zur Herstellung einer elektronischen Struktur oder in einem Verfahren zur Herstellung einer Batteriestruktur verwendet werden, wie hier beschrieben. Ein Strukturierungsprozess kann das Entfernen ausgewählter Bereiche einer Oberflächenschicht oder eines Materials umfassen. Nachdem eine Oberflächenschicht teilweise entfernt worden sein kann, kann eine Struktur (oder eine strukturierte Schicht oder strukturierte Oberflächenschicht oder eine Mehrzahl von Strukturelementen) wenigstens eines von über und in der darunterliegenden Struktur zurückbleiben (z. B. kann eine strukturierte Basisschicht auf einer darunterliegenden Struktur zurückbleiben). Da eine Mehrzahl von Prozessen involviert sein kann, gibt es gemäß verschiedenen Ausführungsformen verschiedene Möglichkeiten, einen Strukturierungsprozess vorzunehmen, wobei Aspekte sein können: Auswählen wenigstens eines Bereichs einer Oberflächenschicht (oder wenigstens eines Bereichs eines Materials oder wenigstens eines Bereichs eines Wafers), der entfernt werden soll, z. B. über wenigstens einen Lithografieprozess; und Entfernen der ausgewählten Bereiche einer Oberflächenschicht, z. B. über wenigstens einen Ätzprozess. Gemäß verschiedenen Ausführungsformen können verschiedenste Lithografieprozesse angewendet werden, die eine Lithografiemaske (eine sogenannte Fotomaske) generieren, wie beispielsweise Fotolithografie, Mikrolithografie oder Nanolithografie, Elektronenstrahllithografie, Röntgenlithografie, extreme Ultraviolettlithografie (EUV oder EUVL), Interferenzlithografie und dgl. Ein Lithografieprozess kann wenigstens eines von einem anfänglichen Reinigungsprozess, einem Vorbereitungsprozess, dem Aufbringen eines Resists (z. B. eines Fotoresists), Belichten des Resists (z. B. Belichten des Fotoresists mit einem Lichtmuster), Entwickeln des Resists (z. B. Entwickeln des Fotoresists unter Verwendung eines chemischen Fotoresistentwicklers) umfassen. Ein anfänglicher Reinigungsprozess oder ein Reinigungsprozess, der in einem lithografischen Prozess enthalten sein kann (oder der in einem allgemeinen Prozess in der Halbleiterverarbeitung enthalten sein kann) kann angewendet werden, um organische oder anorganische Verunreinigungen (oder Material) von einer Fläche (z. B. von einer Oberflächenschicht, von einem Träger, von einem Wafer und dgl.), beispielsweise durch eine nasschemische Behandlung, zu entfernen. Der anfängliche Reinigungsprozess oder ein Reinigungsprozess kann wenigstens einen der folgenden Prozesse umfassen: RCA (Radio Corporation of America)-Reinigung (auch als Organic Clean (SC1) und Ionic Clean (SC2)) bekannt; SCROD (Einzel-Wafer-Schleuderreinigung mit wiederholter Verwendung von ozonisiertem Wasser und verdünntem HF); IMEC-Wafer-Reinigung; post-chemo-mechanischer Polier-(post-CMP-)Prozess; Reinigung über entionisiertes Wasser (DIW), Piranha-Ätzen und Metallätzen; (und dgl.). Gemäß verschiedenen Ausführungsformen kann auch ein Reinigungsprozess zur Entfernung einer dünnen Oxid-Schicht (z. B. einer dünnen Siliciumoxid-Schicht) von einer Fläche (z. B. von einer Oberflächenschicht, von einem Träger oder von einem Wafer und dgl.) angewendet werden.
  • Gemäß verschiedenen Ausführungsformen kann ein Vorbereitungsprozess, der in einem lithografischen Prozess enthalten sein kann, angewendet werden, um die Adhäsion des Fotoresists an einer Fläche (z. B. an einer Oberflächenschicht, an einem Träger oder an einem Wafer oder dgl.) zu fördern. Der Vorbereitungsprozess kann das Anwenden eines flüssigen oder gasförmigen Adhäsionspromotors (z. B. Bis-(trimethylsilyl)-amin (HDMS)) umfassen.
  • Ein Resist, das in einem lithografischen Prozess enthalten sein kann, kann aufgebracht werden, um eine Fläche (z. B. eine Oberflächenschicht, einen Träger oder einen Wafer oder dgl.) homogen zu bedecken. Das Aufbringen eines Resists kann eine Schleuderbeschichtung umfassen, um eine dünne Schicht des Resists zu generieren. Danach kann das Resist gemäß verschiedenen Ausführungsformen vorgetrocknet werden, um überschüssiges Resistlösungsmittel zu entfernen. Einige Typen von Resists (z. B. ein Fotoresist) können verwendet werden, die für den Prozess der Exposition des Resists angepasst sind, um gewünschte Ergebnisse zu erzielen. Positive Fotoresists (z. B. DNQ-Novolac, PMMA, PMIPK, PBS und dgl.) können verwendet werden, und/oder negative Fotoresists (z. B. SU-8, Polyisopren, COP und dgl.) können verwendet werden. Gemäß verschiedenen Ausführungsformen kann ein lithografischer Prozess das Belichten eines Resists umfassen, so dass eine gewünschtes Struktur auf das Resist transferiert werden kann, z. B. unter Verwendung von Licht oder Elektronen, wobei das gewünschte Muster durch eine strukturierte Maske (z. B. einen Glasträger mit einer strukturierten Chrom-Schicht) definiert werden kann. Eine maskenlose Lithografie kann angewendet werden, wobei ein präziser Strahl (z. B. ein Elektronenstrahl oder ein Laserstrahl) ohne die Verwendung einer Maske direkt auf die das Resist umfassende Fläche projiziert werden kann. Die Wellenlänge des verwendeten Lichts kann im Bereich von der Wellenlänge von sichtbarem Licht bis zu einer kleineren Wellenlänge im Ultraviolettbereich liegen. Die Belichtung kann unter Verwendung von Röntgenstrahlen oder Elektronen mit sogar noch einer kürzeren Wellenlänge als Ultraviolettlicht vorgenommen werden. Projektionsbelichtungssysteme (Stepper oder Scanner) können verwendet werden, wobei die Maske viele Male auf eine Fläche projiziert wird, die ein Resist umfasst, um das vollständige Belichtungsmuster zu bilden.
  • Ein lithografischer Prozess kann das Entwickeln eines Resists (z. B. Entwickeln eines Fotoresists unter Verwendung eines Fotoresistentwicklers) umfassen, um das Resist teilweise zu entfernen, wobei eine strukturierte Resistschicht generiert wird, die auf der Fläche zurückbleibt (z. B. auf einer Oberflächenschicht oder auf einem Träger, einem Wafer und dgl.). Das Entwickeln eines Resists kann ein Vortrocknen nach der Belichtung (eine Wärmebehandlung, z. B. rasche thermische Verarbeitung) umfassen, bevor der tatsächliche Entwicklungsprozess vorgenommen werden kann. Der Entwicklungsprozess kann eine chemische Lösung (einen sogenannten Entwickler), wie beispielsweise Natriumhydroxid oder Tetramehtylammoniumhydroxid (TMAH, einen Metallionenfreien Entwickeler), umfassen. Gemäß verschiedenen Ausführungsformen kann das zurückbleibende strukturierte Resist in einem Hartvortrocknungsprozess (einer Wärmebehandlung, z. B. raschen thermischen Verarbeitung) verfestigt werden, wobei eine länger haltbare Schutzschicht für spätere Prozesse realisiert wird, wie beispielsweise Ionenimplantation, nasschemisches Ätzen oder Plasmaätzen (und dgl.). Unabhängig von den beschriebenen lithografischen Prozessen kann ein Resist in einer gewünschten Verarbeitungsstufe (z. B. nachdem wenigstens einer von einem Ätzprozess, Ionenimplantationsprozess und einem Abscheidungsprozess vorgenommen wurden) in einem sogenannten Resistablösungsprozess vollständig entfernt werden. Ein Resist kann chemisch und/oder unter Verwendung von Sauerstoffplasma entfernt werden. Es ist zu beachten, dass ein lithografischer Prozess, der das Aufbringen eines Resists, Belichten eines Resists und Entwickeln eines Resists umfasst, auch als Strukturierungsprozess angesehen werden kann, wobei eine strukturierte Resistschicht (eine Weichmaske oder eine Resistmaske) vom lithografischen Prozess generiert werden kann. Anschließend kann ein Muster von einer strukturierten Resistschicht auf eine vorher abgeschiedene oder aufgewachsene Schicht (oder einen Träger und dgl.) unter Verwendung eines Ätzprozesses transferiert werden, wobei die vorher abgeschiedene oder aufgewachsene Schicht ein Hartmaskenmaterial wie beispielsweise ein Oxid oder Nitrid (z. B. Siliciumoxid, z. B. Siliciumnitrid) umfassen kann, wobei eine sogenannte Hartmaske erzeugt wird.
  • Gemäß verschiedenen Ausführungsformen kann ein Ätzprozess angewendet werden, der beispielsweise in einem Strukturierungsprozess enthalten sein kann oder der zur Bildung eines Hohlraums und/oder einer Vertiefung verwendet werden kann, um Material von einer vorher abgeschiedenen Schicht, einer aufgewachsenen Oberflächenschicht, einem Träger (oder Substrat oder Wafer) und dgl. zu entfernen. Ein Ätzprozess kann in Abhängigkeit von den spezifischen Anforderungen für den gewünschten Prozess angepasst und vorgenommen werden. Ein Ätzprozess kann einen Nassätzprozess und/oder einen Trockenätzprozess umfassen. Ein Ätzprozess kann selektiv oder nicht-selektiv in Bezug auf zwei unterschiedliche Materialen sein oder kann ausgelegt sein, selektiv oder nicht-selektiv zu sein, wobei ein selektiver Ätzprozess eine andere Ätzrate für ein erstes Material als für ein zweites Material vorsehen kann, und ein nicht-selektiver Ätzprozess dieselbe Ätzrate für ein erstes Material und ein zweites Material vorsehen kann. Ein Ätzprozess kann isotrop oder aniosotrop sein oder kann ausgelegt sein, isotrop oder anisotrop zu sein, wobei ein anisotroper Ätzprozess unterschiedliche Ätzraten entlang unterschiedlichen Raumrichtungen aufweisen kann, und ein isotroper Ätzprozess die gleichen Ätzraten entlang allen Raumrichtungen aufweisen kann. Ein Ätzprozess kann aufgrund unterschiedlicher Ätzraten entlang unterschiedlichen kristallografischen Richtungen des zu ätzenden Materials anisotrop sein. Ein Ätzprozess unter Verwendung eines Maskenmaterials und ein Trockenätzprozess (z. B. Plasmaätzen oder reaktives Ionenätzen) können die Bildung anisotroper Strukturen, z. B. Vertiefungen, ermöglichen.
  • Gemäß verschiedenen Ausführungsformen kann ein selektiver Ätzprozess ein spezifisches Ätzmittel (z. B. ein Nassätzmittel, z. B. ein Plasmaätzmittel) umfassen, das ein Ätzen wenigstens eines gewünschten Materials gestattet, wohingegen ein anderes Material ausgelassen wird, z. B. kann eine freiliegende Region einer Schicht oder eines Trägers entfernt (geätzt) werden, wohingegen ein Maskenmaterial (oder anderes Material) zurückbleiben kann. Siliciumdioxid kann verglichen mit Silicium unter Verwendung von Fluorwasserstoffsäure (HFaq) als Ätzmittel selektiv entfernt (geätzt) werden. Siliciumdioxid kann zusammen mit Silicium (nicht-selektiv) unter Verwendung einer Mischung aus Salpetersäure und Fluorwasserstoffsäure (HNO3/HFaq) als Ätzmittel entfernt (geätzt) werden.
  • Gemäß verschiedenen Ausführungsformen kann ein anisotroper Nassätzprozess eine unterschiedliche Ätzrate entlang einer jeweiligen kristallografischen Richtung eines spezifischen Materials zeigen. Ein Nassätzprozess, der Kaliumhydroxid (KOH) als Ätzmittel umfasst, kann vorgenommen werden, um Silicium (z. B. einen Silicium-Wafer) anisotrop zu ätzen. Ein Nassätzprozess, der (HNO3/HFaq) als Ätzmittel umfasst, kann vorgenommen werden, um Silicium (z. B. einen Silicium-Wafer) isotrop zu ätzen. Ein anisotroper Trockenätzprozess kann eine unterschiedliche Ätzrate für Flächen mit einer spezifischen geometrischen Ausrichtung zeigen. Ein physikalischer Ätzprozess kann angewendet werden (z. B. Ionenstrahlmahlen, z. B. Plasmaätzen), um eine anisotrope Entfernung eines Materials vorzunehmen.
  • Um wenigstens eines von einer tiefen Penetration, einem steilseitigen Loch und einem Graben in einem Material (z. B. in einem Wafer, in einem Substrat, in einer abgeschiedenen oder aufgewachsenen Schicht und dgl.) zu erzeugen, kann ferner tiefes reaktives Ionenätzen (DRIE) angewendet werden. Ein gepulstes Ätzen (Zeitmultiplex-Ätzen) kann angewendet werden.
  • Gemäß verschiedenen Ausführungsformen kann eine strukturierte Schicht auch als Maske (als sogenannte Hartmaske) für andere Prozesse dienen, wie Ätzen, Ionenimplantation und/oder eine Schichtbildung. Ferner kann ein strukturiertes Fotoresist auch als Maske (als sogenannte Weichmaske) dienen. Das Maskenmaterial kann üblicherweise in Bezug auf spezifische Anforderungen ausgewählt werden, wie beispielsweise chemische Stabilität, um z. B. einen selektiven Ätzprozess vorzunehmen, der das Maskenmaterial nicht beeinträchtigt (der z. B. das Maskenmaterial nicht vollständig abätzen kann), oder mechanische Stabilität, um z. B. Regionen zu schützen, von Ionen penetriert zu werden, oder um die Form der generierten Strukturelemente während eines Schichtbildungsprozesses zu definieren, und dgl.
  • Gemäß verschiedenen Ausführungsformen kann wenigstens ein Dotierungsprozess zur Bildung einer integrierten Schaltungsstruktur verwendet werden, wie hier beschrieben. Wenigstens ein Dotierungsprozess kann in einem Verfahren zur Herstellung einer integrierten Schaltungsstruktur enthalten sein, wie hier beschrieben. Verschiedene Techniken können angewendet werden oder können angepasst werden, um einen Dotierungsprozess vorzunehmen, wie beispielsweise thermische Diffusion und/oder Ionenimplantation. Elektronen-dotiertes Material kann als n-Typ (negativer Typ) bezeichnet werden, und Loch-dotiertes Material kann als p-Typ (positiver Typ) bezeichnet werden. In der Metalloxid-Halbleitertechnologie (MOS-Technologie) kann ein Kanal Elektronen (n-Kanal oder NMOS) oder Löcher (p-Kanal oder pMOS) umfassen, und analog dazu kann ein Metalloxid-Halbleiter-Feldeffekttransistor (MOSFET) einen n-Kanal (nMOSFET) oder einen p-Kanal (pMOSFET) umfassen.
  • Gemäß verschiedenen Ausführungsformen kann eine Wärmebehandlung zur Bildung einer elektronischen Struktur oder einer Batteriestruktur angewendet werden; oder eine Wärmebehandlung kann in verschiedenen Prozessen (oder in verschiedenen Prozessstufen) während der Herstellung einer elektronischen Struktur oder einer Batteriestruktur enthalten sein, wie hier beschrieben, z. B. in Kombination mit einem Strukturierungsprozess, nach dem Aufbringen eines Fotoresists und/oder nach der Abscheidung elektrischer Kontakte, um eine Legierung des elektrisch leitfähigen Materials (z. B. eines Metalls) mit einem Träger (oder mit der darunterliegenden Struktur) zu bilden, oder um optimale Abscheidungsbedingungen für einen Schichtbildungsprozess vorzusehen. Das Erhitzen eines Trägers (eines Wafers, eines Substrats und dgl.) kann mit direktem Kontakt, z. B. einer Heizplatte, oder durch Strahlung, z. B. unter Verwendung eines Lasers oder von Lampen, vorgenommen werden. Eine rasche thermische Verarbeitung (RTP) kann angewendet werden, die unter Vakuumbedingungen unter Verwendung einer Laserheizvorrichtung oder Lampenheizvorrichtung vorgenommen werden kann, wobei ein Material (z. B. ein Wafer, ein Substrat, ein Träger und dgl.) auf einige hundert Grad Celsius oder bis etwa 1000°C oder sogar noch mehr innerhalb einer kurzen Zeit, z. B. innerhalb einiger Sekunden (z. B. etwa 1 s bis etwa 10 s) erhitzt werden kann. Untergruppen einer raschen thermischen Verarbeitung sind rasches Wärmeausheilen (RTA) und rasche thermische Oxidation (RTO).
  • Gemäß verschiedenen Ausführungsformen kann ein Träger (z. B. ein Substrat, ein Wafer und dgl.) aus Halbleitermaterialien verschiedener Typen hergestellt sein, die beispielsweise Silicium, Germanium, Gruppe III bis V oder andere Typen einschließlich Polymere umfassen, obwohl in einer anderen Ausführungsform auch andere geeignete Materialien verwendet werden können. Das Wafer-Substrat kann aus Silicium (dotiert oder undotiert) hergestellt sein, in einer alternativen Ausführungsform kann das Wafer-Substrat ein Silicium-auf-Isolator-(SOI-)Wafer sein. Als Alternative können beliebige andere geeignete Halbleitermaterialien für das Wafer-Substrat verwendet werden, beispielsweise ein Halbleiter-Verbundmaterial wie Galliumarsenid (GaAs), Indiumphosphid (InP), aber auch ein beliebiges ternäres Halbleiter-Verbundmaterial oder quaternäres Halbleiter-Verbundmaterial wie Indiumgalliumarsenid (InGaAs). Ein Träger kann eine beschichtete Struktur umfassen, z. B. ein mit Silicium beschichtetes Metallband und dgl. Ein Träger kann ferner ein Polymer, ein Laminat oder ein Metall umfassen.
  • Gemäß verschiedenen Ausführungsformen kann eine Batteriestruktur (oder eine elektronische Struktur) vorgesehen werden, welche eine Mehrzahl von Dünnfilmbatterien umfasst, die auf einem einzelnen Träger (z. B. in wenigstens einem von einem Wafer, einem ungehäusten Chip, einem ungehäusten Nacktchip, einem Chip und einem Nacktchip) monolithisch integriert sind. Das Integrieren einer Mehrzahl von Batterien in einen Träger kann eine effiziente Herstellung einer Batteriestruktur auf Dünnfilm-Basis gestatten, wobei die Dünnfilm-basierte Batteriestruktur verstärkte Eigenschaften aufweisen kann, verglichen mit einer einzelnen Dünnfilmbatterie. In Abhängigkeit von der Anordnung der Mehrzahl von Dünnfilmbatterien kann beispielsweise die Energiespeicherkapazität der Batteriestruktur auf Dünnfilm-Basis verstärkt werden, verglichen mit einer gewöhnlich verwendeten Dünnfilmbatterie; z. B. können die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien wenigstens teilweise übereinander gestapelt werden. Ferner kann, im Gegensatz zu einer Dünnfilmbatterie, eine Batteriestruktur, die eine Mehrzahl von Dünnfilmbatterien umfasst, hinsichtlich der betriebenen (vorgesehenen oder angelegten) Spannungen und Ströme flexibel sein, erstens während des Betriebs der Batteriestruktur und zweitens während des Ladens der Batteriestruktur. Ferner kann die Verdrahtung oder Metallisierung, welche die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien elektrisch miteinander verbindet, angepasst werden. Diesbezüglich können zwei oder mehrere Dünnfilmbatterien der Batteriestruktur in Serie (z. B. mit einer extern zugänglichen Kontaktstruktur) verbunden werden, um die Spannungscharakteristiken der Batteriestruktur zu ändern. Ferner können zwei oder mehrere der Dünnfilmbatterien der Batteriestruktur parallel (z. B. mit einer extern zugänglichen Kontaktstruktur) verbunden werden, um die elektrischen Stromcharakteristiken der Batteriestruktur zu ändern. Zur Veranschaulichung kann eine Batteriestruktur, die eine Mehrzahl von Dünnfilmbatterien umfasst, im Gebrauch flexibler sein, z. B. kann die Batteriestruktur schneller geladen werden, da die Kapazität jeder Dünnfilmbatterie der Mehrzahl von Batterien klein sein kann, und die in der Batteriestruktur enthaltenen Dünnfilmbatterien parallel geladen werden können. Ferner kann die Batteriestruktur, die eine Mehrzahl von Batterien umfasst, auf einer Wafer-Ebene gebildet werden und kann leicht eingehaust werden, da die Batteriestruktur von Beginn an segmentiert werden kann. Ferner kann das Integrieren einer Mehrzahl von Batterien in eine Batteriestruktur das Vorsehen elektrischer Kontakte gestatten, die mit der Mehrzahl von Dünnfilmbatterien verbunden sind, wobei die elektrischen Kontakte ausgelegt sein können, unterschiedliche Spannungen gleichzeitig vorzusehen, z. B. Zellenspannungen, die ein Vielfaches der materialabhängigen Zellenspannung einer einzelnen Dünnfilmbatteriezelle umfassen. Schließlich kann die Batteriestruktur, die eine Mehrzahl von Batterien umfasst, von einer integrierten Schaltungsstruktur gesteuert werden, die z. B. in demselben Träger wie die Batteriestruktur integriert ist, so dass die Spannungscharakteristiken und/oder die elektrischen Stromcharakteristiken der Batteriestruktur über die integrierte Schaltungsstruktur wie gewünscht angepasst werden können, z. B. während der Verwendung der Batteriestruktur oder z. B. während des Ladens der Batteriestruktur. Daher kann die Batteriestruktur unter optimalen Bedingungen für ein Laden (z. B. bei hohen Strömen bei einer spezifischen Ladespannung) geladen werden und kann unter unterschiedlichen Bedingungen betrieben werden, die zum Betreiben der Batterie optimal sind (z. B. bei unterschiedlichen Spannungen oder unterschiedlichen maximalen Strömen).
  • Im Allgemeinen kann eine Batterie oder eine wiederaufladbare Batterie (z. B. eine Dünnfilmbatterie auf der Basis von Metallhydrid oder eine Dünnfilmbatterie auf der Basis von Lithiumionen) wenigstens zwei Elektroden, einen Separator und einen Elektrolyten umfassen. Um Energie beispielsweise in einer wiederaufladbaren Dünnfilmbatterie auf der Basis von Lithiumionen zu speichern, können Lithiumionen chemisch an die negative Elektrode gebunden werden.
  • In dem Fall, dass die wiederaufladbare Batterie einen Festkörperelektrolyten umfassen kann, kann der Elektrolyt selbst die Funktion des Separators haben, so dass ein zusätzlicher Separator oder eine zusätzliche Separatorschicht nicht notwendig sein kann. Gemäß verschiedenen Ausführungsformen können, um eine elektronische Leitfähigkeit der Dünnfilmbatterie zu erzielen, wenigstens zwei Stromkollektoren erforderlich sein, die z. B. jeweils an die beiden Elektroden angrenzen. Ein Stromkollektor für eine Batterie kann einen elektronischen Leiter mit einer hohen elektrischen Leitfähigkeit umfassen, wie beispielsweise Cu, TiN, Pt, Al, AlCu, W, Au.
  • Ferner kann im Fall der Integration einer oder mehrerer Dünnfilmbatterien auf der Basis von Lithiumionen in einen Träger (Chip oder Wafer) das Lithium, das beispielsweise in den die Dünnfilmbatterien bildenden Schichten enthalten ist, von anderen elektronischen Komponenten oder vom Träger getrennt sein, z. B. über eine Sperrschicht oder einen Sperrstruktur, um eine Lithiumdiffusion aus den Batterien in die elektronischen Komponenten oder in den Träger zu verhindern. Gemäß verschiedenen Ausführungsformen kann eine Lithium-Sperre beispielsweise eine konform abgeschiedene (z. B. nahe und dichte) Schicht oder ein Dünnfilm sein, die oder der wenigstens ein Material der folgenden Gruppe von Materialien umfasst: Titannitrid, Wolframnitrid, Tantalnitrid und Siliciumnitrid. Gemäß verschiedenen Ausführungsformen können die Sperrfunktion und Stromkollektorfunktion innerhalb einer einzelnen Schicht kombiniert werden, indem z. B. eine elektrisch leitfähige Sperrschicht vorgesehen wird, die beispielsweise Titannitrid umfasst.
  • Gemäß verschiedenen Ausführungsformen kann eine elektronische Struktur, wie hier beschrieben, als Batteriestruktur angesehen werden, zur Veranschaulichung in dem Fall, wo die Batteriestruktur eine unabhängige Vorrichtung sein kann. Ferner kann gemäß verschiedenen Ausführungsformen die Batteriestruktur ein Teil einer elektronischen Struktur oder ein Teil einer elektronischen Vorrichtung sein. Ferner kann eine elektronische Struktur oder eine elektronische Vorrichtung, wie hier beschrieben, als elektronisch-ionische Vorrichtung angesehen werden, oder die Batteriestruktur kann als elektronisch-ionische Struktur oder als Teil einer elektronisch-ionischen Struktur angesehen werden. Diesbezüglich kann eine Batterie oder eine Batteriestruktur eine Kombination elektronischer Strukturen und ionischer Strukturen umfassen, welche z. B. die Bewegung von Ionen, die von elektrischen Feldern getrieben werden (elektronische Leitfähigkeit), und die Bewegung von Ionen umfassen, die von elektrischen Feldern getrieben werden und/oder von chemischen Potenzialen getrieben werden (ionische Leitfähigkeit). Die elektronische Bewegung kann an den äußeren Teilen der Batterie erfolgen (z. B. den Stromkollektoren oder dem sogenannten Plus-Pol und Minus-Pol). Die ionische Bewegung kann an den inneren Teilen der Batterie erfolgen (z. B. der Anode, dem Elektrolyten und/oder der Kathode). In Übereinstimmung mit dem Ausdruck „elektronisch” kann der Ausdruck „ionisch” verwendet werden, wobei die Batterie oder die Batteriestruktur als elektronisch-ionische Vorrichtung bezeichnet werden kann. Mit anderen Worten kann eine elektronische Vorrichtung, wie hier beschrieben, auch als elektronisch-ionische Vorrichtung angesehen werden.
  • 1A veranschaulicht eine schematische Ansicht einer elektronischen Struktur 100 oder einer Batteriestruktur 100 gemäß verschiedenen Ausführungsformen. Die elektronische Struktur 100 kann einen Halbleiterträger 102 (z. B. einen Silicium-Träger, einen Silicium-Wafer oder einen Silicium-Chip) und eine Mehrzahl von Dünnfilmbatterien 104 umfassen, die mit dem (oder in den) Halbleiterträger 102 monolithisch integriert sind. Gemäß verschiedenen Ausführungsformen können die Dünnfilmbatterien 104a, 104b, 104c der Mehrzahl von Dünnfilmbatterien 104 in der Weise voneinander getrennt sein, dass die jeweiligen Elektrolytregionen oder Ladungsspeicherregionen der jeweiligen Dünnfilmbatterien 104a, 104b, 104c voneinander getrennt sein können. Mit anderen Worten können die Ladungsspeicherionen der jeweiligen Dünnfilmbatterien voneinander so getrennt sein, dass jede Batterie der Dünnfilmbatterien 104a, 104b, 104c eine spezifische Ladungsspeicherkapazität aufweisen kann. Zur Veranschaulichung kann eine Dünnfilmbatteriestruktur in eine Mehrzahl von Dünnfilmbatterien 104a, 104b, 104c getrennt werden oder diese umfassen, wobei die Mehrzahl von Dünnfilmbatterien 104a, 104b, 104c miteinander elektrisch leitfähig gekoppelt sein kann, und wobei die Ladungsspeicherregionen der Batterien räumlich voneinander getrennt sind. Gemäß verschiedenen Ausführungsformen kann die elektronische Struktur 100 eine Anzahl (n) von Dünnfilmbatterien 104a, 104b, 104c mit einer kollektiven Ladungsspeicherkapazität, C, umfassen, wobei jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien 104 eine einzelne Ladungsspeicherkapazität, C/n, aufweisen kann. Daher kann die elektronische Struktur 100 eine Mehrzahl von Dünnfilmbatterien 104 umfassen, z. B. zwei oder mehr als zwei, z. B. zehn oder mehr als zehn, z. B. einhundert oder mehr als einhundert Dünnfilmbatterien, oder sogar bis zu eintausend Dünnfilmbatterien, wobei jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien 104 eine relativ geringe einzelne Ladungsspeicherkapazität, C/n, kombiniert mit einer vielfachen größeren kollektiven Ladungskapazität, C, aufweisen kann. Dies kann ein rascheres Laden der Batteriestruktur 100 (oder der elektronischen Struktur 100) gestatten, welche die Mehrzahl von Dünnfilmbatterien 104 umfasst, da die minimale Ladezeit zum Laden einer einzelnen Batteriezelle durch die jeweilige Kapazität der einzelnen Batteriezelle begrenzt werden kann. Zur Veranschaulichung kann die Mehrzahl von Dünnfilmbatterien 104 parallel geladen werden, so dass die Batteriestruktur 100, die eine Anzahl von n Dünnfilmbatterien mit der kollektiven Ladungsspeicherkapazität, C, umfasst, viel schneller geladen werden kann als eine einzelne Batteriezelle mit derselben Ladungsspeicherkapazität, C.
  • Die Dünnfilmbatterien 104a, 104b, 104c der Mehrzahl von Dünnfilmbatterien 104 können wenigstens einen Typ des folgenden Typs von Batterien umfassen: eine wiederaufladbare Dünnfilmbatterie (eine sekundäre Zelle), eine Festkörperelektrolyt-Dünnfilmbatterie, eine wiederaufladbare Batterie auf Lithiumionen-Basis, eine wiederaufladbare Dünnfilmbatterie auf Lithiumionen-Basis, eine wiederaufladbare Festkörperelektrolytbatterie auf Lithiumionen-Basis, eine wiederaufladbare Festkörperelektrolyt-Dünnfilmbatterie auf Lithiumionen-Basis und dgl., z. B. eine Lithium-Schwefel-Batterie oder eine Lithium-Luft-Batterie. Ferner können die Dünnfilmbatterien 104a, 104b, 104c der Mehrzahl von Dünnfilmbatterien 104 wenigstens einen Typ des folgenden Typs von Batterien umfassen: eine wiederaufladbare Nickel-Metallhydrid-Batterie, eine wiederaufladbare Nickel-Metallhydrid-Dünnfilmbatterie, eine wiederaufladbare Festkörperelektrolyt-Dünnfilmbatterie auf Nickel-Metallhydrid-Basis und dgl. Eine Dünnfilmbatterie (z. B. eine Dünnfilmbatterie 104a, 104b, 104c der Mehrzahl von Dünnfilmbatterien 104), wie hier beschrieben, kann einen Schichtstapel (einen Batterieschichtstapel) umfassen, der die aktiven Batterieschichten umfasst, z. B. eine Kathodenschicht, eine Elektrolytschicht, eine Anodenschicht, eine Anodenstrom-Kollektorschicht und eine Kathodenstrom-Kollektorschicht.
  • Gemäß verschiedenen Ausführungsformen kann der Träger 102 eine erste Fläche 102a aufweisen, in der die Dünnfilmbatterien 104 wenigstens eines von auf und über der genannten ersten Fläche 102a angeordnet sein können. Ferner können die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 wenigstens eines von über und in dem Träger 102 angeordnet sein.
  • Gemäß verschiedenen Ausführungsformen kann eine Batterie (die Dünnfilmbatterien 104 oder ein Teil der Dünnfilmbatterien 104) an der Rückseite des Trägers 102 angeordnet sein. Dadurch kann eine elektrische Verbindung gebildet werden, um eine vorderseitige Verdrahtung und eine rückseitige Verdrahtung der Dünnfilmbatterien 104 zu verbinden, z. B. über ein Durchgangsloch durch Silicium-Techniken.
  • Wie in 1A veranschaulicht, können wenigstens zwei Dünnfilmbatterien 104b, 104c der Mehrzahl von Dünnfilmbatterien 104 über den Träger mit einer (z. B. lateralen oder vertikalen) Distanz 107 zwischen einander gebildet sein. Mit anderen Worten können die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 voneinander räumlich getrennt sein.
  • Gemäß verschiedenen Ausführungsformen kann jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien 104 eine Ladungsspeicherkapazität im Bereich von etwa 0,1 μAh (Mikroamperestunden) bis etwa 100 μAh aufweisen. Ferner kann die Batteriestruktur 100 oder die elektronische Struktur 100 bis zu 1000 Dünnfilmbatterien 104 umfassen, was zu einer kollektiven Ladungsspeicherkapazität für die Batteriestruktur 100 von bis zu etwa 100 mAh führen kann. in Abhängigkeit von der Größe eines Wafers (der Wafer kann beispielsweise ein 300 mm Wafer sein oder kann einen Durchmesser gleich oder größer als etwa 300 mm aufweisen) und der Anordnung der Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 kann die Ladungsspeicherkapazität der Batteriestruktur oder der elektronischen Struktur größer als 100 mAh sein, z. B. bis etwa 1 Ah, z. B. bis etwa 10 Ah, oder sogar bis zu etwa 100 Ah.
  • Gemäß verschiedenen Ausführungsformen können die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 über den gesamten Träger 102 mit einer Distanz 107 zwischen einander gebildet sein, z. B. im Bereich von einigen zehn Nanometern bis zu etwa einigen Mikrometern, einigen zehn Mikrometern, oder bis zu etwa einigen hundert Mikrometern. Mit anderen Worten kann ein Wafer als Batteriestruktur 100 verarbeitet werden, wobei die Mehrzahl von Dünnfilmbatterien 104 über die gesamte Hauptprozessierungsfläche des Wafers (Silicium-Wafers) angeordnet sein kann. Gemäß verschiedenen Ausführungsformen kann der verarbeitete Wafer geschnitten werden, nachdem die Dünnfilmbatterien 104 gebildet wurden, so dass die Batteriestruktur 100, die auf Wafer-Ebene verarbeitet wird, in einige Batteriestrukturen 100 auf Chip-Ebene getrennt werden kann.
  • Ferner können die Dünnfilmbatterien 104 auf Chip-Ebene verarbeitet werden, wobei der Wafer bereits geschnitten ist, bevor die Mehrzahl von Dünnfilmbatterien gebildet wird. Ferner können die Dünnfilmbatterien 104 der Mehrzahl von Dünnfilmbatterien 104 über eine fertig verarbeitete integrierte Schaltung 102 gebildet werden. Mit anderen Worten kann der Träger 102 eine fertig verarbeitete integrierte Schaltung 102 umfassen, z. B. eine CMOS-integrierte Schaltung, einen bipolaren Transistor, einen IGBT und/oder mikroelektromechanische Systeme (MEMS) oder eine andere Komponente oder Struktur, wie beispielsweise: ein Chip, ein Speicherchip, ein Nacktchip, ein Mikroprozessor, eine Mikrosteuereinheit, eine Speicherstruktur, ein Ladungs-Memoryspeicher, ein Speicher mit wahlfreiem Zugriff, ein dynamischer Speicher mit wahlfreiem Zugriff eine Logikschaltung, ein Sensor, ein Nanosensor, ein integrierter Sender/Empfänger, eine mikromechanische Vorrichtung, eine mikroelektronische Vorrichtung, eine nanoelektronische Vorrichtung, eine elektrische Schaltung, eine digitale Schaltung, eine analoge Schaltung und eine beliebige andere elektronische Vorrichtung, die auf der Halbleitertechnologie basiert, wie beispielsweise ein Funkfrequenz-Identifikationschip (RFID) und ein Chipkartenmodul.
  • Gemäß verschiedenen Ausführungsformen kann jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien 104 einen oder mehrere Kontakte oder Regionen umfassen, um die Dünnfilmbatterie elektrisch in Kontakt zu bringen. Gemäß verschiedenen Ausführungsformen können die Stromkollektorschichten der jeweiligen Dünnfilmbatterie verwendet werden, um die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 einzeln elektrisch in Kontakt zu bringen. Zur Veranschaulichung kann jede Dünnfilmbatterie 104a, 104b, 104c wenigstens zwei Batteriekontaktregionen (einen positven Anschluss und einen negativen Anschluss) umfassen.
  • Ferner können gemäß verschiedenen Ausführungsformen zwei oder mehr als zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 miteinander elektrisch leitfähig gekoppelt sein, und dadurch können die elektrischen Eigenschaften der Batteriestruktur 100 angepasst werden.
  • Wie in 1B in einer schematischen Ansicht veranschaulicht, kann eine Kontaktstruktur 106 über die Mehrzahl von Dünnfilmbatterien 104 angeordnet sein, wobei die Kontaktstruktur 106 ausgelegt sein kann, die Mehrzahl von Dünnfilmbatterien 104 elektrisch miteinander zu verbinden (z. B. wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien, oder alle Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien). Dadurch können wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 in Serie oder parallel elektrisch leitfähig gekoppelt sein.
  • Ferner kann die Kontaktstruktur 106 eine Mehrzahl von elektrischen Kontakten oder Kontaktstellen zum elektrischen Verbinden der elektronischen Struktur 100 oder der Batteriestruktur 100 mit einer peripheren Vorrichtung umfassen, z. B. mit einer elektronischen Vorrichtung, die mit Energie zu versorgen ist, oder mit einer Ladevorrichtung zum Laden der elektronischen Struktur 100 oder der Batteriestruktur 100. Gemäß verschiedenen Ausführungsformen kann die Kontaktstruktur 106 eine Verdrahtungsstruktur, z. B. eine oder mehrere Metallleitungen, z. B. ein oder mehrere Durchgangslöcher, z. B. eine oder mehrere Anschlussflächen, umfassen, welche die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 miteinander und/oder mit der Mehrzahl von elektrischen Kontakten oder Kontaktstellen verbinden. Die Verdrahtungsstruktur kann in ein dielektrisches Material oder in eine dielektrische Schicht eingebettet sein. Ferner kann die elektronische Struktur 100 oder die Batteriestruktur 100 eine Mehrzahl von Kontaktstrukturschichten umfassen, welche die Kontaktstruktur 106 bilden.
  • Gemäß verschiedenen Ausführungsformen kann die elektronische Struktur 100 oder die Batteriestruktur 100 eine Metallisierungsschicht 106 oder eine Metallisierungsstruktur 106 umfassen, welche die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 miteinander und/oder mit der Mehrzahl von elektrischen Kontakten oder Kontaktstellen elektrisch verbindet. Mit anderen Worten kann die Kontaktstruktur 106 eine Metallisierungsstruktur, z. B. eine Einebenen-Metallisierung oder eine Mehrebenen-Metallisierung, umfassen oder sein.
  • Wie beispielsweise in 1C veranschaulicht, kann die Kontaktstruktur 106 ausgelegt sein, die jeweiligen Anschlüsse 114a, 114b, 116a, 116b der Dünnfilmbatterien 104a, 104b mit einer jeweiligen Kontaktstelle 108a, 108b, 108c der Kontaktstruktur 106 elektrisch zu verbinden. Zur Veranschaulichung können die Kontaktstellen 108a, 108b, 108c der Kontaktstruktur 106 ausgelegt sein und dazu dienen, einen Zugang zu den Dünnfilmbatterien 104a, 104b der Mehrzahl von Dünnfilmbatterien 104 vorzusehen. Gemäß verschiedenen Ausführungsformen kann die Kontaktstruktur 106 zwei oder mehr als zwei Kontaktstellen umfassen, z. B. drei Kontaktstellen oder mehr als drei Kontaktstellen. Gemäß verschiedenen Ausführungsformen kann die Anzahl von Kontaktstellen, die in der Kontaktstruktur 106 enthalten sind, im Bereich von etwa 2 (z. B. in dem Fall, dass alle Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien miteinander verbunden sind) bis etwa 2000 (z. B. in dem Fall, dass jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien einzeln adressiert werden muss) liegen, in Abhängigkeit von der gewünschten Verdrahtungsausbildung für die elektrische Verbindung der Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104.
  • Wie in den 1D und 1E gezeigt, kann die Kontaktstruktur 106 ausgelegt sein, wenigstens zwei Dünnfilmbatterien 104a, 104b der Mehrzahl von Dünnfilmbatterien 104 mit wenigstens zwei (z. B. zwei oder drei) Kontaktstellen 108a, 108b, 108c der Kontaktstruktur 106 in Serie oder parallel elektrisch zu verbinden. Ohne die Allgemeingültigkeit zu verlieren, können die ersten Anschlüsse 114a, 114b der Dünnfilmbatterien 104a, 104b die positiven Anschlüsse sein, und die zweiten Anschlüsse 116a, 116b können die negativen Anschlüsse sein. Gemäß verschiedenen Ausführungsformen kann die Verdrahtung 110 der Kontaktstruktur 106 in ein dielektrisches Material 112 eingebettet werden, wie bereits beschrieben.
  • Wie in 1D schematisch veranschaulicht, kann der erste Anschluss 114a der ersten Dünnfilmbatterie 104 mit der ersten Kontaktstelle 108a der Kontaktstruktur 106 elektrisch leitfähig verbunden sein. Ferner kann der zweite Anschluss 116b der zweiten Dünnfilmbatterie 1046 mit der dritten Kontaktstelle 108c der Kontaktstruktur 106 elektrisch leitfähig verbunden sein. Ferner können der zweite Anschluss 116a der ersten Dünnfilmbatterie 104a und der erste Anschluss 114b der zweiten Dünnfilmbatterie 104b miteinander elektrisch leitfähig gekoppelt sein; und gegebenenfalls mit der zweiten Kontaktstelle 108b der Kontaktstruktur 106.
  • Diesbezüglich können die erste Dünnfilmbatterie 104a und die zweite Dünnfilmbatterie 104b mit den Kontaktstellen 108 der Kontaktstruktur 106 in Serie elektrisch gekoppelt sein. Ferner kann die Kontaktstruktur 106 so ausgelegt sein, dass zwischen der ersten Kontaktstelle 108a und der zweiten Kontaktstelle 108b eine erste Spannung vorgesehen werden kann (z. B. die Zellenspannung, die von der ersten Dünnfilmbatterie 104a vorgesehen wird), und zwischen der ersten Kontaktstelle 108a und der dritten Kontaktstelle 108c eine zweite Spannung vorgesehen werden kann (z. B. die Zellenspannung, die von der ersten Dünnfilmbatterie 104a und der zweiten Dünnfilmbatterie 104b vorgesehen wird (die summierten Spannungen der Dünnfilmbatterien 104a, 104b)).
  • Da die Batteriestruktur 100 eine Mehrzahl von Dünnfilmbatterien 104 umfassen kann, können zur Veranschaulichung die vorgesehenen Spannungen an den Kontaktstellen der Kontaktstruktur 106 in der Weise angepasst werden, wie hier veranschaulicht ist, oder in einer ähnlichen Weise, die eine Auslegung der Batteriestruktur 100 wie gewünscht gestatten kann. Ferner können unterschiedliche Sätze von Kontakten der Mehrzahl von Kontaktstellen 108 für unterschiedliche Anwendungen der Batteriestruktur 100 verwendet werden, z. B. kann ein erster Satz von Kontakten der Mehrzahl von Kontaktstellen 108 zum Betreiben der Batteriestruktur 100 verwendet werden, und ein zweiter Satz von Kontakten der Mehrzahl von Kontaktstellen 108 kann zum Laden der Batteriestruktur 100 verwendet werden (z. B. können die Dünnfilmbatterien der Batteriestruktur 100 parallel geladen werden und können in Serie betrieben werden.
  • Ferner können, wie schematisch in 1E veranschaulicht, die erste Dünnfilmbatterie 104a und die zweite Dünnfilmbatterie 104b mit einer vierten Kontaktstelle 108d und einer fünften Kontaktstelle 108e parallel elektrisch verbunden werden. Daher können gemäß verschiedenen Ausführungsformen der erste Anschluss 114a der ersten Dünnfilmbatterie 104a und der erste Anschluss 114b der zweiten Dünnfilmbatterie 104b miteinander und mit der vierten Kontaktstelle 108d der Kontaktstruktur 106 elektrisch leitfähig verbunden werden. Ferner können der zweite Anschluss 116a der ersten Dünnfilmbatterie 104a und der zweite Anschluss 116b der zweiten Dünnfilmbatterie 104b miteinander und mit der fünften Kontaktstelle 108e der Kontaktstruktur 106 elektrisch leitfähig verbunden werden.
  • Gemäß verschiedenen Ausführungsformen können sich aufgrund der parallelen Verbindung der Dünnfilmbatterien 104a, 104b die Dünnfilmbatterien 104a, 104b bei derselben Rate laden oder entladen, so dass der Ladepegel der Dünnfilmbatterien 104a, 104b gleich sein kann. Ferner können zusätzliche elektrische Verbindungen zwischen den Dünnfilmbatterien 104a, 104b und zusätzlichen Kontaktstellen der Kontaktstruktur 106 vorgesehen sein, z. B. um einen Batterieausgleich und/oder eine Batterieumverteilung zu gestatten. Dies kann die Effizienz und/oder die Lebensdauer der Batteriestruktur 100 erhöhen, z. B. in dem Fall, dass die Dünnfilmbatterien 104 Batterien auf Lithiumionen-Basis sein können. Der Ausgleich der Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 kann aktiv oder passiv ausgelegt sein.
  • Gemäß verschiedenen Ausführungsformen, wie auch im Folgenden beschrieben, kann die Batteriestruktur 100 ein Batterieverwaltungssystem (BMS) umfassen, das einen aktiven Ausgleich der Dünnfilmbatterien 104, eine Temperaturüberwachung und dgl. gestalten kann. Das Batterieverwaltungssystem kann in einer integrierten Schaltungsstruktur 118 enthalten sein, wie im Folgenden mit Bezugnahme auf 1F beschrieben. Das Integrieren eines Batterieverwaltungssystems oder eines beliebigen anderen Steuersystems in die Batteriestruktur 100 oder in die elektronische Struktur 100, die eine Mehrzahl von Dünnfilmbatterien 104 umfasst, kann verschiedenste Funktionalitäten ermöglichen, wie beispielsweise: ein optimales Laden der Batteriestruktur 100, eine verlängerte Lebensdauer der Batteriestruktur 100, eine größere effektive Kapazität der Batteriestruktur 100, eine Fehlerbehandlung (z. B. in dem Fall, dass eine Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien brechen kann, kann die gebrochene Batterie aus der Batteriestruktur 100 ohne einen signifikanten Kapazitätsverlust ausgeschlossen werden), eine Temperatursteuerung, um das Funktionieren der Batteriestruktur 100 zu steuern, eine Spannungsanpassung an spezifische Anforderungen (z. B. eine zeitgenaue Änderung der von der Batteriestruktur 100 vorgesehenen Spannung), eine elektrische Strom-(maximale Strom-)Anpassung an spezifische Anforderungen (z. B. eine zeitgenaue Änderung des von der Batteriestruktur 100 unterstützten elektrischen Stroms) und dgl. Ein derartiges Steuersystem kann in einer integrierten Schaltungsstruktur 118 enthalten sein, die über dem Träger 102 angeordnet ist, z. B. auf derselben Seite 102a des Trägers 102 wie die Mehrzahl von Dünnfilmbatterien 104.
  • 1F veranschaulicht eine schematische Ansicht einer elektronischen Struktur 100 einer Batteriestruktur 100, die eine integrierte Schaltungsstruktur 118 und eine Kontaktstruktur 106 umfasst, gemäß verschiedenen Ausführungsformen. Die integrierte Schaltungsstruktur 118 kann mit der Mehrzahl von Dünnfilmbatterien und mit der Mehrzahl von Kontaktstellen 108 über die Kontaktstruktur 106 (über die Verdrahtung 110) elektrisch leitfähig verbunden sein. Gemäß verschiedenen Ausführungsformen kann die integrierte Schaltungsstruktur 118 ausgelegt sein, die elektrische Zwischenverbindung der Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 untereinander und/oder die elektrische Zwischenverbindung zwischen den Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 und den Kontaktstellen der Mehrzahl von Kontaktstellen 108 der Kontaktstruktur 106 zu realisieren.
  • Gemäß verschiedenen Ausführungsformen kann zur Steuerung des elektrischen Schaltungsaufbaus der Batteriestruktur 100 oder der elektronischen Struktur 100 die integrierte Schaltungsstruktur 118 wenigstens eine der folgenden Komponenten umfassen: einen oder mehrere Schalter, einen oder mehrere Transistoren, eine oder mehrere Brückenbildungsstrukturen, eine Steuereinheit, einen Logikchip und dgl.
  • Ferner kann die integrierte Schaltungsstruktur 118 ausgelegt sein, die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 miteinander selektiv zu koppeln und/oder die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 voneinander selektiv zu entkoppeln. Die integrierte Schaltungsstruktur 118 kann die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 entkoppeln und koppeln, indem eine Schaltstruktur und/oder eine Brückenbildungsstruktur gesteuert wird. Mit anderen Worten können diese Kopplung und Entkopplung auf die elektrisch leitfähige Verbindung bezogen werden. Gemäß verschiedenen Ausführungsformen kann die integrierte Schaltungsstruktur 118 ausgelegt sein, einen oder mehrere Schalter oder Transistoren zu steuern, um die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 miteinander selektiv zu koppeln und/oder die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 voneinander selektiv zu entkoppeln; und/oder die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 mit den jeweiligen Kontakten der Mehrzahl von Kontakten 108 selektiv zu koppeln und/oder die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 von den jeweiligen Kontakten der Mehrzahl von Kontakten 108 selektiv zu entkoppeln. Diesbezüglich kann eine Kopplung der Dünnfilmbatterien eine elektrisch leitfähige Verbindung zwischen den Dünnfilmbatterien, z. B. zwischen einer oder mehreren Stromkollektorschichten der Dünnfilmbatterien, umfassen.
  • Gemäß verschiedenen Ausführungsformen kann die integrierte Schaltungsstruktur 118 ausgelegt sein, die Anzahl von Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 zu steuern, die miteinander parallel elektrisch gekoppelt sind, wodurch die Stromcharakteristiken der Batteriestruktur 100 gesteuert werden. Ferner kann gemäß verschiedenen Ausführungsformen die integrierte Schaltungsstruktur 118 ausgelegt sein, die Anzahl von Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 zu steuern, die miteinander in Serie elektrisch gekoppelt sind, wodurch die Spannungscharakteristiken der Batteriestruktur 100 gesteuert werden.
  • Ferner können gemäß verschiedenen Ausführungsformen die Dünnfilmbatterien 104a, 104b selbst mehr als einen Batterieschichtstapel umfassen, z. B. eine Mehrzahl von Batterieschichtstapeln. Falls jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien 104 eine Anzahl von m Batterieschichtstapeln umfassen kann (wobei jeder Batterieschichtstapel eine Batteriezelle vorsieht), kann daher die Batteriestruktur 100 oder die elektronische Struktur 100 m Mal n Dünnfilmbatteriezellen oder Dünnfilmbatterien umfassen. Ferner können gemäß verschiedenen Ausführungsformen die oben angegebenen m Mal n Batteriezellen k Male über dem Träger 102 verarbeitet werden, z. B. als Batteriezellen-Anordnungsstapel, so dass die Batteriestruktur 100 oder die elektronische Struktur 100 m Mal n Mal k einzelne Batteriezellen umfassen kann (siehe 7A bis 7D und 8A und 8B). Dies kann die Herstellung einer dichten Anordnung einer großen Anzahl von Dünnfilmbatterien auf einem einzelnen Wafer gestatten.
  • Wie hier beschrieben, können eine elektronische Struktur 100 und eine Batteriestruktur 100 vorgesehen werden, die beispielsweise im Gebrauch flexibel sein können und/oder beispielsweise eine verlängerte Lebensdauer aufweisen können (verglichen mit gewöhnlich verwendeten Dünnfilmbatterien), und/oder eine verringerte Ladezeit, um vollständig geladen zu werden, verglichen mit gewöhnlich verwendeten Dünnfilmbatterien mit derselben oder einer ähnlichen Ladungsspeicherkapazität.
  • 2A und 2B zeigen jeweils eine detaillierte Ansicht eines Batterieschichtstapels 204 (z. B. einer Dünnfilmbatterie 104a, 104b, 104c), der hier bereits beschrieben wurde. Der Schichtstapel 204 kann wenigstens eine erste Stromkollektorschicht 204b, eine erste Elektrodenschicht 204c, einen Elektrolytschicht 204d, eine zweite Elektrodenschicht 204c und eine zweite Stromkollektorschicht 204f umfassen, um eine Dünnfilmbatterie 104a, 104b, 104c vorzusehen.
  • Eine Dünnfilmbatterie 104a, 104b, 104c (im Folgenden auch als Batterie 104 bezeichnet) der Mehrzahl von Dünnfilmbatterien 104 kann auch wenigstens eine erste Stromkollektorregion 204b, eine erste Elektrodenregion 204c, eine Elektrolytregion 104d, eine zweite Elektrodenregion 204c und eine zweite Stromkollektorregion 204f umfassen, wobei die spezifische Ausbildung der Regionen an die gewünschten Eigenschaften der Batterie 204 angepasst werden kann, z. B. um eine hohe Kapazität pro Volumen vorzusehen. Die erste Elektrodenschicht 204c kann eine Anodenschicht sein oder kann als Anode der Dünnfilmbatterie 104 funktionieren, und daher kann die erste Stromkollektorschicht 204b die Anodenstrom-Kollektorschicht 204b sein. Diesbezüglich kann die zweite Elektrodenschicht 204e eine Kathodenschicht sein oder kann als Kathode der Batterie 104 funktionieren, und daher kann die zweite Stromkollektorschicht 204f die Kathodenstrom-Kollektorschicht 204b sein.
  • Alternativ dazu kann die erste Elektrodenschicht 204c eine Kathodenschicht sein oder kann als Kathode der Batterie 104 funktionieren, und daher kann die erste Stromkollektorschicht 204b die Kathodenstrom-Kollektorschicht 204b sein. Diesbezüglich kann die zweite Elektrodenschicht 204e eine Anodenschicht sein oder als Anode der Batterie 104 funktionieren, und daher kann die zweite Stromkollektorschicht 204f die Anodestrom-Kollektorschicht 204b sein.
  • Eine Stromkollektorschicht oder Stromkollektorregion (z. B. die Anodenstrom-Kollektorschicht und die Kathodenstrom-Kollektorschicht) kann wenigstens ein Material der folgenden Gruppe von Materialen umfassen oder daraus bestehen, wobei die Gruppe umfasst: ein elektrisch leitfähiges Material, ein Metall, ein Metallnitrid, ein Übergangsmetall, ein Übergangsmetallnitrid, Platin, Kupfer, Aluminium, Aluminium-Nanostäbe, Gold, Titannitrid, Vanadiumnitrid, Molybdännitrid, Tantalnitrid. Wenigstens eine Stromkollektorschicht kann als Diffusionssperre dienen (z. B. kann wenigstens eine Stromkollektorschicht eine Titannitrid-Diffusionssperre sein), wobei die Stromkollektorschicht eine Diffusion von Atomen, Ionen oder Material (z. B. Ltihium und/oder Lithiumionen) aus der Festkörperelektrolytbatterie 104 in die integrierte Schaltungsstruktur 118 oder in den Träger 102 verhindern oder wenigstens reduzieren kann. Wenigstens eine Stromkollektorschicht kann als Diffusionssperre dienen (z. B. kann wenigstens eine Stromkollektorschicht eine Titannitrid-Diffusionssperre sein), wobei die Stromkollektorschicht eine Diffusion von Atomen, Ionen oder Material (z. B. Ltihium und/oder Lithiumionen) aus der integrierten Schaltungsstruktur 118 oder dem Träger 102 in die Festkörperelektrolytbatterie 104 verhindern oder wenigstens reduzieren kann.
  • Die Anodenschicht oder die Anodenregion kann wenigstens ein Material der folgenden Gruppe von Materialen umfassen oder daraus bestehen, wobei die Gruppe umfasst: Silicium, Polysilicium, amorphes Silicium, Kohlenstoff amorphen Kohlenstoff, Graphit, Li4Ti5O12 (LTO), CuN3, Titanoxid (TiO2) oder ein beliebiges anderes Anodenmaterial, wie beispielsweise Titan, ein Metallsilicid (z. B. Calciumsilicid, Magnesiumsilicid, Molybdänsilicid), Li15Si4, eine Lithium enthaltende Legierung (z. B. Li22M5/M (M=Ge, Sn, Pb, Si)), Li4,4Si, Li4.4Ge, auf Zinnoxid basierende Gläser (z. B. SnO-B2O3-P2O5-Al2O3), SnS-P2S5, Li2S-P2S5, Silicium enthaltende Übergangsmetallnitride (z. B. SiMxNy (M=Co, Ni, Cu)), mit TiO2 beschichtetes Ni, Sn, Ge, Al, Pb, In, ZnO. Die Anode kann hier beispielsweise als negative Elektrode während der Ladung der Batterie 104 definiert werden. Gemäß verschiedenen Ausführungsformen kann die Anode eine Mischung von Anodenmaterialien (wie vorstehend beschrieben) oder eine Mischung eines Anodenmaterials mit einem zusätzlichen Material umfassen, um ein Mikroverbundmaterial als Anodenmaterial vorzusehen.
  • Die Kathode kann wenigstens ein Material der folgenden Gruppe von Materialien umfassen oder daraus bestehen, wobei die Gruppe umfasst: Lithium, Kobalt, Nickel, Aluminium, Sauerstoff, Eisen, Phosphor, Schwefel, Mangan, Vanadium, Manganspinell, Lithiumnickelmangankobalt, Lithiumeisenphosphat (dotiert oder undotiert), Übergangsmetalloxide (z. B. MnO2, Fe3O4, Co3O4, TiO2, NiO), Olivin (z. B. LiCoPO4), LiCoO2, LiNiO2, LiNixMnyO2, LiNi1-xCoxO2, LiNi0.85Co0.1Al0.05O2, LiNi0.33Co0.33Mn0.33O2, LiMn2O4 (Spinellstruktur), Li4/3Ti5/3O4, V2O5, amorphes V2O5, LiMn2O4, Li2S, S und LiFePO4 oder ein beliebigen anderes geeignetes Kathodenmaterial, das z. B. Nickel oder rostfreien Stahl umfasst. Die Anode kann hier beispielsweise als positive Elektrode während der Ladung der Batterie 104 definiert werden.
  • Die Elektrolytschicht oder Elektrolytregion (z. B. eine Festkörperelektrolytschicht oder – region) kann wenigstens ein Material der folgenden Gruppe von Materialien umfassen oder daraus bestehen, wobei die Gruppe umfasst: Lithium, Phosphor, Lanthan, Titan, Lithiumphosphoroxynitrid, Lithiumlanthantitanoxid (LLTO), ein Polymer, Polyoxyethylen, LiPO1-xN1-y, Thio-LISICON-Materialien (Lithiumsuperionenleiter, z. B. LixGeyPzS4), LixM1-yM'yS4 (M = Si oder Ge und M' = P, Al, Zn, Ga, oder Sb), LixAlyTiz(PO4) oder einen beliebigen anderen Elektrolyten, z. B. Natriumsuperionenleiter (NASICON), Materialien vom NASICON-Typ (z. B. Na1+x+4yM2-ySixP3-xO12, 0 ≦ x ≦ 3, 0 ≦ y ≦ 1 (M = Ti, Hf oder Zr)), Li2S-P2S5, Li2S-P2S5-SiS2, Li2S-SiS2, oder Oxysulfidglas (z. B. [[Li2S]0.6[SiS2]0.4]1-x[LixMOy]x (M = Si, P, Ge, B, Al, Ga, In)). Ein Festkörperelektrolyt kann als Material angesehen werden, das eine elektrische Ladung aufgrund der Ionenbewegung im Material, z. B. durch Kanäle, Hohlräume oder leere kristallografische Positionen in ihrer Kristallstruktur, transportieren kann. Eine Stromkollektorschicht (z. B. die erste Stromkollektorschicht 204b und/oder die zweite Stromkollektorschicht 204f) kann eine Dicke im Bereich von etwa 5 nm bis etwa 100 nm aufweisen, z. B. im Bereich von etwa 10 nm bis etwa 50 nm. Gemäß verschiedenen Ausführungsformen kann die Schichtdicke einer Stromkollektorschicht von der spezifischen elektrischen Leitfähigkeit der jeweiligen Schicht abhängig sein, die als Stromkollektorschicht dient. Gemäß verschiedenen Ausführungsformen, um z. B. große Stromflüsse zu unterstützen, kann eine Stromkollektorschicht eine Dicke von bis zu einigen Mikrometern aufweisen, z. B. eine Dicke im Bereich von etwa 1 μm bis etwa 10 μm. Die Anodenschicht kann eine Dicke beispielsweise im Bereich von etwa 5 nm bis etwa 100 nm aufweisen, z. B. größer als 100 nm, z. B. von etwa 10 nm bis etwa 50 nm, z. B. kleiner als 10 nm, z. B. größer als 50 nm. Gemäß verschiedenen Ausführungsformen, um z. B. größere Energiedichten zu erzielen, kann eine Anodenschicht eine Dicke von bis zu einigen zehn Mikrometern aufweisen, z. B. eine Dicke im Bereich von etwa 1 μm bis etwa 50 μm.
  • Gemäß verschiedenen Ausführungsformen kann die Kathodenschicht eine Dicke beispielsweise im Bereich von etwa 5 nm bis etwa 100 nm aufweisen, z. B. größer als 100 nm, z. B. von etwa 10 nm bis etwa 50 nm, z. B. kleiner als 10 nm, z. B. größer als 50 nm. Gemäß verschiedenen Ausführungsformen, um z. B. größere Energiedichten zu erzielen, kann eine Kathodenschicht eine Dicke von bis zu einigen zehn Mikrometern aufweisen, z. B. eine Dicke im Bereich von etwa 1 μm bis etwa 50 μm.
  • Die Elektrolytschicht 204d kann eine Dicke beispielsweise im Bereich von etwa 5 nm bis etwa 100 nm aufweisen, z. B. größer als 100 nm, z. B. von etwa 10 nm bis etwa 50 nm, z. B. kleiner als 10 nm, z. B. größer als 50 nm.
  • Die Anodenschicht kann eine Dicke von etwa 30 nm aufweisen, die Kathodenschicht kann eine Dicke von etwa 30 nm aufweisen, und die Elektrolytschicht kann eine Dicke von etwa 30 nm aufweisen.
  • Die Dicke der Anodenschicht und die entsprechende Dicke der Kathodenschicht können gemäß den Ladungsspeichereigenschaften des jeweiligen Materials ausgewählt werden, das die Anodenschicht und die entsprechende Kathodenschicht bildet.
  • Die Dicke der Elektrolytschicht 204d kann groß genug sein, z. B. größer als 5 nm, um als Elektrolytschicht zu dienen, z. B. um Lithiumionen zu leiten, oder z. B. die für Lithiumionen durchlässig ist (wobei die Elektrolytschicht keinen bestimmten Transport von Elektronen gestatten kann). Die Elektrolytschicht 204d kann unter Verwendung einer atomaren Schichtabscheidung abgeschieden werden, z. B. einer atomaren chemischen Dampfabscheidung, die zu einer glatten, geschlossenen und dichten Schicht führt. Nachdem der Schichtstapel 204 (oder die Batterie 104) gebildet wurde, kann ein Ausheilprozess, z. B. eine Wärmebehandlung, durchgeführt werden, um z. B. Umkristallisationsprozesse oder andere Verbesserungen einzubringen, wie beispielsweise mechanische Stabilität.
  • Die Schichtdicke der Anodenschicht in der Batterie 104 kann aus physikalischen und chemischen Gründen begrenzt sein, z. B. kann eine Silicium-Schicht als Anodenschicht abgebaut werden, während sie Lithiumionen speichert, falls die Silicium-Schicht zu dick sein kann, z. B. beispielsweise dicker als 50 nm oder dicker als 100 nm. Die Ladungsspeicherkapazität der Batterie 104 kann mit einem zunehmenden Volumen der ladungspeichernden Anodenschicht steigen, z. B. dem Volumen des die Anode vorsehenden Materials. Gemäß verschiedenen Ausführungsformen kann die Dünnfilmbatterie 104 über eine und in einer Vertiefungsstruktur oder Hohlraumstruktur gebildet sein, wobei sie z. B. konform die Innenfläche der Vertiefungsstruktur oder der Hohlraumstruktur bedeckt, so dass sich der Flächenbereich der Anodenschicht gemäß der Form und Größe der Vertiefungsstruktur oder der Hohlraumstruktur ändern kann. Daher kann die Ladungsspeicherkapazität einer einzelnen Batteriezelle 104 angepasst (z. B. erhöht) werden, während die Schichtdicke der ladungsspeichernden Anodenschicht eine gewünscht Dicke aufweisen kann.
  • Wie in 2B gezeigt, kann der Batterieschichtstapel 204 ferner eine erste dielektrische Schicht 204a aufweisen, die ein elektrisch isolierendes Material umfasst, z. B. ein elektrisch isolierendes Oxid, z. B. Siliciumoxid. Die erste dielektrische Schicht 204a kann die Batterie 104 (den Batterieschichtstapel 204) vom Träger 102, von der integrierten Schaltungsstruktur 118 und/oder teilweise von der Kontaktstruktur 106 elektrisch trennen. Der Schichtstapel 204 kann ferner eine Schutzschicht 220 oben auf der zweiten Stromkollektorschicht 204f umfassen, um z. B. die Dünnfilmbatterie 104 und demgemäß den Batterieschichtstapel 204 zu schützen.
  • Gemäß verschiedenen Ausführungsformen kann der Batterieschichtstapel an spezifische Anforderungen angepasst werden, z. B. kann der Batterieschichtstapel als Mehrebenen-Stapel gebildet sein, der eine Mehrzahl von Batterieschichtstapeln 204 umfasst. 3A veranschaulicht ein Flussdiagramm eines Verfahrens 300 zur Herstellung einer elektronischen Struktur 100 oder einer Batteriestruktur 100 gemäß verschiedenen Ausführungsformen, wobei das Verfahren umfasst: in 310 Vorsehen eines Halbleiterträgers 102; und in 320 Bilden einer Mehrzahl von Dünnfilmbatterien 104, die mit dem Halbleiterträger 102 monolithisch integriert sind.
  • Das Bilden der Mehrzahl von Dünnfilmbatterien 104 kann das Bilden einer Mehrzahl von Batterieschichtstapeln 204 umfassen, wobei jeder der Batterieschichtstapel wenigstens eine Kathodenschicht, wenigstens eine Anodenschicht und wenigstens eine Elektrolytschicht umfassen kann, die zwischen der wenigstens einen Kathodenschicht und der wenigstens einen Anodenschicht angeordnet ist. Das Bilden der Mehrzahl von Dünnfilmbatterien 104 kann das Bilden von mehr als einem Batterieschichtstapel 204 umfassen, die jeweils die funktionellen Schichten 204b, 204c, 204d, 204e, 204f umfassen, wie bereits beschrieben, und gegebenenfalls die zusätzlichen Schichten 220, 204a, wie bereits beschrieben.
  • Das Bilden der Mehrzahl von Dünnfilmbatterien 104 kann ferner das Bilden wenigstens einer Kathodenstrom-Kollektorschicht, die an die wenigstens eine Kathodenschicht angrenzt, und wenigstens einer Anodenstrom-Kollektorschicht umfassen, die an die wenigstens eine Anodenschicht angrenzt.
  • Gemäß verschiedenen Ausführungsformen kann das Bilden einer Mehrzahl von Batterien 104 das Bilden einer Mehrzahl von Dünnfilmbatterien auf Lithiumionen-Basis umfassen. Gemäß verschiedenen Ausführungsformen kann das Verfahren 300 zur Herstellung einer elektronischen Struktur 100 oder einer Batteriestruktur 100 ferner umfassen: Vorsehen einer integrierten Schaltungsstruktur 118, wobei das Vorsehen einer integrierten Schaltungsstruktur 118 das Vorsehen wenigstens einer elektronischen Komponente der folgenden Gruppe von elektronischen Komponenten umfassen kann, welche Gruppe umfasst: eine integrierte Schaltung, eine Steuerschaltung, eine Batterieverwaltungsschaltung, einen Mikroprozessor, einen Logikchip und dgl.
  • Ferner kann der Prozess 301 zum Vorsehen eines Halbleiterträgers 102 beispielsweise das Vorsehen einer elektronischen Schaltung wenigstens eines von über und in dem Träger 102 umfassen. Mit anderen Worten kann das Vorsehen eines Halbleiterträgers 102 das Erzeugen (oder Bilden) einer elektronischen Schaltung umfassen, wobei die elektronische Schaltung wenigstens eine der folgenden grundlegenden Halbleitertechnologien umfassen kann: MOS-Technologie (Metalloxid-Halbleitertechnologie), nMOS-Technologie (n-Kanal-MOS-Technologie), pMOS-Technologie (p-Kanal-MOS-Technologie), CMOS-Technologie (komplementäre Metalloxid-Halbleitertechnologie). Ferner kann der Prozess 310 zum Vorsehen eines Halbleiterträgers 102 wenigstens eines des Folgenden umfassen: ein oder mehrere Schichtbildungsprozesse, ein oder mehrere Strukturierungsprozesse, ein oder mehrere Dotierungsprozesse, eine oder mehrere Wärmebehandlungen, ein oder mehrere Reinigungsprozesse, ein oder mehrere Polierprozesse und ein beliebiger anderer (oder notwendiger) Halbleiterprozess, z. B. das Bilden einer Metallisierungsstruktur (z. B. ein oder mehrere Metallisierungsprozesse). Der Prozess 310 zum Vorsehen eines Halbleiterträgers 102 kann das Bilden oder wenigstens teilweise Bilden wenigstens eines des Folgenden umfassen: ein Chip, ein Speicherchip, ein Nacktchip, ein Mikroprozessor, eine Speicherstruktur, ein Ladungs-Memoryspeicher, ein Speicher mit wahlfreiem Zugriff, ein dynamischer Speicher mit wahlfreiem Zugriff, eine Logikschaltung, ein Sensor, ein Nanosensor, ein integrierter Sender/Empfänger, eine mikromechanische Vorrichtung, eine mikroelektronische Vorrichtung, eine nanoelektronische Vorrichtung, eine elektrische Schaltung, eine digitale Schaltung, eine analoge Schaltung und eine beliebige andere elektronische Vorrichtung, die auf der Halbleitertechnologie basiert, z. B. eine lichtemittierende Diode (LED), eine organische lichtemittierende Diode (OLED) oder andere optische Vorrichtungen. Zur Veranschaulichung kann der Träger 102 eine fertig verarbeitete elektronische Schaltung in einer beliebigen Halbleitertechnologie umfassen. Diesbezüglich kann das Bilden einer elektronischen Schaltung, das z. B. im Prozess 310 enthalten sein kann, das Bilden wenigstens eines elektronischen Bauteils der folgenden Gruppe von elektronischen Bauteilen umfassen: ein Widerstand, ein Kondensator, eine Induktivität, ein Transistor (beispielsweise ein Feldeffekttransistor (FET) (z. B. ein Metalloxid-Halbleiter-Feldeffekttransistor (MOSFET), ein Fin-Feldeffekttransistor (FinFET) oder ein Transistor mit schwebendem Gate)), eine Teststruktur und ein beliebiger anderer elektronischer Bauteil auf der Basis der Halbleitertechnologie.
  • Der Prozess 320 zur Bildung der Mehrzahl von Dünnfilmbatterien 104 kann ferner wenigstens eines des Folgenden umfassen: ein Schichtbildungsprozess, das Bilden einer Maskenmaterialschicht unter Verwendung wenigstens eines Schichtbildungsprozesses, das Bilden einer Hartmaske, das Bilden einer Weichmaske, ein Strukturierungsprozess, ein lithografischer Prozess, ein Ätzprozess und andere Halbleiterprozesse, so dass z. B. eine Batteriestruktur 100 oder eine elektronische Struktur 100 gebildet werden kann, wie hier beschrieben.
  • Gemäß verschiedenen Ausführungsformen können die (Festkörperelektrolyt-)Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 durch das Anwenden einer Mehrzahl konformer Abscheidungsprozesse gebildet werden, z. B. unter Verwendung einer Mehrzahl von Niederdruck-chemischen Dampfabscheidungsprozessen und/oder einer Mehrzahl von atomaren Schichtabscheidungsprozessen.
  • Der Prozess 320 kann das Bilden wenigstens eines der folgenden Typen von Batterien umfassen: eine Festkörperelektrolytbatterie (das bedeutet eine Festkörperbatterie, in der die Elektroden über Festkörpermaterialien vorgesehen sind und der Elektrolyt auch ein Festkörpermaterial ist), eine Batterie auf Lithiumionen-Basis, eine Festkörperbaterie auf Lithiumionen-Basis, eine Dünnfilmbatterie, eine Dünnfilmbatterie auf Lithiumionen-Basis oder ein beliebiger anderer Typ einer geeigneten Festkörperelektrolytbatterie, z. B. eine Dünnfilmbatterie auf Metallhydrid-Basis, die eine Festkörperelektrolytschicht umfasst. Die im Prozess 320 gebildeten Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 können sekundäre Batterien enthalten; mit anderen Worten kann die Festkörperelektrolytbatterie 104 eine wiederaufladbare Batterie sein.
  • Ferner kann wenigstens ein Teil der Mehrzahl von Dünnfilmbatterien 104 gleichzeitig gebildet werden, z. B. durch das Vornehmen der notwendigen Schichtbildungsprozesse. Dadurch können die Batterieschichten des Batterieschichtstapels 204, der die Batterien 104 bildet, zuerst über den gesamten Wafer oder über einen großen Bereich des Wafers gebildet werden, wobei die Mehrzahl von Dünnfilmbatterien 104 durch das Strukturieren des anfänglich gebildeten Batterieschichtstapels 204 gebildet werden kann. Das Bilden des Batterieschichtstapels 204 kann das Bilden eines Dünnfilmbatterie-Schichtstapels auf Lithiumionen-Basis, z. B. eines wiederaufladbaren Dünnfilmbatterie-Schichtstapels auf Lithiumionen-Basis, umfassen. Das Verfahren zur Herstellung einer Batteriestruktur 100 oder einer elektronischen Struktur 100 kann ferner das Vorsehen (oder Bilden) einer Metallisierungsstruktur (oder einer Metallisierungsschicht) umfassen, welche die Festkörperelektrolytbatterien 104 elektrisch verbindet.
  • Die Verwendung des Verfahrens 300, wie oben beschrieben, kann das Herstellen einer monolithisch integrierten wiederaufladbaren Batteriestruktur 100 (einer wiederaufladbaren Batteriestruktur 100, die eine Mehrzahl von Dünnfilmbatterien umfasst) über eine Halbleitertechnologie umfassen. Das Verfahren 300 kann in eine beliebige Halbleitertechnologie integriert werden. Im Allgemeinen kann das Verfahren 300 Techniken und Materialien kombinieren, wie sie sowohl bei der Bildung einer wiederaufladbaren Batterie als auch der Bildung einer Halbleiterstruktur verwendet werden, was zu einem einfachen und/oder kosteneffizienten Herstellungsprozess führen kann. Das Bilden einer oder mehrerer Festkörperelektrolytbatterien 104 kann das Bilden eines Batterieschichtstapels 204 umfassen, wobei der Batterieschichtstapel 204 wenigstens eine Schicht der folgenden Schichten umfassen kann: eine Kathodenschicht, eine Anodenschicht, eine Elektrolytschicht (die z. B. einen Festkörperelektrolyten umfasst), eine Kathodenstrom-Kollektorschicht, eine Anodenstrom-Kollektorschicht und eine Isolierschicht (die z. B. den Batterieschichtstapel 204 gegen den Träger 102 und/oder gegen die Kontaktstruktur 106 und/oder gegen die integrierte Schaltungsstruktur 118 isoliert). Eine oder mehrere (Festkörperelektrolyt-)Dünnfilmbatterien 104 kann oder können unter Verwendung eines konformen Abscheidungsprozesses gebildet werden, z. B. unter Verwendung wenigstens eines von einem Niederdruck-chemischen Dampfabscheidungsprozess und einem atomaren Schichtabscheidungsprozess. Eine oder mehrere (Festkörperelektrolyt-)Dünnfilmbatterien 104 kann oder können durch das Anwenden einer Mehrzahl konformer Abscheidungsprozesse gebildet werden, z. B. unter Verwendung einer Mehrzahl von Niederdruck-chemischen Dampfabscheidungsprozessen und/oder einer Mehrzahl von atomaren Schichtabscheidungsprozessen.
  • Gemäß verschiedenen Ausführungsformen kann das Verfahren 300 ferner im Prozess 300 (wie in 3B gezeigt) das Bilden einer Kontaktstruktur 106 umfassen, welche die Mehrzahl von Dünnfilmbatterien 104 elektrisch miteinander verbindet; wobei wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 in Serie oder parallel elektrisch gekoppelt sein können.
  • Im Allgemeinen kann die Mehrzahl von Dünnfilmbatterien in einen einzelnen Halbleiterträger, z. B. in einen Silicium-Chip oder in einen Silicium-Wafer, integriert werden. Dadurch kann die Verdrahtung der Mehrzahl von Dünnfilmbatterien 104 durch eine integrierte Schaltungsstruktur 118 gesteuert werden, die über den gesamten Wafer gebildet ist oder mit der Mehrzahl von Dünnfilmbatterien 104 kommuniziert. Im Folgenden werden verschiedene Modifikationen und/oder Auslegungen der elektronischen Struktur 100 und/oder der Batteriestruktur 100 sowie Details in Bezug auf die Mehrzahl von Dünnfilmbatterien 104, die Kontaktstruktur 106 und die integrierte Schaltungsstruktur 118 beschrieben, wobei die mit Bezugnahme auf 1A bis 1F, 2A und 2B und 3A und 3B beschriebenen Merkmale und/oder Funktionalitäten analog eingeschlossen werden können. Ferner können die im Folgenden beschriebenen Merkmale und/oder Funktionalitäten in die elektronische Struktur 100 und/oder in die Batteriestruktur 100 eingeschlossen werden oder können mit der elektronischen Struktur 100 und/oder mit der Batteriestruktur 100 kombiniert werden, wie vorstehend mit Bezugnahme auf 1A bis 1F, 2A und 2B und 3A und 3B beschrieben.
  • Im Allgemeinen können für unterschiedliche Anwendungen wiederaufladbare Batterien mit unterschiedlichen Ausgangsspannungen, Ausgangsströmen und/oder Ladungskapazitäten erforderlich sein, wobei gewöhnlich verwendete wiederaufladbare Batterien, die auf einem Chip integriert sind, im Allgemeinen nur einen festen spezifischen Ausgangsspannungwert, Ausgangsstromwert und/oder Ladungskapazitätswert liefern können. Ferner kann das Laden einer einzelligen Dünnfilmbatterie mit einer großen Ladungskapazität sehr zweitaufwändig sein (kann z. B. Stunden dauern).
  • Gemäß verschiedenen Ausführungsformen kann die hier beschriebene Batteriestruktur 100 und/oder elektronische Struktur 100 in der Halbleitertechnologie gebildet werden. Eine Mehrzahl von Batterieschichtstapeln 204 kann als zweidimensionale Lage oder innerhalb dreidimensionaler Strukturen, d. h. innerhalb von Gräben, abgeschieden werden. Jeder dieser Schichtstapel kann beispielsweise durch herkömmliche Middle-of-Line-Prozesse getrennt in Kontakt gebracht werden. Die einzelnen Batterieschichtstapel können durch eine Verdrahtungsschicht oder durch mehrfache Verdrahtungsschichten verbunden werden. Die Ausbildung der Verdrahtung 106 kann eine kundenspezifische Auswahl von Strom, Spannung und Kapazität sowohl für die Verwendung der Batteriestruktur 100 oder der elektronischen Struktur 100 als auch ihren Ladeprozess gestatten. Die elektronische Struktur 100 und/oder die Batteriestruktur 100 kann eine Mehrzahl von dreidimensionalen Batterien und/oder eine Mehrzahl von zweidimensionalen Batterien auf einem Chip oder auf einem Wafer umfassen.
  • Gemäß verschiedenen Ausführungsformen kann jeder einzelne Batterieschichtstapel 204 der Mehrzahl von Dünnfilmbatterien 104 getrennt elektrisch in Kontakt gebracht werden, was eine kundenspezifische Wahl für I (den Strom), U (die Spannung) und C (die Kapazität) der elektronischen Struktur 100 oder der Batteriestruktur 100 (des Batteriechips 100) ermöglicht, z. B. ohne die darunterliegende Batterieanordnung zu ändern. Ferner kann der Ladeprozess der Batterieschichtstapel 204 der Mehrzahl von Dünnfilmbatterien 104 unter Verwendung der Verdrahtung 106 (der Kontaktstruktur 106) optimiert werden. Es kann weniger zeitaufwändig werden, falls alle der Batterien oder wenigstens einige der Batterien parallel geladen werden können.
  • Gemäß verschiedenen Ausführungsformen kann die hier beschriebene Batteriestruktur 100 und/oder elektronische Struktur 100 ein unabhängiges Inkontaktbringen und Verdrahten einzelner Dünnfilmbatterien einer Mehrzahl von Dünnfilmbatterien, die auf einem Chip oder einem Wafer gebildet sind, mit einem Middle-of-Line-Prozess gestatten. Daher kann eine serielle oder parallele Schaltungsausbildung in der Kontaktstruktur 106 (einer Metallisierungsschichtstruktur) realisiert werden.
  • 4A bis 4H zeigen jeweils einen Träger 102 während der Herstellung einer elektronischen Struktur 100 oder während der Herstellung einer Batteriestruktur 100 in einer schematischen Ansicht gemäß verschiedenen Ausführungsformen.
  • 4A zeigt einen Träger 102 in einer anfänglichen Verarbeitungsstufe; der Träger 102 kann eine Hauptprozessierungsfläche 102a aufweisen. Der Träger kann wie bereits beschrieben ausgelegt sein, z. B. kann der Träger ein Silicium-Wafer, ein ungehäuster Silicium-Chip, ein ungehäuster Nacktchip sein, oder der Träger kann eine fertig verarbeitete integrierte Schaltung umfassen. Gemäß verschiedenen Ausführungsformen kann in dem Fall, dass der Träger 102 eine fertig verarbeitete integrierte Schaltung umfassen kann, der Träger 102 Trägerkontakte umfassen, um eine Schnittstelle zur Mehrzahl von Dünnfilmbatterien 104 vorzusehen. Gemäß verschiedenen Ausführungsformen können die im Träger 102 enthaltenen Materialien, z. B. Al/Cu oder Co, die anschließend vorgenommenen Prozesse hinsichtlich der Temperatur, z. B. auf Temperaturen von weniger als etwa 400°C, begrenzen. Falls beispielsweise Wolfram verwendet werden kann, um die elektrischen Verbindungen im Träger zu realisieren, können im Gegensatz dazu die anschließend vorgenommenen Prozesse bei höheren Temperaturen als etwa 400°C vorgenommen werden.
  • Wie in 4B gezeigt, kann eine oder können mehrere Vertiefungen 402 (Gräben oder Hohlräume) wenigstens eines von über den oder in dem Träger 102 gebildet werden. Alternativ dazu kann eine Mehrzahl von Strukturelementen wenigstens eines von über den und in dem Träger 102a gebildet werden, wobei eine Vertiefungsstruktur 402 gebildet wird. Gemäß verschiedenen Ausführungsformen kann die Tiefe 409 der einen oder mehreren Vertiefungen 402 aufgrund des Ätzprozesses oder aufgrund der Wafer-Dicke begrenzt werden. Gemäß verschiedenen Ausführungsformen kann die Breite 411 der einen oder mehreren Vertiefungen 402 im Bereich von etwa einigen zehn Nanometern bis etwa einigen hundert Mikrometern oder sogar mehr liegen. Die Breite 411 der einen oder mehreren Vertiefungen 402 kann im Bereich von etwa 50 nm bis etwa 200 nm liegen. Die Tiefe 409 der einen oder mehreren Vertiefungen 402 kann im Bereich von etwa 100 nm bis etwa 2000 nm liegen. Gemäß verschiedenen Ausführungsformen kann die eine oder können mehrere Vertiefungen 402 ein Aspektverhältnis von bis zu 20 oder sogar mehr, z. B. bis zu 50, aufweisen.
  • Gemäß verschiedenen Ausführungsformen kann die eine oder können mehrere Vertiefungen 402 unter Verwendung eines Ätzprozesses gebildet werden. Mit anderen Worten kann die eine oder können mehrere Vertiefungen 402 durch das Strukturieren der Oberflächenregion des Trägers 102 gebildet werden. Ferner kann der Träger 102 eine Oberflächenregion umfassen, wobei die Oberflächenregion ein Oxid, z. B. Siliciumoxid, umfassen kann. In diesem Fall kann die eine oder können mehrere Vertiefungen 402 unter Verwendung eines Oxid-Atzprozesses gebildet werden.
  • Anschließend, wie in 4C gezeigt, kann ein erster Batterieschichtstapel 404a über den Träger 102, über die Hauptprozessierungsfläche 102a des Trägers 102 und/oder über die eine oder mehrere Vertiefungen 402 gebildet werden. Dadurch kann ein erster Batterieschichtstapel 404a konform über den Träger 102 abgeschieden werden, z. B. unter Verwendung von ALD- und/oder LPCVD-Prozessen.
  • Der erste Batterieschichtstapel 404a kann ausgelegt sein, wie in Bezug auf den vorstehend beschriebenen Batterieschichtstapel 204 beschrieben, z. B. mit Bezugnahme auf 2A und 2B. Gemäß verschiedenen Ausführungsformen kann die Festkörperelektrolytschicht LiPON und/oder ein anderes Festkörperelektrolytmaterial umfassen, wie bereits beschrieben. Die Festkörperelektrolytschicht kann eine Dicke von etwa einigen 10 nm oder eine wie bereits beschriebene Dicke aufweisen. Ferner kann die Anodenschicht, die an die Festkörperelektrolytschicht angrenzt, Silicium (z. B. amorphes Silicium) und/oder ein anderes Anodenmaterial, z. B. eine optionale Kohlenstoffschicht (z. B. umfassend pyrolytischen Kohlenstoff), umfassen, wie bereits beschrieben. Die Anodenschicht kann eine Dicke im Bereich von etwa 30 nm bis etwa 50 nm oder eine wie bereits beschriebene Dicke aufweisen. Ferner kann die Kathodenschicht, die an die Festkörperelektrolytschicht angrenzt, LiCoO2 und/oder ein anderes Kathodenmaterial umfassen, wie bereits beschrieben. Die Kathodenschicht kann eine Dicke von einigen 10 nm oder eine wie bereits beschriebene Dicke aufweisen. Die Anodenstrom-Kollektorschicht, die an die Anodenschicht angrenzt, kann TiN (z. B. funktionierend gleichzeitig als Stromkollektor- und Diffusionssperrschicht) und/oder ein anderes Stromkollektormaterial umfassen, wie bereits beschrieben. Die Anodenstrom-Kollektorschicht kann eine Dicke von etwa 20 nm oder eine wie bereits beschriebene Dicke aufweisen. Die Kathodenstrom-Kollektorschicht, die an die Kathodenschicht angrenzt, kann TiN (z. B. funktionierend gleichzeitig als Stromkollektor- und Diffusionssperrschicht) und/oder ein anderes Stromkollektormaterial, z. B. Platin, umfassen, wie bereits beschrieben. Die Kathodenstrom-Kollektorschicht kann eine Dicke von etwa einigen 10 nm oder eine wie bereits beschriebene Dicke aufweisen.
  • Gegebenenfalls, wie beispielsweise in 4D schematisch veranschaulicht, kann ein zusätzlicher Batterieschichtstapel 404b (oder mehr als ein zusätzlicher Batterieschichtstapel 404b) über den ersten Batterieschichtstapel 404a gebildet werden. Der eine oder die mehreren zusätzlichen Batterieschichtstapel 404b, der oder die über den ersten Batterieschichtstapel 404a gebildet wird oder werden, kann oder können die gleichen Eigenschaften und die gleichen Merkmale, wie vorstehend für den ersten Batterieschichtstapel 404a beschrieben, aufweisen. Zur Veranschaulichung kann der erste Batterieschichtstapel 404a m Male über den Träger 102 gebildet werden. Dadurch können, wie bereits beschrieben, die m Batterieschichtstapel 404a, 404b eine Dünnfilmbatterie 104a, 104b, 104c bilden, oder die Batterieschichtstapel 404a, 404b können die Mehrzahl von Dünnfilmbatterien 104 vorsehen.
  • Ferner kann der eine oder können mehrere Batterieschichtstapel 404a, 404b mit einem dielektrischen Material bedeckt werden (z. B. mit Siliciumoxid), so dass beispielsweise eine zurückbleibende Vertiefung mit dem dielektrischen Material aufgefüllt werden kann. Gemäß verschiedenen Ausführungsformen kann der eine oder können mehrere Batterieschichtstapel 404a, 404b mit einem halbleitenden oder elektrisch leitfähigen Material (z. B. mit Silicium, dotiertem Silicium und dgl.) bedeckt werden, so dass beispielsweise eine zurückbleibende Vertiefung mit dem halbleitenden oder elektrisch leitfähigen Material aufgefüllt werden kann.
  • Wie schematisch in 4E veranschaulicht, kann ein chemisch-mechanischer Polier-(CMP-)Prozess durchgeführt werden, der die Fläche 102a des Trägers neben der einen oder mehreren Vertiefungen 402 freilegt. Mit anderen Worten kann der CMP-Prozess abwärts zum Träger 102 durchgeführt werden.
  • Anschließend kann, wie schematisch in 4F veranschaulicht, ein Ätzprozess (z. B. ein Nassätzen) durchgeführt werden, der die Festkörperelektrolytschichten und/oder die Kathodenschichten von dem einen oder mehreren Battereschichtstapeln 404a, 404b teilweise entfernt. Dadurch kann eine oder können mehrere Vertiefungen 432, 434 in den Battereschichtstapeln 404a, 404b gebildet werden. Dies kann ein einfacheres und/oder präziseres elektrisches Inkontaktbringen des einen oder der mehreren Batterieschichtstapel 404a, 404b gestatten.
  • Anschließend kann, wie schematisch in 4G veranschaulicht, der eine oder können mehrere Batterieschichtstapel 404a, 404b und wenigstens teilweise der Träger 102 mit einem dielektrischen Material (z. B. mit Siliciumoxid) bedeckt werden, so dass beispielsweise die Vertiefungen 432, 434 in den Batterieschichtstapeln 404a, 404b mit dem dielektrischen Material aufgefüllt werden können. Die dielektrische Schicht 112, die über den einen oder mehrere Batterieschichtstapeln 404a, 404b und wenigstens teilweise über den Träger 102 gebildet wird, kann einen Teil der Kontaktstruktur 106 (der Metallisierung 106), z. B. das Formmaterial für die Kontaktstruktur 106, vorsehen.
  • Anschließend kann, wie schematisch in 4H veranschaulicht, eine Verdrahtung 110 oder eine Verdrahtungsstruktur (die komplette Kontaktstruktur 106) gebildet werden, z. B. durch Strukturieren der dielektrischen Schicht 112 und Bilden der Metallleitungen, Durchgangslöcher und/oder Kontaktstellen 108a, 108b, 108c der Metallisierung 106 (der Kontaktstruktur 106), wie bereits beschrieben.
  • Gemäß verschiedenen Ausführungsformen können die Batterieschichtstapel 404a, 404b in Serie verbunden werden, so dass unterschiedliche Spannungen an den Kontaktstellen 108a, 108b, 108c der Kontaktstruktur 106 vorgesehen werden können, wie bereits beschrieben.
  • In den folgenden 5A bis 5G wird eine Alternative zum elektrischen Inkontaktbringen des einen oder mehrerer Batterieschichtstapel 404a, 404b veranschaulicht, ausgehend vom verarbeiteten Träger 102, wie in 4D veranschaulicht, der in 5A erneut gezeigt ist.
  • Wie schematisch in 5B veranschaulicht, kann zum Strukturieren der Batterieschichtstapel 404a, 404b eine erste strukturierte Hartmaske 440 über die Batterieschichtstapel 404a, 404b gebildet werden, die innerhalb der oder über die Vertiefung 402 gebildet sind. Die erste strukturierte Hartmaske 440 kann durch das Abscheiden einer Hartmaskenmaterialschicht und das Strukturieren der Hartmaskenmaterialschicht beispielsweise unter Verwendung eines lithografischen Strukturierungsprozesses gebildet werden (z. B. Aufbringen eines Resists, Strukturieren des Resists und teilweises Entfernen der Hartmaskenschicht).
  • Anschließend kann, wie schematisch in 5C veranschaulicht, definiert durch die erste strukturierte Hartmaske 440, der zweite Batterieschichtstapel 404b teilweise entfernt werden, z. B. unter Verwendung eines Ätzprozesses (z. B. reaktives Ionenätzen, Trockenätzen oder eine Kombination davon). Ferner können die Hartmaske sowie das zurückbleibende Resist 442 über der ersten strukturierten Hartmaske 440 entfernt werden, z. B. unter Verwendung eines selektiven Ätzprozesses. Gemäß verschiedenen Ausführungsformen kann, nachdem der zweite Batterieschichtstapel 404b teilweise entfernt wurde, die Stromkollektorschicht 114b freigelegt werden. Die freiliegende Stromkollektorschicht 114b kann als zweiter Anschluss des ersten Batterieschichtstapels 404a und als erster Anschluss des zweiten Batterieschichtstapels 404b dienen, ähnlich wie bereits mit Bezugnahme auf 1A bis 1F beschrieben.
  • Anschließend kann, wie schematisch in 50 veranschaulicht, eine zweite strukturierte Hartmaske 450 über den teilweise entfernten zweiten Batterieschichtstapel 404b zum Strukturieren des ersten Batterieschichtstapels 404a gebildet werden. Die zweite strukturierte Hartmaske 450 kann durch das Abscheiden einer Hartmaskenmaterialschicht (z. B. Siliciumnitrid) und Strukturieren der Hartmaskenmaterialschicht beispielsweise unter Verwendung eines lithografischen Strukturierungsprozesses gebildet werden (z. B. Aufbringen eines Resists, Strukturieren des Resists und teilweises Entfernen der Hartmaskenschicht unter Verwendung eines Ätzprozesses).
  • Anschließend kann, wie schematisch in 5E veranschaulicht, definiert durch die zweite strukturierte Hartmaske 450, der erste Batterieschichtstapel 404a teilweise entfernt werden, z. B. unter Verwendung eines Ätzprozesses (z. B. reaktives Ionenätzen, Trockenätzen oder eine Kombination davon). Ferner können die zweite strukturierte Hartmaske 450 sowie das zurückbleibende Resist 442 über der zweiten strukturierten Hartmaske 450 entfernt werden, z. B. unter Verwendung eines selektiven Ätzprozesses. Gemäß verschiedenen Ausführungsformen kann, nachdem der erste Batterieschichtstapel 404a teilweise entfernt wurde, die Stromkollektorschicht 114a freigelegt werden. Die freiliegende Stromkollektorschicht 114a kann als erster Anschluss des ersten Batterieschichtstapels 404a dienen, wie bereits beschrieben.
  • Zur Veranschaulichung kann das Strukturieren des ersten Batterieschichtstapels 404a und das Strukturieren des zweiten Batterieschichtstapels 404b in einer solchen Weise vorgenommen werden, dass die jeweiligen Anschlüsse 114a, 114b, 116a, 116b der Batterieschichtstapel 404a, 404b freigelegt werden können. Diesbezüglich können die freiliegenden Stromkollektorschichten der Batterieschichtstapel 404a, 404b als Anschluss für die Dünnfilmbatterien dienen, ähnlich wie bereits mit Bezugnahme auf 1A bis 1F beschrieben. Da ein Stromkollektor des ersten Batterieschichtstapels 404a mit einem Stromkollektor des zweiten Batterieschichtstapels 404b elektrisch verbunden werden kann (wegen des direkten Kontakts), können die Anschlüsse 114a, 116a daher elektrisch miteinander verbunden werden, was bedeutet, dass die Batterieschichtstapel 404a, 404b miteinander in Serie verbunden werden können (siehe 1D).
  • Gegebenenfalls kann, wie schematisch in 5F veranschaulicht, das Material 430, das in die zurückbleibende Vertiefung der Batterieschichtstapel 404a, 404b gefüllt wird, teilweise entfernt werden, um die zweite Stromkollektorschicht 116b (den zweiten Anschluss) des zweiten Batterieschichtstapels 404b freizulegen. Dies kann beispielsweise notwendig sein, falls das Material 430 elektrisch isolierend oder halbleitend ist. Anschließend kann, wie schematisch in 5G veranschaulicht, eine Verdrahtung 110 oder eine Verdrahtungsstruktur (die Kontaktstruktur 106) gebildet werden, z. B. durch Strukturieren einer vorher abgeschiedenen dielektrischen Schicht 112 und Bilden der Metallleitungen, Durchgangslöcher und/oder Kontaktstellen 108a, 108b, 108c der Metallisierung 106 (der Kontaktstruktur 106), wie bereits beschrieben.
  • Gemäß verschiedenen Ausführungsformen können die Batterieschichtstapel 404a, 404b in Serie verbunden werden, so dass unterschiedliche Spannungen an den Kontaktstellen 108a, 108b, 108c der Kontaktstruktur 106 vorgesehen werden können, wie bereits beschrieben.
  • Gemäß verschiedenen Ausführungsformen kann das teilweise Freilegen der Stromkollektorschichten der Batterieschichtstapel 404a, 404b das Inkontaktbringen der Batterieschichtstapel 404a, 404b vereinfachen, da der Anschlussflächenbereich erhöht werden kann.
  • Analog zur vorhergehenden Beschreibung kann das gleiche Prinzip (z. B. in einer ähnlichen Ausbildung unter Verwendung ähnlicher Prozesse) für die Bildung eines oder mehrerer planarer (zweidimensionaler) Batterieschichtstapel 404a, 404b angepasst werden, wie in 6A und 6B gezeigt.
  • Wie in 6A veranschaulicht, können gemäß verschiedenen Ausführungsform die planaren Batterieschichtstapel 404a, 404b in Serie verbunden werden, wie bereits beschrieben. Ferner können, wie in 6B veranschaulicht, gemäß verschiedenen Ausführungsformen die planaren Batterieschichtstapel 404a, 404b lateral nebeneinander angeordnet werden, wobei die planaren Batterieschichtstapel 404a, 404b einzeln mit den Kontakten 108 der Kontaktstruktur 106 verbunden werden können, wie bereits beschrieben. Im Allgemeinen können Wafer-Kosten ein wichtiger Teil der Gesamtkosten bei der Erzeugung einer Batterie auf einem Chip sein. Daher sollte der Raum auf einem Wafer effizient genutzt werden. Gemäß verschiedenen Ausführungsformen kann die Kapazität von über einen Wafer gebildeten Dünnfilmbatterien verstärkt werden, falls mehr als eine einzelne Batterieschicht über den Wafer gebildet werden kann. Das Stapeln beispielsweise vieler einzelner Batteriechips, einer über dem anderen, kann zu einer höheren Kapazität führen; die Leistungsdichte dieser Anordnung kann jedoch begrenzt sein, da die Wafer nur auf Werte von etwa 100 μm poliert werden können, um ihre mechanische Stabilität während des Handhabungsprozesses beim Einhausen sicherzustellen.
  • Gemäß verschiedenen Ausführungsformen kann die Batteriestruktur 100 oder die elektronische Struktur 100 eine Mehrzahl von übereinander gestapelten Dünnfilmbatterien 104 in unterschiedlichen Ebenen umfassen. Zur Veranschaulichung kann eine erste Dünnfilmbatterieanordnung über den Träger 102 gebildet werden, wobei danach ein Isoliermaterial über die erste Dünnfilmbatterieanordnung abgeschieden werden kann, und wobei eine zweite Dünnfilmbatterieanordnung über die erste Dünnfilmbatterieanordnung, getrennt von der ersten Dünnfilmbatterieanordnung durch das Isoliermaterial, gebildet werden kann. Eine Dünnfilmbatterieanordnung kann eine Mehrzahl von Dünnfilmbatterien umfassen, wie vorstehend beschrieben, z. B. eine dreidimensionale oder eine zweidimensionale Batterie, mit satzweisen Schichten oder als einzelne Schichtstruktur.
  • Im Folgenden kann eine Batteriestruktur 100 und/oder eine elektronische Struktur 100 vorgesehen werden, wobei die Wafer-Kosten pro gespeicherter Energieeinheit optimiert werden können, z. B. können die Wafer-Kosten pro gespeicherter Energieeinheit durch die Anzahl gestapelter Dünnfilmbatterieanordnungen reduziert werden. Die Leistungsdichte einer Batteriestruktur 100, die gestapelte Dünnfilmbatterieanordnungen umfasst, kann durch die Anzahl von einer über der anderen gebildeten Dünnfilmbatterieanordnungen im Wesentlichen linear erhöht werden.
  • Ferner können die Chip-Kosten pro gespeicherter elektrischer Kapazität reduziert werden, da die Kosten der Wafer-zu-Chip-Trennung (des Sägens oder Schneidens) nur einmal anfallen können. Ferner können eine CMOS-Logik- oder MEMS-Strukturen auf demselben Wafer integriert werden, da die Batteriestruktur z. B. in einer Halbleitertechnologie verarbeitet werden kann.
  • Wie schematisch in 7A und 7B veranschaulicht, ausgehend von der in 4H gezeigten Batteriestruktur 100, kann ein zweites Formmaterial 702 (z. B. eine zweite dielektrische Materialschicht) über eine erste Kontaktstruktur 106 einer ersten Dünnfilmbatterieanordnung 704a gebildet werden. Analog kann die in 5G, 6A oder 6B gezeigte Batteriestruktur 100 als Ausgangsstruktur verwendet werden.
  • In einer ähnlichen Weise wie mit Bezugnahme auf 4B bis 4G beschrieben, kann eine zweite Dünnfilmbatterieanordnung 704b im zweiten Formmaterial 702 über die erste Dünnfilmbatterieanordnung 704a gebildet werden, wie schematisch in 7C veranschaulicht.
  • Anschließend kann, wie schematisch in 7D veranschaulicht, die zweite Kontaktstruktur 106 der zweiten Dünnfilmbatterieanordnung 704b so gebildet werden, dass die Dünnfilmbatterien (z. B. die Batterieschichtstapel 404a, 404b) der ersten Dünnfilmbatterieanordnung 704a mit den Kontakten der ersten Kontaktstruktur 106, die auf der oberen Fläche der Batteriestruktur 100 freiliegt, elektrisch leitfähig verbunden werden.
  • Zur Veranschaulichung kann die zweite Kontaktstruktur 106 ausgelegt sein, die Batterieschichtstapel 404a, 404b der ersten Dünnfilmbatterieanordnung 704a und die Batterieschichtstapel 404a, 404b der zweiten Dünnfilmbatterieanordnung 704b elektrisch zu verbinden.
  • Wie schematisch in 8A und 8B veranschaulicht, ausgehend von der in 6A und 6B gezeigten Batteriestruktur 100, können eine erste Dünnfilmbatterieanordnung 704a, die eine Mehrzahl von planaren Dünnfilmbatterie-Schichtstapeln 404a, 404b umfasst, und eine zweite Dünnfilmbatterieanordnung 704b, die eine Mehrzahl von planaren Dünnfilmbatterie-Schichtstapeln 404a, 404b umfasst, übereinander gestapelt werden, wie mit Bezugnahme auf 7A bis 7D oder 1A bis 1F beschrieben.
  • Wie hier beschrieben, kann die Anzahl einzelner Batteriezellen, die in den Träger 102 monolithisch integriert sind (eine einzelne Batteriezelle kann eine Kathodenschicht und eine Anodenschicht umfassen, die durch eine Festkörperelektrolytschicht getrennt sind), in drei unabhängigen Dimensionen erhöht werden: erstens kann eine erste Anzahl (m) einzelner Batteriezellen übereinander als Mehrzahl von Batterieschichtstapeln gebildet werden, die in direktem Kontakt miteinander stehen, zweitens kann eine zweite Anzahl (n) einzelner Batteriezellen lateral nebeneinander gebildet werden, wobei die einzelnen Batteriezellen (z. B. die Ladungsspeicherbatterieschichten) nicht in direktem Kontakt miteinander stehen können, und wobei die einzelnen Batteriezellen über die Kontaktstruktur verbunden sein können, und drittens können die einzelnen Batteriezellen übereinander gestapelt werden, getrennt durch ein dielektrisches Material, wobei die einzelnen Batteriezellen (z. B. die Ladungsspeicherbatterieschichten) nicht in direktem Kontakt miteinander stehen können, und wobei die einzelnen Batteriezellen über die Kontaktstruktur verbunden sein können. Ferner können einzelne Batteriezellen eine dreidimensionale Struktur aufweisen (wobei sie z. B. in einer Vertiefung oder in einem Hohlraum gebildet sind), oder die einzelnen Batteriezellen können eine zweidimensionale Struktur aufweisen (wobei sie z. B. über eine planare Fläche gebildet sind).
  • Gemäß verschiedenen Ausführungsformen zeigt 9 eine weitere Modifikation einer elektronischen Struktur 100 oder einer Batteriestruktur 100, welche eine integrierte Schaltungsstruktur 118 umfasst, die über dem Träger 102 angeordnet ist, wobei die Mehrzahl von Dünnfilmbatterien 104 über der integrierten Schaltungsstruktur 118 angeordnet sein kann. Die Mehrzahl von Dünnfilmbatterien 104 kann mit der integrierten Schaltungsstruktur 118 über die Kontaktstruktur 106 elektrisch verbunden sein, wobei die Kontaktstruktur 106 beispielsweise zwischen der Mehrzahl von Dünnfilmbatterien 104 und der integrierte Schaltungsstruktur 118 angeordnet sein kann. Die Kontaktstruktur 106, die Dünnfilmbatterien 104, der Träger 102 und die integrierte Schaltungsstruktur 118 können wie bereits vorstehend beschrieben oder ähnlich wie hier beschrieben ausgelegt sein. Gemäß verschiedenen Ausführungsformen kann die Batteriestruktur 100 so ausgelegt sein, dass die Mehrzahl von Dünnfilmbatterien 104 parallel über die elektrischen Kontakte 108a, 108b (oder über eine Mehrzahl elektrischer Kontakte 108) geladen werden kann.
  • Gemäß verschiedenen Ausführungsformen kann hier eine schnell ladende Batteriestruktur 100 vorgesehen werden, wie vorstehend beschrieben. Im Allgemeinen kann die Zeit von Interesse sein, die zum Wiederaufladen einer Batterie erforderlich ist, z. B. während des Wiederaufladen eines Elektrofahrzeugs (EV). In diesem Fall kann es erwünscht sein, dass die Wiederaufladezeit für die Batterie auf Minuten reduziert wird, z. B. die gleiche Zeit, die für ein Auftanken eines benzinbetriebenen Autos erforderlich ist.
  • Die Ladezeit wiederaufladbarer Batterien kann unter anderem von der Ladungsspeicherkapazität der Batterie abhängig sein, wobei die Ladungsspeicherkapazität der Batterie hoch sein sollte. Daher kann die Ladezeit durch das parallele Laden einer Mehrzahl kleinerer Basiszellen reduziert werden, die mit einer Batteriestruktur 100 verbunden sind, wie hier beschrieben. Diesbezüglich kann theoretisch die gleiche Zeit, die für ein Wiederaufladen der kleinen Basiszelle notwendig ist, für die gesamte Batteriestruktur 100 erzielt werden, z. B. durch das parallele Laden der kleinen Basiszellen. In üblicherweise verwendeten Batterietechnologien kann eine Verringerung der Größe der Basiszellen, während die Gesamtbatterieblockkapazität konstant gehalten wird, zu inakzeptablen Verdrahtungs- und Batterieverwaltungsanstrengungen führen.
  • Gemäß verschiedenen Ausführungsformen kann hier eine Batteriestruktur 100 vorgesehen werden, die beides vorsehen kann, sehr kleine Batteriebasiszellen und eine in-situ parallele und/oder serielle Verdrahtung der Basiszellen innerhalb der Batterie selbst. Ferner können Logikfunktionen, wie ein Batterieverwaltungssystem (BMS), in die Batteriestruktur 100 integriert werden, um weitere Funktionen zu ermöglichen.
  • Wie hier beschrieben, kann gemäß verschiedenen Ausführungsformen das Kombinieren der CMOS-Technologie mit der Batterietechnologie (Festkörperbatterietechnologie) eine Verringerung der Batteriebasiszellengröße auf den μm-Maßstab oder sogar auf den nm-Maßstab gestatten. Um die erforderliche Ladungsspeicherkapazität zu realisieren, können die Basiszellen mit Standard-CMOS-Verdrahtungstechniken miteinander verbunden werden. Dadurch können sowohl parallele als auch serielle Verbindungen oder Kombinationen davon realisiert werden. Ferner kann eine zeitgenaue Änderung der parallelen und/oder seriellen Verbindungen über die integrierte Schaltungsstruktur 118 realisiert werden, welche die Verbindungen der Basiszellen steuern kann.
  • Im Fall paralleler Verbindungen kann die Zeit verringert werden, die zum Laden der Batteriestruktur 100 erforderlich ist. Dadurch kann die für die Basiszellen erforderliche Ladespannung klein bleiben, in Abhängigkeit davon, wie viele Basiszellen in Serie verbunden werden können (z. B. die erste Anzahl (m)). Da jedoch der Ladestrom steigen kann, wird die Ladezeit nur durch Leistungsverteilungsanforderungen und somit durch Kühlungseinschränkungen und durch Verdrahtungsdurchmessereinschränkungen begrenzt. Daher kann gemäß verschiedenen Ausführungsformen der Verdrahtungsdurchmesser der Verdrahtung der Kontaktstruktur 106 an den gewünschten. elektrischen Strom angepasst werden, der zu transportieren ist. Natürlich kann ein Verdrahtungsmaterial verwendet werden, das die kleinste Energieverteilung (oder Wärmeerzeugung) vorsieht, z. B. Aluminium, eine Aluminium-Legierung, eine Aluminium/Kupfer-Legierung, Leistungskupfer, Kupfer, Wolfram, Silber, Gold und dgl.
  • Gemäß verschiedenen Ausführungsformen kann das Verbinden der Mehrzahl von Dünnfilmbatterien der Batteriestruktur 100 miteinander in Serie das Vorsehen von Spannungen von bis zu etwa 1000 V oder sogar höher gestatten.
  • Gemäß verschiedenen Ausführungsformen kann das parallele Verbinden der Mehrzahl von Dünnfilmbatterien der Batteriestruktur 100 miteinander das Vorsehen von Stromflüssen bis etwa 1 A oder sogar höher, z. B. bis zu 10 A, gestatten. Gemäß verschiedenen Ausführungsformen kann der Träger 102 ein Silicium-Wafer beispielsweise mit einer lateralen Ausdehnung (einem Durchmesser) von bis etwa 300 mm oder sogar mehr als 300 mm sein.
  • Gemäß verschiedenen Ausführungsformen kann die integrierte Schaltungsstruktur 118 (z. B. der Logik-CMOS) wenigstens eines des Folgenden ermöglichen: ein integriertes Batterieverwaltungssystem, ein Energiewandler, um die Ausgangsspannung aufwärts oder abwärts zu schalten; eine programmierbare Eingangs/Ausgangsspannung, ein programmierbarer Eingangs/Ausgangsstrom, ein programmierbarer Lademodus, ein Überentladungsschutz, ein Überstromschutz, eine Zellenausgleichsfunktion, eine Schwachzellenerkennung, eine Schwachzellenbehandlung und dgl.
  • Gemäß verschiedenen Ausführungsformen kann die hier beschriebene elektronische Struktur 100 und/oder Batteriestruktur 100 ein schnelles Laden durch die parallele und/oder serielle Verbindung kleiner Basiszellen 104a, 104b, 104c ermöglichen. Ein paralleles Laden kann Probleme umgehen, die aufgrund schwacher Zellen auftreten. Die Batteriestruktur 100 kann in eine beliebige Halbleitertechnologie integriert werden. Die Batteriestruktur 100 kann „intelligente Batteriefunktionen” umfassen, da die Dünnfilmbatteriezellen von der integrierten Schaltungsstruktur 118 gesteuert werden können. Gemäß verschiedenen Ausführungsformen können Halbleiterstrukturierungstechniken ein einfaches und kosteneffizientes Strukturieren sehr kleiner Basiszellen gestatten. Die Kapazität dieser kleinen Basiszellen kann durch das Verringern der Größe der Basiszellen reduziert werden (z. B. kann eine Basiszelle eine Kapazität von 1 μAh oder weniger haben, ohne die Ladungspeicherdichte pro erforderlichem Volumen zu reduzieren). Gemäß verschiedenen Ausführungsformen können die kleinen Basiszellen wie gewünscht mit größeren Batterieblöcken durch eine Verdrahtung kombiniert werden, um Lasten Energie zuzuführen, wie erforderlich.
  • Gemäß verschiedenen Ausführungsformen kann die Batteriestruktur 100 oder die elektronische Struktur 100 in vielen unterschiedlichen Anwendungen verwendet werden, die wiederaufladbare Batterien erfordern können, Unterhaltungselektronik, Mobiltelefone, EV, Solarenergieakkumulator, aktive RFID-Chips, Chipkarten oder SmartCards und dgl. Ferner kann die Batteriestruktur 100 oder die elektronische Struktur 100 beispielsweise für wenigstens eines des Folgenden verwendet werden: Energiegewinnung, medizinische Vorrichtungen (z. B. Herzschrittmacher), autonome Systeme (z. B. drahtlose Sensoren) und dgl.
  • Gemäß verschiedenen Ausführungsformen kann eine elektronische Struktur 100 (analog eine Batteriestruktur 100) umfassen: einen Halbleiterträger 102, und eine Mehrzahl von Dünnfilmbatterien 104, die mit (in) dem Halbleiterträger 102 monolithisch integriert sind. Gemäß verschiedenen Ausführungsformen kann die elektronische Struktur 100 (analog die Batteriestruktur 100) ferner umfassen: einen Kontaktstruktur 106, die ausgelegt ist, die Mehrzahl von Dünnfilmbatterien 104 elektrisch miteinander zu verbinden; wobei wenigstens zwei Dünnfilmbatterien 104a, 104b der Mehrzahl von Dünnfilmbatterien 104 miteinander in Serie oder parallel elektrisch gekoppelt sein können.
  • Gemäß verschiedenen Ausführungsformen kann die Kontaktstruktur 106 ausgelegt sein, die Mehrzahl von Dünnfilmbatterien 104 elektrisch miteinander zu verbinden und die Mehrzahl von Dünnfilmbatterien 104 mit einer Mehrzahl von Kontaktstellen 108 elektrisch zu verbinden.
  • Gemäß verschiedenen Ausführungsformen kann jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien 104 einen Batterieschichtstapel 204 umfassen, der Batterieschichtstapel 204 kann wenigstens eine Festkörperelektrolytschicht umfassen.
  • Gemäß verschiedenen Ausführungsformen können die Elektrolytschichten der Mehrzahl von Dünnfilmbatterien 104 räumlich voneinander getrennt sein.
  • Gemäß verschiedenen Ausführungsformen kann der Batterieschichtstapel 204 ferner wenigstens eine Anodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, und wenigstens eine Kathodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, umfassen.
  • Gemäß verschiedenen Ausführungsformen kann der Batterieschichtstapel 204 ferner wenigstens eine Anodenstrom-Kollektorschicht, die an die wenigstens eine Anodenschicht angrenzt, und wenigstens eine Kathodenstrom-Kollektorschicht, die an die wenigstens eine Kathodenschicht angrenzt, umfassen.
  • Gemäß verschiedenen Ausführungsformen kann der Träger 102 einen Halbleiter-Wafer umfassen. Gemäß verschiedenen Ausführungsformen kann die Batteriestruktur 100 auf Wafer-Ebene ausgebildet sein.
  • Gemäß verschiedenen Ausführungsformen kann der Träger einen Halbleiterchip umfassen. Gemäß verschiedenen Ausführungsformen kann die Batteriestruktur 100 auf Chip-Ebene ausgebildet sein.
  • Gemäß verschiedenen Ausführungsformen kann die Kontaktstruktur 106 eine Metallisierungsstruktur umfassen. Gemäß verschiedenen Ausführungsformen kann die Kontaktstruktur 106 in der Front-End-of-Line-(FEOL-)Technologie, in der Back-End-of-Line-(BEOL-)Technologie und/oder in der Middle-of-Line-(MOL-)Technologie verarbeitet werden.
  • Gemäß verschiedenen Ausführungsformen kann die elektronische Struktur 100 (analog die Batteriestruktur 100) ferner eine integrierte Schaltungsstruktur 118 umfassen, die wenigstens eines von über und in dem Träger 102 angeordnet ist, wobei die integrierte Schaltungsstruktur 118 mit der Mehrzahl von Dünnfilmbatterien 104 elektrisch leitfähig gekoppelt ist.
  • Gemäß verschiedenen Ausführungsformen kann die integrierte Schaltungsstruktur 118 ausgelegt sein, die Mehrzahl von Dünnfilmbatterien 104 selektiv miteinander zu koppeln und/oder die Mehrzahl von Dünnfilmbatterien 104 selektiv voneinander zu entkoppeln. Gemäß verschiedenen Ausführungsformen kann die integrierte Schaltungsstruktur 118 ausgelegt sein, die Anzahl von Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 zu steuern, die parallel miteinander elektrisch gekoppelt sind, wodurch die Stromcharakteristiken und/oder die Wiederaufladecharakteristiken der Batteriestruktur gesteuert werden.
  • Gemäß verschiedenen Ausführungsformen kann die integrierte Schaltungsstruktur als Logikschaltung, z. B. eine CMOS-Logik, ausgelegt sein.
  • Gemäß verschiedenen Ausführungsformen kann die Kontaktstruktur 106 eine Mehrzahl von Kontakten 108 und eine Verdrahtungsstruktur 110 umfassen, die Verdrahtungsstruktur 110 kann in einer dielektrischen Struktur 112 eingebettet sein.
  • Gemäß verschiedenen Ausführungsformen kann die Mehrzahl von Kontakten 108 der Kontaktstruktur 106 wenigstens ein erstes Paar von Kontakten und ein zweites Paar von Kontakten umfassen, wobei die Verdrahtungsstruktur 110 der Kontaktstruktur 106 (und/oder die integrierte Schaltungsstruktur 118) ausgelegt sein kann, eine erste Spannung zwischen dem ersten Paar von Kontakten und eine zweite Spannung zwischen dem zweiten Paar von Kontakten vorzusehen, welche erste Spannung von der zweiten Spannung verschieden ist.
  • Gemäß verschiedenen Ausführungsformen kann die Mehrzahl von Kontakten 108 wenigstens ein drittes Paar von Kontakten und ein viertes Paar von Kontakten umfassen, wobei die Verdrahtungsstruktur 110 (und/oder die integrierte Schaltungsstruktur 118) ausgelegt sein kann, eine erste Stromflusscharakteristik zwischen dem dritten Paar von Kontakten und eine zweite Stromflusscharakteristik zwischen dem vierten Paar von Kontakten vorzusehen, welche erste Stromflusscharakteristik von der zweiten Stromflusscharakteristik verschieden ist.
  • Gemäß verschiedenen Ausfüzhrungsformen kann eine Batteriestruktur 100 (analog eine elektronische Struktur 100) umfassen: eine Mehrzahl von Dünnfilmbatterien 104, die mit (in) einem Halbleiterträger monolithisch integriert sind, wobei jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien 104 (z. B. in einem Batterieschichtstapel 204) wenigstens eine Festkörperelektrolytschicht, wenigstens eine Anodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, und wenigstens eine Kathodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, umfassen kann, eine Kontaktstruktur, welche die Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien elektrisch verbindet, wobei wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien mit den Kontakten und/oder miteinander elektrisch gekoppelt sein können. Gemäß verschiedenen Ausführungsformen können die wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in Serie elektrisch gekoppelt sein. Gemäß verschiedenen Ausführungsformen können die wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien miteinander parallel elektrisch gekoppelt sein.
  • Gemäß verschiedenen Ausführungsformen kann der Batterieschichtstapel 204 wenigstens eine Anodenstrom-Kollektorschicht, die an die wenigstens eine Anodenschicht angrenzt, und wenigstens eine Kathodenstrom-Kollektorschicht, die an die wenigstens eine Kathodenschicht angrenzt, umfassen.
  • Gemäß verschiedenen Ausführungsformen kann die Batteriestruktur ferner umfassen: wenigstens eine Anodenstrom-Kollektorschicht, die an die wenigstens eine Anodenschicht angrenzt, und wenigstens eine Kathodenstrom-Kollektorschicht, die an die wenigstens eine Kathodenschicht angrenzt.
  • Gemäß verschiedenen Ausführungsformen kann die Batteriestruktur ferner umfassen: eine integrierte Schaltungsstruktur 118 (z. B. eine elektronische Schaltung), die mit der Mehrzahl von Dünnfilmbatterien 104 und mit der Kontaktstruktur 106 elektrisch gekoppelt ist, wobei die integrierte Schaltungsstruktur 118 ausgelegt sein kann, eine oder mehrere Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 miteinander selektiv zu koppeln und/oder eine oder mehrere Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien 104 voneinander selektiv zu entkoppeln.
  • Gemäß verschiedenen Ausführungsformen kann die integrierte Schaltungsstruktur 118 ausgelegt sein, wenigstens eine von der Stromcharakteristik und der Spannungscharakteristik der Batteriestruktur 100 zu steuern, indem sie die Verdrahtungsauslegung der Verdrahtungsstruktur 110 steuert, welche die Mehrzahl von Dünnfilmbatterien 104 verbindet.
  • Gemäß verschiedenen Ausführungsformen kann die Batteriestruktur ferner umfassen: eine Verdrahtungsstruktur 110, die in der Kontaktstruktur geschaltet wird, wobei die Verdrahtungsstruktur ein oder mehrere Schaltelemente umfassen kann, wobei jedes des einen oder der mehreren Schaltelemente ausgelegt ist, von der integrierte Schaltungsstruktur gesteuert zu werden, wobei wenigstens ein Schaltelement des einen oder der mehreren Schaltelemente ausgelegt sein kann, wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in einem ersten Betriebszustand gegeneinander zu isolieren und die wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in einem zweiten Betriebszustand miteinander elektrisch leitfähig zu verbinden. Gemäß verschiedenen Ausführungsformen kann ein Verfahren zur Herstellung einer elektronischen Struktur 100 (analog einer Batteriestruktur 100) umfassen: Vorsehen eines Halbleiterträgers 102, und Bilden einer Batterieanordnung wenigstens eines von über und in dem Halbleiterträger, so dass die Batterieanordnung mit (in) dem Halbleiterträger monolithisch integriert sein kann.
  • Gemäß verschiedenen Ausführungsformen kann ein Verfahren zur Herstellung einer elektronischen Struktur 100 (analog einer Batteriestruktur 100) umfassen: Vorsehen eines Halbleiterträgers 102, und Bilden einer Mehrzahl von Dünnfilmbatterien, die mit dem Halbleiterträger monolithisch integriert sind.
  • Gemäß verschiedenen Ausführungsformen kann das Bilden einer Batterieanordnung das Bilden einer Mehrzahl von Dünnfilmbatterie-Schichtstapeln umfassen.
  • Gemäß verschiedenen Ausführungsformen kann eine Mehrzahl von Dünnfilmbatterien 104, die lateral nebeneinander angeordnet sind, als Batterieanordnung bezeichnet werden. Ferner kann gemäß verschiedenen Ausführungsform die Batteriestruktur 100 eine Mehrzahl von Batterieanordnungen umfassen, die übereinander angeordnet sind, z. B. übereinander gestapelt. Mit anderen Worten kann die Batteriestruktur 100 oder die elektronische Struktur 100 eine Mehrzahl von Batterieanordnungen umfassen, die im Träger 102 monolithisch integriert sind.
  • Gemäß verschiedenen Ausführungsformen kann das Verfahren zur Herstellung einer elektronischen Struktur 100 ferner umfassen: Bilden einer Kontaktstruktur 106, welche die Mehrzahl von Dünnfilmbatterien 104 miteinander elektrisch verbindet; wobei wenigstens zwei Dünnfilmbatterien der Mehrzahl von Dünnfilmbatterien in Serie und/oder parallel elektrisch gekoppelt werden können.
  • Obwohl die Erfindung mit Bezugnahme auf spezifische Ausführungsformen besonders gezeigt und beschrieben wurde, ist es für Fachleute klar, dass verschiedene Änderungen in Form und Detail daran vorgenommen werden können, ohne vom Grundgedanken und Umfang der Erfindung, wie durch die beigeschlossenen Ansprüche definiert, abzuweichen. Der Umfang der Erfindung wird so durch die beigeschlossenen Ansprüche angezeigt, und alle Änderungen, die in die Bedeutung und den Äquivalenzbereich der Ansprüche fallen, sollen daher umfasst sein.

Claims (16)

  1. Elektronische Struktur, aufweisend: einen Halbleiterträger (102), und eine Mehrzahl von Dünnfilmbatterien (104), die mit dem Halbleiterträger (102) monolithisch integriert sind.
  2. Elektronische Struktur nach Anspruch 1, ferner aufweisend: eine Kontaktstruktur (106), die ausgelegt ist, die Mehrzahl von Dünnfilmbatterien (104) miteinander elektrisch zu verbinden; wobei wenigstens zwei Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) in Serie oder parallel elektrisch gekoppelt sind.
  3. Elektronische Struktur nach Anspruch 1 oder 2, wobei jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien (104) einen Batterieschichtstapel aufweist, wobei der Batterieschichtstapel wenigstens eine Festkörperelektrolytschicht aufweist.
  4. Elektronische Struktur nach Anspruch 3, wobei der Batterieschichtstapel ferner wenigstens eine Anodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, und wenigstens eine Kathodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, aufweist; vorzugsweise der Batterieschichtstapel ferner wenigstens eine Anodenstrom-Kollektorschicht, die an die wenigstens eine Anodenschicht angrenzt, und wenigstens eine Kathodenstrom-Kollektorschicht, die an die wenigstens eine Kathodenschicht angrenzt, aufweist.
  5. Elektronische Struktur nach einem der Ansprüche 1 bis 4, wobei der Träger (102) einen Halbleiter-Wafer aufweist.
  6. Elektronische Struktur nach einem der Ansprüche 1 bis 5, wobei der Träger (102) einen Halbleiterchip aufweist.
  7. Elektronische Struktur nach einem der Ansprüche 2 bis 6, wobei die Kontaktstruktur (106) eine Metallisierungsstruktur aufweist.
  8. Elektronische Struktur nach einem der Ansprüche 1 bis 7, ferner aufweisend: eine integrierte Schaltungsstruktur, die wenigstens eines von über und in dem Träger (102) angeordnet ist, wobei die integrierte Schaltungsstruktur mit der Mehrzahl von Dünnfilmbatterien (104) elektrisch leitfähig gekoppelt ist; wobei vorzugsweise die integrierte Schaltungsstruktur ausgelegt ist, die Mehrzahl von Dünnfilmbatterien (104) selektiv miteinander zu koppeln und/oder die Mehrzahl von Dünnfilmbatterien (104) selektiv voneinander zu entkoppeln; und/oder wobei vorzugsweise die integrierte Schaltungsstruktur als Logikschaltung ausgelegt ist.
  9. Batteriestruktur, aufweisend: eine Mehrzahl von Dünnfilmbatterien (104), die mit einem Halbleiterträger (102) monolithisch integriert sind, wobei jede Dünnfilmbatterie der Mehrzahl von Dünnfilmbatterien (104) wenigstens eine Festkörperelektrolytschicht, wenigstens eine Anodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, und wenigstens eine Kathodenschicht, die an die wenigstens eine Festkörperelektrolytschicht angrenzt, aufweist, eine Kontaktstruktur (106), welche die Mehrzahl von Dünnfilmbatterien (104) elektrisch verbindet, wobei wenigstens zwei Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) mit den Kontakten und miteinander elektrisch gekoppelt sind.
  10. Batteriestruktur nach Anspruch 9, wobei die wenigstens zwei Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) in Serie miteinander elektrisch gekoppelt sind.
  11. Batteriestruktur nach Anspruch 9 oder 10, wobei die wenigstens zwei Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) parallel miteinander elektrisch gekoppelt sind.
  12. Batteriestruktur nach einem der Ansprüche 9 bis 11, ferner aufweisend: wenigstens eine Anodenstrom-Kollektorschicht, die an die wenigstens eine Anodenschicht angrenzt, und wenigstens eine Kathodenstrom-Kollektorschicht, die an die wenigstens eine Kathodenschicht angrenzt.
  13. Batteriestruktur nach einem der Ansprüche 9 bis 12, ferner aufweisend: eine integrierte Schaltungsstruktur, die mit der Mehrzahl von Dünnfilmbatterien (104) und mit der Kontaktstruktur (106) elektrisch gekoppelt ist, wobei die integrierte Schaltungsstruktur ausgelegt ist, eine oder mehrere Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) miteinander selektiv zu koppeln und/oder eine oder mehrere Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) voneinander selektiv zu entkoppeln; wobei vorzugsweise die Batteriestruktur ferner aufweist eine Verdrahtungsstruktur, die in der Kontaktstruktur (106) geschaltet wird, wobei die Verdrahtungsstruktur ein oder mehrere Schaltelemente aufweist, wobei jedes der einen oder der mehreren Schaltelemente ausgelegt ist, von der integrierten Schaltungsstruktur gesteuert zu werden, wobei wenigstens ein Schaltelement des einen oder der mehreren Schaltelemente ausgelegt ist, wenigstens zwei Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) in einem ersten Betriebszustand voneinander zu isolieren und die wenigstens zwei Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) in einem zweiten Betriebszustand miteinander elektrisch leitfähig zu verbinden.
  14. Verfahren zur Herstellung einer elektronischen Struktur, wobei das Verfahren aufweist: Bereitstellen eines Halbleiterträgers (102), und Bilden einer Mehrzahl von Dünnfilmbatterien (104), die mit dem Halbleiterträger (102) monolithisch integriert sind.
  15. Verfahren nach Anspruch 14, wobei das Bilden der Mehrzahl von Dünnfilmbatterien (104) das Bilden einer Mehrzahl von Dünnfilmbatterie-Schichtstapeln aufweist.
  16. Verfahren nach Anspruch 14 oder 15, ferner aufweisend: Bilden einer Kontaktstruktur (106), welche die Mehrzahl von Dünnfilmbatterien (104) miteinander elektrisch verbindet; wobei wenigstens zwei Dünnfilmbatterien (104) der Mehrzahl von Dünnfilmbatterien (104) in Serie oder parallel elektrisch gekoppelt werden.
DE201410113890 2013-09-26 2014-09-25 Elektronische Struktur, Batteriestruktur und Verfahren zur Herstellung einer elektronischen Struktur Withdrawn DE102014113890A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/037,429 2013-09-26
US14/037,429 US9847326B2 (en) 2013-09-26 2013-09-26 Electronic structure, a battery structure, and a method for manufacturing an electronic structure

Publications (1)

Publication Number Publication Date
DE102014113890A1 true DE102014113890A1 (de) 2015-03-26

Family

ID=52623776

Family Applications (1)

Application Number Title Priority Date Filing Date
DE201410113890 Withdrawn DE102014113890A1 (de) 2013-09-26 2014-09-25 Elektronische Struktur, Batteriestruktur und Verfahren zur Herstellung einer elektronischen Struktur

Country Status (3)

Country Link
US (1) US9847326B2 (de)
CN (1) CN104517973B (de)
DE (1) DE102014113890A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017204622A1 (de) * 2017-03-20 2018-09-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum Herstellen eines Hybridkondensators und Hybridkondensator
DE112018000278B4 (de) 2017-03-30 2023-08-03 International Business Machines Corporation Anodenstruktur für eine Festkörper-Dünnschichtbatterie auf Grundlage von Lithium

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3009136B1 (fr) * 2013-07-29 2017-10-27 Commissariat Energie Atomique Procede de fabrication d'une microbatterie au lithium
JP6160602B2 (ja) * 2014-03-24 2017-07-12 株式会社デンソー リチウムイオン二次電池
DE102015007291A1 (de) * 2015-06-10 2016-12-15 Forschungszentrum Jülich GmbH Verfahren zur Herstellung nanostrukturierter Schichten
US9728494B2 (en) * 2015-09-24 2017-08-08 Verily Life Sciences Llc Body-mountable device with a common substrate for electronics and battery
FR3042308B1 (fr) * 2015-10-13 2018-02-16 Commissariat A L'energie Atomique Et Aux Energies Alternatives Boitier pour composants microelectroniques
TWI744221B (zh) * 2015-12-04 2021-11-01 晶元光電股份有限公司 發光裝置
US10340177B2 (en) * 2016-02-19 2019-07-02 Globalfoundries Inc. Devices and methods of reducing damage during BEOL M1 integration
US10660208B2 (en) * 2016-07-13 2020-05-19 General Electric Company Embedded dry film battery module and method of manufacturing thereof
CN106094446A (zh) * 2016-08-01 2016-11-09 安徽贝莱电子科技有限公司 一种雷达电子控制元件用晶元的加工方法
US10903672B2 (en) 2017-03-30 2021-01-26 International Business Machines Corporation Charge method for solid-state lithium-based thin-film battery
US10581109B2 (en) 2017-03-30 2020-03-03 International Business Machines Corporation Fabrication method of all solid-state thin-film battery
US10622680B2 (en) 2017-04-06 2020-04-14 International Business Machines Corporation High charge rate, large capacity, solid-state battery
US10734674B2 (en) * 2017-08-14 2020-08-04 Thinika, Llc Solid-state thin film hybrid electrochemical cell
US10658702B2 (en) * 2017-10-02 2020-05-19 International Business Machines Corporation High-performance thin-film battery with an interfacial layer
US11081731B2 (en) 2017-10-18 2021-08-03 International Business Machines Corporation High-capacity rechargeable batteries
US11056722B2 (en) 2018-02-08 2021-07-06 International Business Machines Corporation Tool and method of fabricating a self-aligned solid state thin film battery
US10679853B2 (en) 2018-02-08 2020-06-09 International Business Machines Corporation Self-aligned, over etched hard mask fabrication method and structure
US10720670B2 (en) * 2018-02-08 2020-07-21 International Business Machines Corporation Self-aligned 3D solid state thin film battery
GB201815842D0 (en) * 2018-09-28 2018-11-14 Power Roll Ltd Method of processing substrate for an energy storage device
US11081898B2 (en) * 2018-12-17 2021-08-03 Microsoft Technology Licensing, Llc Electrical battery de-bonding
US11031631B2 (en) * 2019-01-02 2021-06-08 International Business Machines Corporation Fabrication of all-solid-state energy storage devices
WO2020179097A1 (ja) * 2019-03-04 2020-09-10 パナソニックIpマネジメント株式会社 配線構造体、半導体装置、能動素子の動作方法、配線構造体の製造方法、配線構造体の使用方法及び配線構造体の配線抵抗の制御方法
CN110137299A (zh) * 2019-05-17 2019-08-16 中国科学院上海技术物理研究所 一种基于硅介质结构的增强型红外薄膜探测器及制备方法
CN112449732A (zh) * 2019-06-10 2021-03-05 深圳市汇顶科技股份有限公司 薄膜锂离子电池、薄膜锂离子电池的制备方法和终端
US11489219B2 (en) * 2019-06-18 2022-11-01 International Business Machines Corporation Encapsulating in-situ energy storage device with electrode contact
KR102524454B1 (ko) * 2019-10-25 2023-04-20 동우 화인켐 주식회사 박막 전지 어셈블리
US11664529B2 (en) * 2020-08-13 2023-05-30 Samsung Electronics Co., Ltd. Buffered negative electrode-electrolyte assembly, battery, and method of manufacture thereof
TWI749955B (zh) * 2020-09-28 2021-12-11 天虹科技股份有限公司 減少非輻射復合的微發光二極體的製作方法及製作機台
US11929743B2 (en) * 2021-07-26 2024-03-12 Quantinuum Llc High-voltage semiconductor switch
US11444338B1 (en) * 2021-11-30 2022-09-13 Knoetik Solutions, Inc. Smart battery system

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5499030A (en) 1994-03-18 1996-03-12 The United States Of America As Represented By The Secretary Of The Air Force Expert system constant false alarm rate (CFAR) processor
KR100296741B1 (ko) * 1999-05-11 2001-07-12 박호군 트렌치 구조를 갖는 전지 및 그 제조방법
US20020092558A1 (en) * 2001-01-18 2002-07-18 Kim Seong Bae Integrated thin film cell and fabrication method thereof
JP2005072367A (ja) 2003-08-26 2005-03-17 Nippon Oil Corp 光電変換素子
EP1718179A2 (de) 2004-01-16 2006-11-08 GHZ TR Corporation Verfahren und vorrichtung für kraftfahrzeugradarsensoren
FR2901639B1 (fr) 2006-05-24 2008-08-22 Commissariat Energie Atomique Micro-composant integre associant les fonctions de recuperation et de stockage de l'energie
US20080032236A1 (en) * 2006-07-18 2008-02-07 Wallace Mark A Method and apparatus for solid-state microbattery photolithographic manufacture, singulation and passivation
US7682733B2 (en) * 2006-08-25 2010-03-23 Motorola, Inc. Thin film battery having textured layer
CN102165627A (zh) * 2008-09-29 2011-08-24 Nxp股份有限公司 固态电池
KR101865644B1 (ko) 2008-11-07 2018-06-08 삭티3, 인크. 통합된 구조물 내에서 다중 전기화학물질 및 에너지 수집 소자의 제조 및 구조화 방법
US8574767B2 (en) * 2009-05-18 2013-11-05 The Johns Hopkins University Thin film electrodes including metal tubes filled with active material and battery cells, and methods of fabrication
KR101137088B1 (ko) 2010-01-06 2012-04-19 주식회사 만도 통합 레이더 장치 및 통합 안테나 장치
US8829329B2 (en) * 2010-08-18 2014-09-09 International Business Machines Corporation Solar cell and battery 3D integration
US9024809B2 (en) 2011-03-17 2015-05-05 Sony Corporation Object detection system and method
US8835029B2 (en) * 2011-10-04 2014-09-16 International Business Machines Corporation Fuse for three dimensional solid-state battery
KR101849688B1 (ko) 2011-12-20 2018-04-18 인텔 코포레이션 반도체 구조물
CN102707285A (zh) 2012-05-28 2012-10-03 河海大学 车载毫米波防撞雷达系统的频域恒虚警检测方法
US9224664B2 (en) * 2012-06-06 2015-12-29 The Charles Stark Draper Laboratory, Inc. Bio-implantable hermetic integrated ultra high density device
FR2994338A1 (fr) 2012-08-03 2014-02-07 St Microelectronics Tours Sas Procede de formation d'une batterie de type lithium-ion
US9107335B2 (en) 2013-02-19 2015-08-11 Infineon Technologies Ag Method for manufacturing an integrated circuit and an integrated circuit
US8932947B1 (en) 2013-07-23 2015-01-13 Applied Materials, Inc. Methods for forming a round bottom silicon trench recess for semiconductor applications

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017204622A1 (de) * 2017-03-20 2018-09-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum Herstellen eines Hybridkondensators und Hybridkondensator
DE112018000278B4 (de) 2017-03-30 2023-08-03 International Business Machines Corporation Anodenstruktur für eine Festkörper-Dünnschichtbatterie auf Grundlage von Lithium

Also Published As

Publication number Publication date
US20150084157A1 (en) 2015-03-26
CN104517973B (zh) 2017-11-17
US9847326B2 (en) 2017-12-19
CN104517973A (zh) 2015-04-15

Similar Documents

Publication Publication Date Title
DE102014113890A1 (de) Elektronische Struktur, Batteriestruktur und Verfahren zur Herstellung einer elektronischen Struktur
DE102014101058B4 (de) Verfahren zur herstellung einer integrierten schaltung und integrierte schaltung
US10559859B2 (en) Integrated circuit structure and a battery structure
KR101744466B1 (ko) 리튬 배터리, 리튬 배터리의 제조 방법, 집적 회로, 및 집적 회로의 제조 방법
US10269793B2 (en) Source/drain regions in fin field effect transistors (FinFETs) and methods of forming same
CN107785376B (zh) 3d交叉条非易失性存储器
DE102020121728A1 (de) Verpackte halbleitervorrichtungen, die rückseitige stromschienen aufweisen, und verfahren zu deren herstellung
DE102015104765A1 (de) Lithium-ionen-batterie, integrierte schaltung und verfahren zum herstellen einer lithium-ionen-batterie
DE102015104800A1 (de) Lithium-Ionen-Batterie, integrierte Schaltung und Verfahren zum Herstellen einer Lithium-Ionen-Batterie
US11380793B2 (en) Fin field-effect transistor device having hybrid work function layer stack
DE102014110839A1 (de) Batteriezelle und Verfahren zum Herstellen einer Batteriezelle
DE102022100241A1 (de) Rückseitige source/drain-kontakte und verfahren zum bilden derselben
US20220102533A1 (en) Method of making a plurality of high density logic elements with advanced cmos device layout
US20210265350A1 (en) Semiconductor device and method
DE102020134570A1 (de) Halbleitervorrichtung und -verfahren
DE102020132236A1 (de) Integrierte schaltkreisstrukturen mit rundum-gate, die eine finnenstapelisolation aufweisen
CN113851537A (zh) 具有应变双纳米带沟道结构的全环绕栅集成电路结构
CN116190226A (zh) 半导体结构的制备方法及半导体结构
JP2023097349A (ja) デバイスおよび半導体デバイスを製造するための方法(密度スケーリングのための背面電源レールおよび配電網)
DE102015111497A1 (de) Verfahren zum herstellen einer batterie, batterie und integrierte schaltung
US11450743B2 (en) Method of forming a semiconductor device with implantation of impurities at high temperature
US11515403B2 (en) Semiconductor device and method
DE102020130392A1 (de) Integrierte schaltkreisstrukturen mit rundum-gate, die vorrichtungen mit einem elektrischen source/drain-substrat-kontakt aufweisen
KR20230012062A (ko) 3차원 메모리 디바이스의 접촉 패드 및 이의 제조 방법
US20240021618A1 (en) Semiconductor device and method

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee