JP2023097349A - デバイスおよび半導体デバイスを製造するための方法(密度スケーリングのための背面電源レールおよび配電網) - Google Patents

デバイスおよび半導体デバイスを製造するための方法(密度スケーリングのための背面電源レールおよび配電網) Download PDF

Info

Publication number
JP2023097349A
JP2023097349A JP2022170378A JP2022170378A JP2023097349A JP 2023097349 A JP2023097349 A JP 2023097349A JP 2022170378 A JP2022170378 A JP 2022170378A JP 2022170378 A JP2022170378 A JP 2022170378A JP 2023097349 A JP2023097349 A JP 2023097349A
Authority
JP
Japan
Prior art keywords
cell
transistor
gate
nanosheet
interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022170378A
Other languages
English (en)
Inventor
ルイロン シエ
Ruilong Xie
キシク チョイ
Kisik Choi
ソムナス ゴーシュ
Ghosh Somnath
サガリカ ムケシュ
Mukesh Sagarika
アルバート チュー
Chu Albert
アルバート エム. ヤング
M Young Albert
バラスブラマニアン エス. プラナサーシハラン
S Pranatharthiharan Balasubramanian
フイミン ブ
Huiming Bu
カイ ザオ
Zhao Kai
ジョン クリストファー アーノルド
Christopher Arnold John
ブレント エイ. アンダーソン
A Anderson Brent
デカオ グオ
Dechao Guo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023097349A publication Critical patent/JP2023097349A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1251Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs comprising TFTs having a different architecture, e.g. top- and bottom gate TFTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】標準CMOSセルなどの標準セルのセル間間隔およびセル高さを減少させるように構成される半導体集積回路デバイス、およびそのような半導体デバイスを製造する方法を提供する。【解決手段】デバイスは、第1の相互接続構造と、第2の相互接続構造と、第1のトランジスタを含む第1のセルと、第2のトランジスタを含む第2のセルと、第1のトランジスタのソース/ドレイン素子を第1の相互接続構造に接続する第1のコンタクトと、第2のトランジスタのソース/ドレイン素子を第2の相互接続構造に接続する第2のコンタクトとを有する。第1のセルは第2のセルに隣接して配置され、第1のトランジスタは第2のトランジスタに隣接して配置される。第1および第2のセルは、第1および第2の相互接続構造の間に配置される。【選択図】図1A

Description

本開示は、一般に、半導体集積回路の密度スケーリングのための技術に関し、特に、標準CMOS(相補型金属酸化膜半導体)セルなどの標準セルのセル間間隔およびセル高さを減少させるための技術に関する。典型的には、複雑なロジック設計は、標準セルから構築された直立セルを使用して構築される。したがって、ロジックの継続的なスケーリングは、標準セルのサイズを減少させることによって達成される。半導体プロセス技術の継続的な革新により、より高い集積密度およびデバイスのスケーリングが可能になっている。半導体業界が7nm技術ノード以降に向かうにつれて、ナノシートFETデバイス、ナノワイヤFETデバイス、縦型FETデバイス、フィン型FETデバイスなどの非平面半導体電界効果トランジスタ(FET)デバイス構造により、デバイス幅(またはFETチャネル幅)が増加し、したがって、所与のフットプリント面積あたりの駆動電流が増加する一方で、FETデバイスをより小さな寸法(より小さなフットプリント)にスケーリングすることが可能になった。FETデバイスおよびその他の構造レベルのスケーリングブースタのスケーリングにより、標準セルのセル高さを減少させることができるが、そのようなセル高さの減少は、バックエンド(BEOL)相互接続構造の電源および信号配線に接続するためのピンアクセスポイントの数の減少を引き起こし、これにより、配線可能性の低下のために、ブロックレベルの面積のスケーリングが制限される可能性がある。
これはビアのネットワークを含み、半導体チップ上の集積回路に電源を供給するために、チップの正電源(VDD)および負電源(VSS)端子に接続された配線である、電源供給網によって半導体チップに電源が供給される。一部の従来の半導体集積回路設計では、電源供給網の一部であるVDDおよびVSS電源レールは、バックエンド(BEOL)相互接続構造の下層(例えば、Mint層およびM1層)に実装される。そのような設計は、BEOL相互接続構造の下層で電源レールに接続されている、隣接するセルの隣接するFETデバイスの隣接するソース/ドレインコンタクトの短絡を防ぐために、隣接するセルのFETデバイス間に十分な横方向のセル間隔(例えば、N-N間隔、またはP-P間隔)が存在しなければならないため、例えば、セル間間隔を減少させることに関して、スケーリングの課題を提供する。したがって、高密度集積設計は、BEOL回路の相互接続設計における輻輳に悩まされ、信頼性の問題を引き起こす可能性がある。
一部の従来の半導体集積回路設計では、集積密度を高めるために標準セル高さが減少するために、埋め込み電源レールを構造スケーリングブースタとして利用している。例えば、埋め込み電源レール(例えば、埋め込みVDDレールおよび埋め込みVSSレール)をフロントエンド(FEOL)の一部として製造して、BEOL相互接続構造のMint層およびM1層の信号トラック用の空間を解放し(それによって、セル高さを減少させることができる)、ピッチスケーリングにより、BEOL抵抗の増加に悩まされる高集積設計で低抵抗の局所的な電源分配を提供できる。しかし、FEOLプロセスモジュール内の埋め込み電源レールを集積して高密度スケーリングを実現することは、様々な理由で困難である。
例えば、いくつかの従来のスキームでは、埋め込み電源レールは、隣接するセル間のセル境界領域の半導体基板にトレンチをエッチングすることによってFEOLプロセスモジュールの一部として形成され、トレンチをライナおよび金属材料で埋めて、半導体基板の前面に高アスペクト比の埋め込み電源レール(BPR)を形成する。そのようなスキームにより、セル間間隔が50ナノメートル(nm)以下である場合に、例えば、5nmの比較的薄い埋め込み電源レールの形成をもたらし、比較的高い抵抗を有する埋め込み電源レールをもたらす。さらに、そのような従来の設計は、埋め込み電源レール(VBPR)をミドルオブライン(MOL)プロセスモジュールの一部として形成される前面ソース/ドレインコンタクトに接続するために、埋め込み電源レールを接続する前面ビアコンタクトを形成することをさらに含む。したがって、これらの設計は、隣接するセルの隣接するFETデバイスのソース/ドレインコンタクトへのそのようなビアコンタクトの短絡を防ぎながら、隣接するセルの隣接するFETデバイス間に十分な横方向のセル間隔(例えば、N-N間隔およびP-P間隔)が存在し、前面ソース/ドレインコンタクトを埋め込み電源レールに接続するビアコンタクトに十分な空間を提供しなければならないため、例えば、セル高さおよびセル間間隔を減少させることに関して、スケーリングの課題を提供する。したがって、VBPRは、前面ソース/ドレインコンタクトとBPRとの間の比較的高い抵抗接続を提供する、高アスペクト比のビアコンタクト(例えば、狭くて高い)を備える。さらに、BEOL相互接続構造のより高い金属レベルから前面電源供給を行うFEOL埋め込み電源レールを実装する従来の設計では、埋め込み電源レールからBEOL相互接続構造への接続を提供するために、MOLモジュールの一部として追加の前面ビアコンタクトを形成する必要がある。
さらに、いくつかの従来の半導体集積回路設計は、背面配電網によって提供される電源供給と併せて埋め込み電源レールを利用する。そのような従来の設計では、背面プロセスによって埋め込み電源レールのサイズを大きくできるが、そのような設計では、埋め込み電源レールをミドルオブライン(MOL)プロセスモジュールの一部として形成される前面MOLソース/ドレインコンタクトに接続するために、埋め込み電源レールを接続する前面ビアコンタクトを依然として利用している。したがって、これらの設計は、そのようなビアコンタクトが、隣接するセルの隣接するFETデバイスのソース/ドレインコンタクトに短絡するのを防ぎながら、隣接するセルの隣接するFETデバイス間に十分な横方向のセル間隔(例えば、N-N間隔およびP-P間隔)が存在し、前面ソース/ドレインコンタクトを埋め込み電源レールに接続するビアコンタクトに十分な空間を提供しなければならないため、例えば、セル高さおよびセル間間隔を減少させることに関して、スケーリングの課題を提供する。
次に、標準CMOSセルなどの標準セルのセル間間隔およびセル高さを減少させるように構成される半導体集積回路デバイス、およびそのような半導体デバイスを製造する方法に関して、例示的な実施形態をさらに詳細に説明する。
例えば、例示的な実施形態は、第1の相互接続構造と、第2の相互接続構造と、第1のトランジスタを含む第1のセルと、第2のトランジスタを含む第2のセルと、第1のトランジスタのソース/ドレイン素子を第1の相互接続構造に接続する第1のコンタクトと、第2のトランジスタのソース/ドレイン素子を第2の相互接続構造に接続する第2のコンタクトとを有するデバイスを備える。第1のセルは第2のセルに隣接して配置され、第1のトランジスタは第2のトランジスタに隣接して配置される。第1および第2のセルは、第1および第2の相互接続構造の間に配置される。
有利なことに、第2の相互接続構造の実装および、第1の相互接続構造への第1のコンタクトの接続および第2の相互接続構造への第2のコンタクトの接続は、隣接する第1および第2のトランジスタ間のセル間間隔が減少させるため、第1および第2のコンタクト間の潜在的な短絡接続を回避する。
別の例示的な実施形態は、第1のトランジスタを有する第1のセル、第2のトランジスタを有する第2のセル、および分離構造を有するデバイスを備える。第1および第2のセルは互いに隣接して配置され、第1および第2のトランジスタは互いに隣接して配置される。分離構造は、第1および第2のセル間のセル境界領域に配置される。分離構造は、第1のトランジスタの第1のアクティブチャネル構造および第2のトランジスタの第2のアクティブチャネル構造上に配置された第1の部分および第1および第2のアクティブチャネル構造の間に配置された第2の部分を有する。分離構造の第1の部分は、第1および第2のアクティブチャネル構造の間の間隔に実質的に等しい第1の幅を有する。分離構造の第2の部分は、第1の幅より小さい第2の幅を有する。分離構造の第2の部分と第1および第2のアクティブチャネル構造のそれぞれとの間の空間は、第1および第2のトランジスタのゲート延在長さを画定する。
有利なことに、第1および第2のセル間のセル境界領域に配置された分離構造の実装により、第1および第2のセル間のセル間間隔を減少させることが可能になる。いくつかの実施形態では、分離構造は、第1および第2のセルの第1および第2のトランジスタの隣接する第1および第2のアクティブチャネル構造間の誘電体分離の厚さおよび位置合わせの精密制御、ならびに、第1および第2のトランジスタのゲート延在の精密制御を可能にする自己整合プロセスを使用して形成される。第1および第2のセル間のセル境界領域に配置された分離構造の実装により、自己整合分離構造の下部のより狭い部分によって、隣接する第1および第2のセル間に存在する明確に画定されたセル間間隔に影響を与えることなく、隣接するセル間の金属ゲート電極を分離するために実装され得るレイトゲートカットプロセスの公差を緩和することを可能にする。
別の例示的な実施形態は、第1のトランジスタを含む第1のセル、および第2のトランジスタを含む第2のセルを有するデバイスを備える。第1および第2のセルは、互いに隣接して配置される。第1および第2のトランジスタは、第1および第2のトランジスタの間に配置された絶縁壁を有するフォークシート型電界効果トランジスタ対を有する。絶縁壁は、第1および第2のセル間のセル境界に位置合わせされる。絶縁壁の幅は、第1および第2のセル間のセル間間隔を画定する。
有利なことに、第1および第2のセル間のセル境界に位置合わせされた絶縁壁を有するフォークシート型電界効果トランジスタ対の実装は、第1および第2のセル間のセル間間隔を減少させることを可能にする。いくつかの実施形態では、絶縁壁は、絶縁壁の厚さおよび位置合わせの精密制御を可能にし、したがって、フォークシート型電界効果トランジスタ対間のセル境界領域における誘電体分離の精密制御を可能にする自己整合プロセスを使用して形成される。第1および第2のセル間のセル境界領域に配置された絶縁壁の実装により、第1および第2のセル間のセル境界に位置合わせされたフォークシート型電界効果トランジスタ対の絶縁壁によって、隣接する第1および第2のセル間に存在する明確に画定されたセル間間隔に影響を与えることなく、隣接するセル間の金属ゲート電極を分離するために実装され得るレイトゲートカットプロセスの公差を緩和することを可能にする。
別の例示的な実施形態は、半導体デバイスを製造する方法を備える。第1および第2のセルが基板上に形成される。第1のセルは第1のトランジスタを有し、第2のセルは第2のトランジスタを有する。第1および第2のセルは互いに隣接して配置され、第1および第2のトランジスタは互いに隣接して配置される。第1のトランジスタのソース/ドレイン素子に接続する第1のコンタクトが形成される。第1のコンタクトに接続される第1の相互接続構造が形成される。基板をエッチングして、第2のトランジスタのソース/ドレイン素子の背面を露出する開口部を形成する。第2のコンタクトは、第2のトランジスタのソース/ドレイン素子に接続する開口部内に形成される。第2のコンタクトに接続される第2の相互接続構造が形成される。
別の例示的な実施形態は、半導体デバイスを製造する方法を備える。第1のトランジスタの第1のナノシートチャネル構造および第2のトランジスタの第2のナノシートチャネル構造が基板上に形成される。第1および第2のナノシートチャネル構造は、互いに隣接して配置され、それぞれの第1および第2のトランジスタを含む第1および第2のセル間のセル間間隔を画定する空間によって分離される。コンフォーマル犠牲スペーサ層が、第1および第2のナノシートチャネル構造の隣接する側壁上に形成される。第1および第2のナノシートチャネル構造の側壁上のコンフォーマル犠牲スペーサ層の間に誘電体分離構造が形成される。第1および第2のナノシートチャネル構造の側壁上のコンフォーマル犠牲スペーサ層は、選択的にエッチング除去されて、誘電体分離構造と第1および第2のナノシートチャネル構造の側壁との間に空間を形成し、空間は、第1および第2のトランジスタのゲート延在長さを画定する。空間は金属材料で埋められて、第1のトランジスタの第1の金属ゲート構造および第2のトランジスタの第2の金属ゲート構造を形成する。
他の実施形態は、添付の図面と併せて読まれる、以下の実施形態の詳細な説明において説明される。
本開示の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイスの概略図である。 本開示の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイスの概略図である。
本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、半導体基板、絶縁層、および絶縁層上に形成されたナノシート積層構造を有する製造の初期段階における半導体集積回路デバイスの初期デバイス構造の概略側断面図である。
本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、パターニングされたナノシート積層構造を形成するために、図2のナノシート積層構造をパターニングすることによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、パターニングされたナノシート積層構造を形成するために、図2のナノシート積層構造をパターニングすることによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。
本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、ダミーゲート構造を形成してトランジスタデバイスのソース/ドレイン素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、ダミーゲート構造を形成してトランジスタデバイスのソース/ドレイン素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、ダミーゲート構造を形成してトランジスタデバイスのソース/ドレイン素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。
本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、層間誘電体層を形成してゲートカットプロセスを実行し、置換金属ゲートプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、層間誘電体層を形成してゲートカットプロセスを実行し、置換金属ゲートプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。
本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、前面ゲートコンタクトおよびソース/ドレインコンタクトを形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、前面ゲートコンタクトおよびソース/ドレインコンタクトを形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、前面ゲートコンタクトおよびソース/ドレインコンタクトを形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。
本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、図6A、図6B、および図6Cの中間デバイス構造の前面に第1の相互接続構造(例えば、BEOL相互接続構造)を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。
本発明の一実施形態による、図1Aおよび図1Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、背面ソース/ドレインコンタクトおよび図7の中間デバイス構造の背面上に完全な背面電源供給構造を有する第2の相互接続構造を形成する背面プロセスモジュールを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。
本開示の別の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイスの概略側断面図である。
本開示の別の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイスの概略図である。 本開示の別の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイスの概略図である。
本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、半導体基板、絶縁層、絶縁層上に配置された複数のパターニングされたナノシート積層構造、およびパターニングされたナノシート積層構造の上に配置されたハードマスク層を有する、製造の中間段階における半導体集積回路デバイスの中間デバイス構造の概略側断面図である。
本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、パターニングされたナノシート積層構造の側壁に犠牲スペーサ層を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。
本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、パターニングされたナノシート積層構造の間に自己整合誘電体分離素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。
本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、ダミーゲート構造を形成してトランジスタデバイスのソース/ドレイン素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、ダミーゲート構造を形成してトランジスタデバイスのソース/ドレイン素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、ダミーゲート構造を形成してトランジスタデバイスのソース/ドレイン素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。
本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、層間誘電体層を形成してダミーゲートを金属ゲートで置換するために置換金属ゲートプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、層間誘電体層を形成してダミーゲートを金属ゲートで置換するために置換金属ゲートプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。
本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、隣接するセル内のトランジスタデバイスの金属ゲート構造を分離するために、自己整合誘電体分離素子上に上部ゲートカット素子を形成するレイトゲートカットプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、隣接するセル内のトランジスタデバイスの金属ゲート構造を分離するために、自己整合誘電体分離素子上に上部ゲートカット素子を形成するレイトゲートカットプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。 本開示の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、隣接するセル内のトランジスタデバイスの金属ゲート構造を分離するために、自己整合誘電体分離素子上に上部ゲートカット素子を形成するレイトゲートカットプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。
本開示の別の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイスの概略図である。 本開示の別の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイスの概略図である。
本開示の例示的な実施形態による、図17Aおよび図17Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、半導体基板、絶縁層、絶縁層上に配置された複数のパターニングされたナノシート積層構造、およびパターニングされたナノシート積層構造の上に配置されたハードマスク層を有する、製造の中間段階における半導体集積回路デバイスの中間構造の概略側断面図である。
本開示の例示的な実施形態による、図17Aおよび図17Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、パターニングされたナノシート積層構造の側壁に犠牲スペーサ層を形成し、さらに、フォークシートトランジスタのトランジスタ対のためのナノシートチャネル構造を形成するために、ナノシート積層構造をパターニングすることによって構築される、半導体集積回路デバイスの次の中間構造を示す概略側断面図である。
本開示の例示的な実施形態による、図17Aおよび図17Bの半導体集積回路デバイスを製造するための方法を概略的に示す。本開示の例示的な実施形態による、パターニングされたナノシート積層構造の間に自己整合誘電体分離素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。
次に、標準CMOSセルなどの標準セルのセル間間隔およびセル高さを減少させるために、背面配電網、背面電源レール、および背面ソース/ドレインコンタクトを有する半導体集積回路デバイス、およびそのような半導体デバイスを製造する方法に関して、例示的な実施形態をさらに詳細に説明する。例示の目的で、本発明の例示的な実施形態は、ナノシートFETデバイスを有する相補型トランジスタ構造に関連して論じられる。しかし、本明細書で論じる例示的な実施形態は、ナノワイヤFETなどの様々なタイプのゲートオールアラウンド(GAA)FETデバイス、およびアクティブチャネル層のすべての側面の周りに形成されるゲート構造を有する他のタイプのGAA FETデバイスに容易に適用可能であることを理解されたい。さらに、本明細書で開示される例示的な技術は、フィン型FET(FinFET)デバイスで実装できる。
添付の図面に示される様々な層、構造、および領域は、縮尺通りには描かれていない概略図であることを理解されたい。さらに、説明を簡単にするために、半導体デバイスまたは構造を形成するために一般に使用されるタイプの1つまたは複数の層、構造、および領域は、所与の図面に明示的に示され得ない。これは、明示的に示されていない層、構造、および領域が実際の半導体構造から省かれていることを意味するものではない。さらに、本明細書で論じる実施形態は、本明細書で示し説明する特定の材料、特徴、およびプロセスステップに限定されないことを理解されたい。特に、半導体プロセスステップに関して、本明細書で提供される説明は、機能的な半導体集積回路デバイスを形成するために必要とされ得るすべてのプロセスステップを包含することを意図していないことが強調されるべきである。むしろ、例えば、湿式洗浄ステップおよびアニーリングステップなど、半導体デバイスを形成する際に一般に使用される特定のプロセスステップは、説明を簡潔にするために、本明細書では意図的に説明しない。
同一または類似の参照番号は、同一または類似の特徴、要素、または構造を示すために図面全体で使用され、したがって、同一または類似の特徴、要素、または構造の詳細な説明は、各図面について繰り返されないことを理解されたい。さらに、厚さ、幅、パーセンテージ、範囲などに関して本明細書で使用される「約」または「実質的に」という用語は、正確ではないが、近いまたは近似であることを示すことを意味する。例えば、本明細書で使用される「約」または「実質的に」という用語は、記載された量の1%未満など、わずかな誤差が存在することを意味する。側面または表面の「上に」特徴(例えば、層)を形成することを説明するために本明細書で使用される「上に」という語句は、特徴(例えば、層)が、暗黙の側面または表面の「直接上に」(すなわち、直接接触して)形成され得ること、または、特徴(例えば、層)が、特徴(例えば、層)と暗黙の側面または表面との間に配置された1つまたは複数の追加の層を有する暗黙の側面または表面の「上に間接的に」形成され得ることを意味する。
図面全体に示される半導体構造の異なる構造方向に空間的文脈を提供するために、XYZデカルト座標が各図面に示されている。本明細書で使用される用語「垂直」または「垂直方向」または「垂直高さ」は、図面に示されるデカルト座標のZ方向を示し、本明細書で使用される用語「水平」または「水平方向」または「横方向」は、図面に示されるデカルト座標のX方向もしくはY方向、またはその両方を示す。
図1Aおよび図1Bは、本開示の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイス100の概略図である。より具体的には、図1Aは、図1Bの線1A-1Aに沿った半導体集積回路デバイス100の概略側断面図(X-Z平面)であり、図1Bは、図1Aの線1B-1Bに沿った半導体デバイスの概略平面図である。半導体集積回路デバイス100は、第1のセルC1、第2のセルC2、および第3のセルC3を含む複数のセルを備える。説明を容易にするために、図1Aおよび図1Bは、第1のセルC1の完全なセル高さCHと、第2および第3のセルC2およびC3のセルの半高さを示す。第1のセルC1は、第2のセルC2と第3のセルC3との間に配置される。本明細書で論じる例示的な実施形態では、セルC1、C2、およびC3は、CMOSセルを有する。
セルC1、C2、およびC3は、絶縁基板層105(例えば、埋め込み酸化物(BOX)層105)上に配置された、複数のナノシートFETデバイス120-1、120-2、120-3、および120-4を有する。いくつかの実施形態では、ナノシートFETデバイス120-1および120-2は、N型ナノシートFETデバイス(NFETデバイス)を有し、ナノシートFETデバイス120-3および120-4は、P型ナノシートFETデバイス(PFETデバイス)を有する。より具体的には、図1Aおよび図1Bに示す例示的な実施形態では、第2のセルC2は、複数のNFETデバイス120-1(セルC2の隣接するPFETデバイスは図示せず)を有し、第1のセルC1は、複数のNFETデバイス120-2および複数のPFETデバイス120-3を有し、第3のセルC3は、複数のPFETデバイス120-4(セルC3の隣接するNFETデバイスは図示せず)を有する。NFETデバイス120-1および120-2は、ソース/ドレイン素子122を有し、PFETデバイス120-3および120-4は、ソース/ドレイン素子124を有する。
図1Bにさらに示すように、半導体集積回路デバイス100は、セルC1、C2、およびC3を跨いでX方向に延在する複数のゲート構造G1、G2、およびG3を有する。いくつかの実施形態では、ゲート構造G1、G2、およびG3は、ゲート側壁スペーサ134、ゲートカット素子137、およびゲートキャッピング層138によってカプセル化された置換金属ゲート(RMG)構造、例えば、High-k金属ゲート(HKMG)構造を有する。図1Bに示すように、例示的な実施形態では、第1のセルC1のNFETデバイス120-2は、PFETデバイス120-3の隣接するものと共通の金属ゲート構造を共有する。異なるセルC1、C2、およびC3のゲート構造G1、G2、およびG3は、隣接するセル間のセル境界領域に形成されるゲートカット素子137によって分離される。
例示的な実施形態では、ナノシートFETデバイス120-1、120-2、120-3、および120-4はそれぞれ、3つのアクティブナノシートチャネル層112、114、および116(図1Aを参照)を有する積層ナノシートチャネル構造を有する。特に、NFETデバイス120-1および120-2はそれぞれ、それぞれの金属ゲート構造によってカプセル化され、ゲート構造G1、G2、およびG3の反対側のソース/ドレイン素子122に接続される積層ナノシートチャネル構造を有する。同様に、PFETデバイス120-3および120-4はそれぞれ、それぞれの金属ゲート構造によってカプセル化され、ゲート構造G1、G2、およびG3の反対側のソース/ドレイン素子124の間に配置される積層ナノシートチャネル構造を有する。
さらに図1Aおよび図1Bに示すように、半導体集積回路デバイス100の前面は、第1の絶縁層140、第2の絶縁層142、前面ゲートコンタクト151、152、および153、前面ソース/ドレインコンタクト160、161、162、および163、ならびにBEOL相互接続構造170を有する。BEOL相互接続構造170は、複数のレベルの配線、および異なる配線レベル間で配線を接続するビア構造を有する。例えば、図1Aに示すように、BEOL相互接続構造170は、第1のメタライゼーションレベルを有する誘電体層171および172、ならびに第1のレベルのメタライゼーション上に配置された追加のBEOLメタライゼーションレベル173を有する。
より具体的には、誘電体層171は、その中に形成された複数のビアコンタクト174および175を有し、誘電体層172は、所与のピッチPを有する複数の金属トラックT1、T2、T3、T4、T5、T6、T7、およびT8を有する第1のメタライゼーションレベルM1(またはM0またはMintと示されることもある初期メタライゼーションレベル)を有する。いくつかの実施形態では、金属トラックT1~T8は、ナノシートFETデバイス120-1、120-2、120-3、および120-4への、からの、およびそれらの間で信号を分配するローカル相互接続を提供し、一方、BEOL相互接続構造170のいくつかの上部金属レベル173は、セミグローバル配線およびグローバル配線を有する。図1Aに示すように、ビアコンタクト174および175は、それぞれの前面ソース/ドレインコンタクト160および161を、第1のメタライゼーションレベルのそれぞれの対応する金属トラックT3およびT6に接続する。特に示していないが、誘電体層171は、ゲートコンタクト151、152、および153、ならびにソース/ドレインコンタクト162および163を第1のメタライゼーションレベルの金属トラックに接続する他のビアコンタクトを有する。
図1Aおよび図1Bにさらに示すように、半導体集積回路デバイス100の背面は、背面ソース/ドレインコンタクト181、182、183、および184(絶縁基板層105に形成される)、ならびに完全な背面電源供給構造190を有する。背面電源供給構造190は、絶縁基板層105上に形成された背面誘電体層192、背面誘電体層192内に形成された複数の背面電源レール194および196、ならびに背面配電網198を有する。図1Aおよび図1Bの例示的な実施形態では、背面ソース/ドレインコンタクト181および183は、セルC1およびC2のNFETデバイスのソース/ドレイン素子122を背面電源レール194に接続し、背面ソース/ドレインコンタクト182および184は、セルC1およびC3のPFETデバイスのソース/ドレイン素子124を背面電源レール194に接続する。
背面配電網198は、正の電源電圧(例えば、VDD)および負の電源電圧(例えば、VSS、グランド(GND)=0V)を背面電源レール194および196に分配するように構成されている1つまたは複数のレベルの配線を有する。例えば、例示的な実施形態では、背面配電網198は、負の供給電圧(VSS)を背面電源レール194に接続し、正の供給電圧(VDD)を背面電源レール196に接続する。この構成では、背面ソース/ドレインコンタクト181および184(背面電源レール194に接続されている)は、第1および第2のセルC1およびC2内のいくつかのNFETデバイスのいくつかのソース/ドレイン素子122をVSS(またはグランド)に接続し、背面ソース/ドレインコンタクト182および184は、第1および第3のセルC1およびC3内のいくつかのPFETデバイスのいくつかのソース/ドレイン素子124をVDDに接続する。
図1Aおよび図1Bに示すような、CMOSセルC1、C2、およびC3の例示的なレイアウト、ならびに前面および背面ソース/ドレインコンタクトなどの構成は、例示の目的で提示されていることを理解されたい。CMOSセルC1、C2、およびC3のレイアウト、ならびに前面および背面ソース/ドレインコンタクトの構成は、所与の回路構成に実装される標準CMOSセルのタイプおよび使用される半導体技術のタイプ(例えば、ナノシートFETデバイス、FinFETデバイスなど)によって異なる。例えば、CMOSセルC1、C2、およびC3は、ブール論理関数(例えば、AND、NAND、OR、NOR、XORなど)、記憶機能(例えば、ラッチ、フリップフロップなど)、または、加算器、マルチプレクサ、メモリなどのようなより複雑な標準セル(マクロセル)を提供するトランジスタおよび相互接続構造のグループを有する標準セルを有し得る。
図1Aおよび図1Bは、セルC1、C2、およびC3が4トラックのセル高さ(CH)を有する例示的な実施形態を示す。本明細書で使用される標準セルの「セル高さ」という用語は、第1のセル境界と第2のセル境界との間に配置される平行なトラック(例えば、金属トラック)の数を示し、第1および第2のセル境界間の距離は、標準セルのセル高さを画定することに留意されたい。例えば、図1Aに示すように、セルC1とC2との間の第1のセル境界B、およびセルC1とC3との間の第2のセル境界Bを定める垂直の破線が示されている。ピッチPの金属トラックT3、T4、T5、およびT6に関して、第1のセルのセル高さ(CH)は、1/2P+P+P+1/2P=4P(例えば、4つの金属トラック)である。
さらに図1Aに示すように、第1のセルC1のセル高さ(CH)を画定する別の方法は、CH=2CB+S+2Wであり、Sは、セルC1のNFETデバイス120-2とPFETデバイス120-3との間のNからPまでの間隔を示し、CBは1/2S(Sは隣接するセル間のセル間間隔を示す)であるセル境界空間を示し、Wは、セルC1のNFETデバイス120-2およびPFETデバイス120-3のゲート幅(NFETデバイスおよびPFETデバイスのゲート幅Wは等しいと仮定する)を示す。隣接するセルC1とC2との間のセル間間隔Sは、NFETデバイスデバイス120-1および120-2の積層ナノシートチャネル層の間のNからNまでの間隔を表し、隣接するセルC1とC3との間のセル間間隔Sは、PFETデバイスデバイス120-3および120-4の積層ナノシートチャネル層の間のPからPまでの間隔を表す。
図1Aおよび図1Bに示す例示的なデバイスアーキテクチャは、隣接するセル内のソース/ドレインコンタクトFETデバイス間の潜在的な短絡を回避しながら、高密度集積のために隣接するセル間のセル間間隔Sの減少を可能にする。隣接するセル間のセル間間隔Sの減少は、セル間のセル境界間隔CBをより小さくし、したがって、セルのセル高さの減少をもたらす。実際、図1Aに示すように、セルC2のNFETデバイス120-1のソース/ドレイン素子122は、背面ソース/ドレインコンタクト181を通して背面電源供給構造190に接続され、隣接するセルC1の隣接するNFETデバイス120-2のソース/ドレイン素子122は、前面コンタクト160を通して前面BEOL相互接続構造170に接続される。さらに、セルC3のPFETデバイス120-4のソース/ドレイン素子124は、背面ソース/ドレインコンタクト182を通して背面電源供給構造190に接続され、隣接するセルC1の隣接するPFETデバイス120-3のソース/ドレイン素子124は、前面コンタクト161を通して前面BEOL相互接続構造170に接続される。この構成により、隣接するセルの隣接するトランジスタのソース/ドレインコンタクトの短絡を防止しながら、セル間間隔Sのスケーリングが可能になる。
図1Aおよび図1Bに示す例示的なデバイスアーキテクチャは、上記で論じた従来の構造に勝る様々な利点を提供する。例えば、上記で述べたように、信号および電源供給の両方にBEOL相互接続構造を利用する従来の設計は、輻輳およびFEOLソース/ドレイン素子をBEOL相互接続構造に接続するMOLソース/ドレインコンタクトの輻輳に起因する配線可能性の低下に悩まされる。さらに、隣接するセル間のセル間間隔のスケーリングは、隣接するセルの隣接するトランジスタのソース/ドレイン素子に接続される前面ソース/ドレインコンタクトの短絡を防ぐために十分な量のセル間間隔が必要であるという点で制限される。
さらに、上記で述べたように、いくつかの従来の設計は、セルのトランジスタの下の半導体基板に形成される前面埋め込み電源レールを利用し、埋め込み電源レールへのコンタクトは、前面ソース/ドレインコンタクトを横方向に延在させ、前面ソース/ドレインコンタクトの延在部分を、隣接するトランジスタ間で埋め込み電源レールまで延在するFEOLビアを使用して、埋め込み電源レールに接続することによって達成される。例えば、図1Aのセルアーキテクチャを有する従来のデバイス構成では、背面電源レール194および196は、半導体基板内に配置された電源レールを比較的狭くし、背面ソース/ドレインコンタクト181および182は利用されない。
代わりに、セルC2のNFETデバイス120-1のソース/ドレイン素子122は、ILD層140および142にセルC2とC1との間の間隔Sの一部と重なる延在部分を有する前面MOLソース/ドレインコンタクトを形成することによって、埋め込み電源レールに接続され、ビアコンタクトは、MOLソース/ドレインコンタクトの延在部分を埋め込み電源レールに接続する。この場合、ビアコンタクトは、セルC2とC1との間の空間Sに配置される。同様に、セルC3のPFETデバイス120-4のソース/ドレイン素子124は、ILD層140および142にセルC1とC3との間の間隔Sの一部と重なる延在部分を有する前面MOLソース/ドレインコンタクトを形成することによって、埋め込み電源レールに接続され、ビアコンタクトは、MOLソース/ドレインコンタクトの延在部分を埋め込み電源レールに接続する。この場合、ビアコンタクトは、セルC3とC1との間の空間Sに配置される。隣接するセル間の間隔Sにビアコンタクトを形成する必要性は、セル間のスケーリングに重大な制限を課す。さらに、前面ソース/ドレインコンタクトおよび対応するビアコンタクトの延在部分の使用により、セル間間隔が減少されるため、コンタクトが短絡し、隣接するセル内の隣接するFETデバイス間の寄生結合が増加する可能性がある。
図2~図8は、本開示の例示的な実施形態による、背面電源レールおよび背面配電網を有する半導体集積回路デバイスを製造する方法を概略的に示す。特に、例示の目的で、図2~図8は、図1Aおよび図1Bの半導体集積回路デバイス100を製造する方法を概略的に示す。はじめに、図2は、半導体基板102と、絶縁層105と、絶縁層105上に形成されたナノシート積層構造110とを有する製造の初期段階における半導体集積回路デバイスの初期デバイス構造の概略側断面図(X-Z平面)である。ナノシート積層構造110は、エピタキシャル半導体層111、112、113、114、115、116、および117の積層を有し、その後パターニングされて複数のナノシートFETデバイスを形成する。特に、ナノシート積層構造110は、犠牲ナノシート層111、113、115、および117、ならびにアクティブナノシートチャネル層112、114、および116を有し、各アクティブナノシートチャネル層112、114、および116は、ナノシート積層構造110内の犠牲ナノシート層の間に配置される。
半導体基板102は、一般的な基板層として示されているが、半導体基板102は、異なるタイプの半導体基板構造および材料のうちの1つを含み得ることを理解されたい。例えば、いくつかの実施形態では、半導体基板102は、限定されないが、シリコン(Si)、ゲルマニウム(Ge)、または、シリコンゲルマニウム合金、化合物半導体材料(例えば、III-V)などのようなバルク半導体製造プロセスで一般的に使用される他のタイプの半導体基板材料を含む、結晶性半導体材料から形成されるバルク半導体基板(例えば、ウェーハ)である。各図面において、X-Y平面は、処理される半導体基板102(例えば、ウエーハ)の平面に平行な平面を表すことに留意されたい。
いくつかの実施形態では、半導体基板102は絶縁体上半導体(SOI)基板であり、絶縁層105はSOI基板の埋め込み酸化物(BOX)層である。例えば、いくつかの実施形態では、SOI基板102は、薄いBOX層105によってバルク基板から分離された単結晶シリコンの層を有し得る。いくつかの実施形態では、第1の犠牲半導体層111(または犠牲ナノシート層111)は、BOX層104上の単結晶シリコン層をSiGe層に変換することによって形成される。より具体的には、いくつかの実施形態では、変換プロセスは、単結晶シリコン層を薄くすること、続いて、単結晶シリコン層上にエピタキシSiGeを成長させること、続いて、薄いSi/SiGe層をSiO2でキャップされたSiGe層に変換するためのSiGe縮合によって行うことができる。最後に、SiO2が除去され、残ったSiGe層が第1の犠牲層111になる。
ナノシート積層構造110のエピタキシャル半導体層を順次成長させる。例えば、犠牲ナノシート層111上にアクティブナノシートチャネル層112をエピタキシャル成長させ、アクティブナノシートチャネル層112上に犠牲ナノシート層113をエピタキシャル成長させ、犠牲ナノシート層113上にアクティブナノシートチャネル層114をエピタキシャル成長させ、アクティブナノシートチャネル層114上に犠牲ナノシート層115をエピタキシャル成長させ、犠牲ナノシート層115上にアクティブナノシートチャネル層116をエピタキシャル成長させ、アクティブナノシートチャネル層116上に犠牲ナノシート層117をエピタキシャル成長させる。
いくつかの実施形態では、ナノシート積層構造110のエピタキシャル半導体層は、化学蒸着(CVD)、有機金属化学蒸着(MOCVD)、低圧化学蒸着(LPCVD)、分子線エピタキシ(MBE)、気相エピタキシ(VPE)、液相エピタキシ(LPE)、有機金属分子線エピタキシ(MOMBE)、急速熱化学蒸着(RTCVD)、低エネルギプラズマ蒸着(LEPD)、超高真空化学蒸着(UHVCVD)、大気圧化学蒸着(APCVD)、または所与のプロセスフローに適した他の既知のエピタキシャル成長技術などの既知の方法を使用してエピタキシャル成長させる、単結晶(single crystal)(単結晶(monocrystalline))半導体材料を有する。
ナノシート積層110のエピタキシャル半導体層111~117を形成するために利用される材料のタイプは、結晶性半導体層の適切な(例えば、欠陥のない)エピタキシャル成長を保証するために、半導体層の材料間に十分な格子整合を提供すると同時に、ナノシートFETデバイスのタイプ(P型またはN型)、および半導体層間の所望のレベルのエッチング選択性などの様々な要因に依存する。例えば、いくつかの実施形態では、ナノシート積層構造110のアクティブナノシートチャネル層112、114、および116は、エピタキシャルシリコン(または結晶Si)から形成される。
アクティブナノシートチャネル層112、114、および116が結晶Siから形成される場合、(アクティブナノシートチャネル層112、114、および116を解放するために、その後エッチング除去される犠牲層として機能する)犠牲ナノシート層111、113、115、および117は、エピタキシャルシリコンゲルマニウム(SiGe)合金から形成できる。これにより、犠牲ナノシート層111、113、115、および117のエピタキシャルSiGe材料を、その後のプロセスステップでアクティブナノシートチャネル層112、114、および116のエピタキシャルSi材料に対して選択的にエッチングして、アクティブナノシートチャネル層112、114、および116を「解放」することが可能になる。他の実施形態では、アクティブナノシートチャネル層112、114、および116は、(デバイス性能のために最適化された)所望のGe濃度を有するエピタキシャルSiGe材料から形成でき、犠牲ナノシート層111、113、115、および117は、アクティブナノシートチャネル層112、114、および116に対して選択的にエッチングできる犠牲半導体材料(例えば、Si)から形成できる。ナノシート積層構造110は、3つのアクティブナノシートチャネル層112、114、および116を含むように示されているが、本発明の他の実施形態では、ナノシート積層構造110は、3つより多くのアクティブナノシートチャネル層で製造できる。
ナノシート積層構造110の犠牲ナノシート層111、113、および115の厚さは、High-k誘電体材料および仕事関数金属がその後形成されるアクティブナノシートチャネル層112、114、および116の上下の間隔サイズ(またはチャネル間隔)を画定する。アクティブナノシートチャネル層112、114、および116の上下の空間に配置された間隔サイズおよび仕事関数材料のタイプは、ナノシートFETデバイスの閾値電圧(Vt)を部分的に定義する。いくつかの実施形態では、犠牲ナノシート層111、113、115、および117の厚さは、約6nmから約20nmの範囲である。
次に、図3Aおよび図3Bは、本開示の例示的な実施形態による、パターンニングされたナノシート積層構造を形成するために、図2のナノシート積層構造110をパターニングすることによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。より具体的には、図3Aおよび図3Bは、図2のナノシート積層構造110をパターニングして、セル内の相補型トランジスタのゲート幅Wを画定する複数のパターニングされたナノシート積層構造110-1、110-2、110-3、および110-4を形成する製造プロセスの次の段階を概略的に示す。図3Aは、中間半導体構造の概略平面図(X-Y平面)であり、図3Bは、図3Aに示す線3B-3Bに沿った中間半導体構造の概略側断面図(X-Z平面)である。
いくつかの実施形態では、ナノシート積層構造110(図2)上にエッチングマスク(例えば、リソグラフィマスクまたはハードマスク)を形成することによってパターニングプロセスが実行され、エッチングマスクは、パターニングされたナノシート積層構造110-1、110-2、110-3、および110-4の画像を含む。次いで、ナノシート積層構造110は、1つまたは複数のドライエッチングプロセス(例えば、反応性イオンエッチング(RIE))のシーケンスを使用してエッチングされ、ナノシート積層構造110の層を通して、埋め込まれた絶縁層105(またはBOX層105)までエッチダウンされ、パターニングされたナノシート積層構造110-1、110-2、110-3、および110-4を形成する。エッチングマスクは、限定されないが、フォトリソグラフィプロセス、または側壁像転写(SIT)プロセス、自己整合ダブルパターニング(SADP)プロセス、自己整合クアドルプルパターニング(SAQP)などのようなマルチパターニングプロセスを含む任意の適切なパターニングプロセスを使用して形成できる。エッチングは、ナノシート積層構造110のエピタキシャル半導体層の材料をエッチングするのに適した化学エッチングを使用した1つまたは複数の順次ドライエッチングプロセスを使用して実行できる。
次に、図4A、図4B、および図4Cは、本開示の例示的な実施形態による、ダミーゲート構造を形成し、FETデバイスのソース/ドレイン素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。特に、図4Aは、中間デバイス構造の概略平面図(X-Y平面)であり、図4Bは、図4Aに示す線4B-4Bに沿った中間デバイス構造の概略側断面図(Y-Z平面)であり、図4Cは、図4Aに示す線4C-4Cに沿って得られた半導体構造の概略側断面図(X-Z平面)である。
特に、図4Aに概略的に示すように、複数のゲート構造G1、G2、およびG3がセルを跨いで形成される。ゲート形成プロセス中、パターニングされたナノシート積層構造110-1、110-2、110-3、および110-4(図3Aに示すようなもの)は、さらに(Y方向に)パターニングされ、セルC1およびC2内の個々のNFETデバイス120-1および120-2のための個々のナノシート積層構造を形成し、セルC1およびC3内の個々のPFETデバイス120-3および120-4のための個々のナノシート積層構造を形成する。ナノシート積層構造110-1、110-2、110-3、および110-4の追加のパターニングは、図4Aおよび図4Bに示すように、セル内の相補型トランジスタのゲート長Lを画定する。
図4A、図4B、および図4Cに示すように、ゲート構造G1、G2、およびG3は、ダミーゲート130(例えば、コンフォーマル酸化物層およびダミーゲート電極層(例えば、犠牲ポリシリコン材料またはアモルファスシリコン材料))を有する。さらに、ゲート構造G1、G2、およびG3は、ゲートキャッピング層132、ゲート側壁スペーサ134、および埋め込み側壁スペーサ136を有する。いくつかの実施形態では、ダミーゲート130は、コンフォーマルダミーゲート酸化物層およびダミーゲート電極層(例えば、犠牲ポリシリコン材料またはアモルファスシリコン材料)を有する。以下でさらに詳細に説明するように、ダミーゲート130はその後、置換金属ゲート(RMG)プロセスの一部として除去され、High-kゲート誘電体材料および金属材料と置換されて、ナノシートFETデバイスのためのHigh-k金属ゲート構造を形成する。
図4A、図4B、および図4Cに示す中間デバイス構造は、当業者に周知の方法を使用して製造される。例えば、図3Aおよび図3Bに示す半導体構造の全面にわたって酸化シリコンの薄いコンフォーマル層が堆積され、酸化シリコンのコンフォーマル層上にポリシリコン(あるいは、アモルファスシリコン)の層がブランケット堆積され、次いで、既知の技術を使用して平坦化される。ハードマスク層は、誘電体材料の層または誘電体材料(例えば、SiN、SiOCN、SiBCN)の複数の層を堆積することによって、ポリシリコン層の平坦化された表面上に形成される。次いで、ハードマスク層をパターニングして、ゲート構造G1、G2、およびG3の画像を定義するゲートキャッピング層132を形成する。次いで、ゲートキャッピング層132をエッチングハードマスクとして利用して、犠牲ポリシリコンおよび酸化物層を、(パターニングされたナノシート積層構造110-1、110-2、110-3、および110ー4のエピタキシャル材料に対して選択的に)BOX層105まで異方性エッチング(例えば、RIE)し、それによってダミーゲート130を形成する。
次いで、ゲート側壁スペーサ134は、半導体構造の全面にわたって誘電体材料のコンフォーマル層を堆積することによって形成される。誘電体材料のコンフォーマル層は、SiN、SiBCN、SiCON、またはFETデバイスの絶縁ゲート側壁スペーサを形成するために一般的に使用される他のタイプのLow-k誘電体材料から形成でき、原子層堆積(ALD)、CVDおよびPVDなどの既知の技術を使用して堆積できる。次いで、誘電体材料のコンフォーマル層は、RIEなどの異方性ドライエッチングプロセスを実行することによってパターニングされ、誘電体材料のコンフォーマル層を垂直方向(Z方向)にエッチダウンする。このエッチングプロセスは、パターニングされたナノシート積層構造110-1、110-2、110-3、および110-4の半導体材料に対して選択的に実行される。エッチングプロセスは、図4A~図4Cに示すように、ダミーゲート130およびゲートキャッピング層132を囲むゲート側壁スペーサ134の形成をもたらす。ゲート側壁スペーサ134は、ナノシートFETデバイスのゲート領域を画定する。ゲート側壁スペーサ134を形成した後、ゲート構造に隣接するソース/ドレイン領域内のナノシート積層構造110-1、110-2、110-3、および110-4の露出部分を、BOX層105の上面までエッチダウンするために、異方性ドライエッチングプロセス(例えば、RIE)が実行される。図4Aに示すように、エッチングプロセスにより、PFETおよびNFETデバイスの個々のナノシートチャネル構造が形成される。
次に、犠牲ナノシート層111、113、115、および117の露出した端部は、ゲート側壁スペーサ134の厚さによって画定される深さまで凹むように、NFETデバイスおよびPFETデバイスの個々のナノシートチャネル構造の犠牲ナノシート層111、113、115、および117の露出した側壁表面を、時限エッチングプロセスを使用して横方向に凹ませる。いくつかの実施形態では、横方向のエッチングプロセスは、アクティブナノシートチャネル層112、114、および116の半導体材料(例えば、Si)およびその他の露出した素子(例えば、ゲートキャッピング層132およびゲート側壁スペーサ134)に対して選択的に、犠牲ナノシート層111、113、115、117の半導体材料(例えば、SiGe)をエッチングするのに適したエッチング溶液を使用した等方性ウェットエッチングプロセスを使用して実行できる。いくつかの実施形態では、等方性ドライプラズマエッチングプロセスは、犠牲ナノシート層111、113、115、および117の露出した側壁表面を、アクティブナノシートチャネル層112、114、および116、およびその他の露出した素子に対して選択的に横方向にエッチングするために実行できる。
製造プロセスの次のフェーズは、NFETおよびPFETデバイスのナノシートチャネル構造の側壁の凹部内に埋め込みゲート側壁スペーサ136を形成することを有する。いくつかの実施形態では、埋め込みゲート側壁スペーサ136は、ゲート側壁スペーサ134を形成するために使用されるのと同じ誘電体材料から形成される。例えば、埋め込みゲート側壁スペーサ136は、SiN、SiBCN、SiCO、SiBCN、SiCON、またはゲート構造G1、G2、およびG3のゲート側壁スペーサ134を形成するために使用される任意の他のタイプの誘電体材料(例えば、5未満のkを有するLow-k誘電体材料、kは比誘電率)から形成できる。いくつかの実施形態では、埋め込みゲート側壁スペーサ136は、凹部が誘電体材料で埋められるまで誘電体材料のコンフォーマル層を堆積し、続いて、エッチバックして余分な誘電体材料をゲート構造および基板から除去することによって形成される。誘電体材料は、凹部が誘電体材料で十分に埋められるように、ALDなどの高度にコンフォーマルな堆積プロセスを使用して堆積される。誘電体材料のコンフォーマル層は、等方性(ウェットまたはドライ)エッチングプロセスを使用してエッチバックして、余分な誘電体材料を除去する一方で、誘電体材料を凹部に残して、埋め込みゲート側壁スペーサ136を形成できる。ウェットエッチングプロセスには、限定されないが、バッファードフッ酸(BHF)、希フッ酸(DHF)、フッ硝酸(HNA)、リン酸、エチレングリコールで希釈したHF(HFEG)、塩酸(HCl)、またはそれらの任意の組み合わせが含まれ得る。
埋め込みゲート側壁スペーサ136の形成に続いて、NFETデバイス120-1および120-2のソース/ドレイン素子122を、第1のエピタキシプロセスを使用して、NFETデバイスのアクティブナノシートチャネル層112、114、および116の露出した側壁表面上にエピタキシャル成長させ、PFETデバイス120-3および120-4のソース/ドレイン素子124を、第1のエピタキシプロセスとは別の第2のエピタキシプロセスを使用して、PFETデバイスのアクティブナノシートチャネル層112、114、および116の露出した側壁表面上にエピタキシャル成長させる。第1のエピタキシプロセスでは、NFETデバイス120-1および120-2のアクティブナノシートチャネル層112、114、および116の露出した側壁表面は、ソース/ドレイン素子122のエピタキシャル成長の種となるための表面積を提供する。第2のエピタキシプロセスでは、PFETデバイス120-3および120-4のアクティブナノシートチャネル層112、114、および116の露出した側壁表面は、ソース/ドレイン素子124のエピタキシャル成長の種となるための表面積を提供する。NFETデバイスのソース/ドレイン素子122が、PFETデバイスのソース/ドレイン素子124の形成前に形成される場合、ソース/ドレイン素子122は、PFETデバイスのソース/ドレイン素子124を形成するために使用されるエピタキシプロセスからソース/ドレイン素子122を保護するために、コンフォーマル誘電体ライナ層およびパターニングされた有機層によって覆われ、逆もまた同様である。
いくつかの実施形態では、図4Aに示すように、エピタキシャル材料が(X方向およびZ方向に)結合し、隣接するゲート構造の間(例えば、隣接するゲートG1とG2の間、および隣接するゲートG2とG3の間)に結合したソース/ドレイン素子122を形成するように、NFETデバイス120-1および120-2のアクティブナノシートチャネル層112、114および116の露出した端部上でのソース/ドレイン素子122のエピタキシャル成長が実行される。同様に、エピタキシャル材料が(X方向およびZ方向に)結合し、隣接するゲート構造の間に結合したソース/ドレイン素子124を形成するように、PFETデバイス120-3および120-4のアクティブナノシートチャネル層112、114、および116の露出した端部上でのソース/ドレイン素子124のエピタキシャル成長が実行される。他の実施形態では、ソース/ドレイン素子122および124のエピタキシャル成長が実行され、隣接するゲート構造間に別個の結合されていないソース/ドレイン素子が形成される。
ソース/ドレイン素子122および124は、CVD、MOCVD、LPCVD、MBE、VPE、LPE、MOMBE、RTCVD、LEPD、UHVCVD、APCVD、または所与のプロセスフローに適した他の既知のエピタキシャル成長技術などの既知の方法を使用してエピタキシャル成長させることができる。トランジスタのソース/ドレイン素子を形成するために利用されるエピタキシャル半導体材料のタイプは、例えば、トランジスタのタイプ(例えば、N型またはP型)、およびアクティブナノシートチャネル層112、114、および116のエピタキシャル材料に依存する。例えば、PFETデバイスの場合、アクティブナノシートチャネル層112、114、および116がエピタキシャルSiから形成される場合、ソース/ドレイン素子124は、エピタキシャルSiGe材料(比較的高いGe濃度を有する)、またはホウ素ドープSiGe(B:SiGe)エピタキシャル材料、または他の適切なエピタキシャル材料から形成できる。一方、NFETデバイスの場合、アクティブナノシートチャネル層112、114、および116がエピタキシャルSiから形成される場合、ソース/ドレイン素子122は、炭素ドープシリコン(Si:C)エピタキシャル材料、またはリンドープシリコン(Si:P)エピタキシャル材料、または他の適切なエピタキシャル材料から形成できる。
ソース/ドレイン素子122および124は、既知の技術を使用してドープできる。例えば、いくつかの実施形態では、ソース/ドレイン素子122および124は、ソース堆積ガス(すなわち、Si含有もしくはGe含有ソースガス、またはその両方)にドーパントガスを添加することによって、エピタキシャル成長プロセス中に「in-situ」ドープされる。例示的なドーパントガスは、P型FET用のホウ素(B)またはガリウム(Ga)含有ガス、またはN型FET用のリン(P)またはヒ素(As)含有ガス(例えば、PHまたはAsHなど)を含み得て、気相中の不純物の濃度が、エピタキシャル成長した半導体材料中の不純物の濃度を決定する。他の実施形態では、ソース/ドレイン素子122および124にドーパントを追加するために、「ex-situ」プロセスが実行され得る。例えば、「ex-situ」プロセスは、イオン注入、気相ドーピング、プラズマドーピング、プラズマ浸漬イオン注入、クラスタドーピング、注入ドーピング、液相ドーピング、固相ドーピング、またはこれらの技術の任意の適切な組み合わせによって実行できる。
いくつかの実施形態では、ソース/ドレイン素子122および124を覆う保護ライナを形成するために、誘電体材料のコンフォーマル層が堆積される。例えば、保護ライナは、ゲートキャッピング層132およびゲート側壁スペーサ134の誘電体材料に対してエッチング選択性を有する、例えば、SiOC、SiCN、SiN、SiBCNなどの誘電体材料から形成できる。
次に、図5Aおよび図5Bは、本開示の例示的な実施形態による、層間誘電体層を形成してゲートカットプロセスを実行し、置換金属ゲートプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。より具体的には、図5Aおよび図5Bは、それぞれ、第1のILD層140を形成してから、隣接するセル間のセル境界領域にゲートカット素子137を形成してゲートG1、G2、G3をカット(分離)するゲートカットプロセスを実行した後の、およびダミーゲート130および犠牲ナノシート層111、113、115および117の代わりにHigh-k金属ゲート150を形成した後の、図4Bおよび図4Cに示す中間構造の概略側断面図である。図5Aおよび図5Bに示す中間デバイス構造は、既知の技術を使用して形成される。例えば、ソース/ドレイン素子122および124の形成に続いて、プロセスフローは、セルC1、C2、およびC3のNFETデバイス120-1および120-2ならびにPFETデバイス120-3および120-4をカプセル化するための第1のILD層140の形成を続ける。いくつかの実施形態では、ILD層140は、半導体構造上に誘電体/絶縁材料のブランケット層を堆積し、ゲートキャッピング層132まで誘電体/絶縁材料の層を平坦化し、それによって、第1のILD層140を形成することによって形成される。
第1のILD層140は、限定されないが、酸化シリコン、窒化シリコン、酸窒化シリコン、SiCOH、SiCH、SiCNH、または他のタイプのシリコンベースのLow-k誘電体(例えば、kが約4.0未満)、多孔性誘電体、既知のULK(ultra-low-k)誘電体材料(kが約2.5未満)、またはそれらの材料の任意の適切な組み合わせを含む、半導体プロセス技術で一般に利用される任意の適切な絶縁/誘電体材料を有し得る。ILD層140の誘電体/絶縁材料は、例えば、ALD、CVD、PECVD、PVD、またはスピンオン堆積などの既知の堆積技術を使用して堆積される。いくつかの実施形態では、誘電体/絶縁材料の層は、CMPなどの標準平坦化プロセスを使用して平坦化され、過剰な誘電体/絶縁体をゲートキャッピング層132の上面まで除去する。
ILD層140の形成に続いて、隣接するセル間のゲート構造を分離するために、セル境界領域でゲートG1、G2、およびG3をカットするゲートカットプロセスが実行される。いくつかの実施形態では、ゲートG1、G2、およびG3は、従来のリソグラフィプロセスおよびエッチングプロセスを使用してカットされ、続いて、誘電体充填プロセスおよび平坦化プロセス(例えば、CMP)が行われる。ゲートカットプロセスにより、図5Bに示すように、ゲートカット素子137が形成される。ゲートカット素子137は、ナノシートチャネル構造(例えば、アクティブナノシートチャネル層112、114、および116の積層)の幅の端部の間に十分な量の空間(ゲート延在領域Gext)が存在するように、目標幅GTで形成される。いくつかの実施形態では、ゲートカット素子137は、ゲートキャッピング層132もしくはゲート側壁スペーサ134、またはその両方と同一または類似の誘電体材料から形成される。
ゲートカットプロセスに続いて、ダミーゲート130および犠牲ナノシート層111、113、115および117を、既知の技術を使用してHigh-k金属ゲート150(例えば、HKMG構造)で置換するために、置換金属ゲートプロセスが実行される。例えば、いくつかの実施形態では、ダミーゲート130は、ダミーゲート130を露出させるために、ゲートキャッピング層132を除去すること、およびダミーゲート130を除去するために、いくつかのエッチングプロセスを実行することを有するプロセスフローを使用して除去される。より具体的には、いくつかの実施形態では、ゲートキャッピング層132は、ダミーゲート130の上面まで半導体構造の表面を(例えば、CMPを介して)平坦化することによって除去される。他の実施形態では、ゲートキャッピング層132(例えば、SiN)の誘電体材料は、ゲート側壁スペーサ134(例えば、SiBCN)、ゲートカット素子137、およびILD層140(例えば、酸化シリコン)の材料に対して選択的にエッチング除去して、下にあるダミーゲート130を露出させることができる。上記で述べたように、ダミーゲート130がそれぞれダミーゲート電極層(例えば、犠牲ポリシリコン層、またはアモルファスシリコン層)およびダミーゲート酸化物層を有するいくつかの実施形態では、ダミーゲート電極およびゲート酸化物層は、既知のエッチング技術および化学エッチングを使用してエッチング除去される。
例えば、ゲート電極層の犠牲ポリシリコン材料は、水酸化アンモニウム(NHOH)、水酸化テトラメチルアンモニウム(TMAH)、またはSF6プラズマを含む適切な化学エッチングを使用した選択的ドライエッチングまたはウェットエッチングプロセスを使用して除去できる。ダミーポリゲート層のエッチングは、例えばダミーゲート酸化物層に対して選択的であり、それによって、アクティブナノシートチャネル層112、114、116がポリエッチングプロセス中にエッチングされないように保護する。ポリシリコン材料が除去された後、例えばアクティブナノシートチャネル層112、114、および116に対して選択的にダミーゲート酸化物層をエッチング除去するために、酸化物エッチングプロセスが実行される。このようにして、アクティブナノシートチャネル層112、114、および116を損傷することなく、ダミーゲート130の犠牲材料(例えば、ダミーポリシリコンおよび酸化物層)をエッチング除去できる。
ダミーゲート130を除去した後、エッチングプロセスを実行して、NFETおよびPFETデバイスのナノシートチャネル構造の犠牲ナノシート層111、113、115、および117を選択的にエッチング除去し、それによって、ナノシートチャネル構造のアクティブナノシートチャネル層112、114、および116を解放し、それによって、側壁スペーサ134の間の領域、およびアクティブナノシートチャネル層112、114、および116の間および隣接する空間にオープンゲート領域を形成する。犠牲ナノシート層111、113、115、および117(例えば、エピタキシャルSiGe層)は、アクティブナノシートチャネル層112、114、および116(例えば、エピタキシャルSi層)に対して選択的にエッチング除去できる。いくつかの実施形態では、犠牲ナノシート層111、113、115、および117のSiGe材料は、アクティブナノシートチャネル層112、114、および116のエピタキシャルSi材料に対して高度に選択的に犠牲ナノシート層111、113、115、および117のエピタキシャルSiGe材料をエッチングするために過酸化水素(H)を含む気相HCl(塩酸)またはウェットエッチング溶液を使用して(高いエッチング選択性で)選択的にエッチングできる。気相HCl(塩酸)は、例えば、アクティブナノシートチャネル層112、114、および116が、犠牲ナノシート層111、113、115、および117のエピタキシャルSiGe材料よりも低いGe濃度を有するエピタキシャルSiまたはエピタキシャルSiGeから形成される場合に、高いエッチング選択性を提供する。
次に、置換金属ゲート150(例えば、High-k金属ゲート)は、(i)アクティブナノシートチャネル層112、114、および116の表面をコンフォーマルに覆うために、半導体構造の露出した表面上にHigh-kゲート誘電体材料の1つまたは複数のコンフォーマル層を堆積させることと、(ii)仕事関数金属の1つまたは複数の層を堆積して、High-kゲート誘電体を覆い、オープンゲート領域の残りの空間を埋めて、金属ゲート電極層を形成することを有するプロセスによって形成される。いくつかの実施形態では、High-kゲート誘電体層は、好ましくは、約3.9以上の誘電率を有するHigh-k誘電体材料から形成される。例えば、ゲート誘電体材料には、限定されないが、酸化ハフニウム、酸化ハフニウムシリコン、酸窒化ハフニウムシリコン、酸化ハフニウムジルコニウムなどの金属酸化物、およびそれらの窒化膜が含まれ得る。他の実施形態では、High-k誘電体は、酸化ランタン、酸化ランタンアルミニウム、酸化ジルコニウム、酸化シリコンジルコニウム、酸窒化ジルコニウムシリコン、酸化タンタル、酸化チタン、酸化バリウムストロンチウムチタン、酸化バリウムチタン、酸化ストロンチウムチタン、酸化イットリウム、酸化アルミニウム、酸化タンタルスカンジウム鉛、および亜鉛ニオブ酸鉛を有し得る。High-k誘電体材料は、ランタン、アルミニウムなどのドーパントをさらに含み得る。本発明の1つの実施形態では、コンフォーマルHigh-kゲート誘電体層は、約0.5nm~約2.0nmの範囲の厚さで形成され、これは対象とする用途に応じて変化する。High-kゲート誘電体材料のコンフォーマル層は、ゲート誘電体材料の高いコンフォーマリティが可能になる、例えば、ALDなどの既知の方法を使用して堆積される。
当技術分野で知られているように、High-kゲート誘電体材料の使用は、そのような誘電体材料が典型的にはシリコン層と良好にインターフェースしないという点で問題となり得る。例えば、High-kゲート誘電体材料はシリコン表面を不動態化せず、その結果、多数の界面トラップおよび電荷、ならびにデバイス性能を低下させる可能性のあるその他の問題が発生する。したがって、1つの例示的な実施形態では、High-k誘電体材料を堆積してHigh-kゲート誘電体層を形成する前に、アクティブナノシートチャネル層112、114、および116の露出したシリコン表面を洗浄するために、チャネル前洗浄プロセスが実行され、次いで、続いてアクティブナノシートチャネル層112、114、116の露出した表面上に極薄界面酸化シリコン層を成長させるための酸化プロセスが行われる。界面酸化シリコン層の形成は任意選択のステップであり、本発明の他の実施形態では、HKMG構造のHigh-k誘電体材料は、最初に薄い界面酸化物層を形成することなく、アクティブナノシートチャネル層の露出したシリコン表面上に形成できることを理解されたい。
いくつかの実施形態では、界面酸化シリコン層は、オゾンを含むオゾン化脱イオン水中での化学酸化プロセス、および適切な酸化温度、脱イオン水中のオゾン濃度、および化学酸化プロセス時間を使用して形成され、薄い界面酸化シリコン層を形成する。界面層は、アクティブナノシートチャネル層112、114、および116の露出したシリコン表面を酸化して、約5オングストロームから約10オングストロームの範囲(すなわち、約0.5nmから約1nmまで)の範囲の厚さを有する薄い界面酸化シリコン層を形成することによって形成される。
いくつかの実施形態では、金属ゲート150は、High-kゲート誘電体層上にコンフォーマルに堆積された1つまたは複数の仕事関数金属層で形成される。仕事関数金属層は、限定されないが、窒化チタン(TiN)、窒化タンタル(TaN)、およびAl含有合金(例えば、TiAlC、TiAl、およびAlC、またはその窒化合金)を含む、1つまたは複数のタイプの金属材料を有し得る。他の実施形態では、仕事関数金属層は、Zr、W、Hf、Ti、Al、Ru、Pa、ZrAl、WAl、TaAl、HfAl、TaC、TiC、TaMgCの組成物または合金、およびFETデバイスの目標仕事関数を得るために一般的に使用される仕事関数金属の他のタイプ、組成物、または合金を含む金属材料を有し得る。仕事関数金属層は、堆積された仕事関数金属層の高いコンフォーマリティを可能にする、ALD、CVDなどの既知の方法を使用してコンフォーマルに堆積される。
いくつかの実施形態では、仕事関数金属層は、アクティブナノシートチャネル層112、114、および116の上下の空間を完全に埋める。実際、アクティブナノシートチャネル層112、114、および116間の初期間隔が比較的小さい場合(例えば、7nmから10nm)、High-k誘電体層の形成後、2つ以上の仕事関数金属層の積層のコンフォーマル堆積により、空間がゲート誘電体材料および仕事関数金属で埋まるように、アクティブナノシートチャネル層112、114、および116の上下の空間を埋める(すなわち、ピンチオフ)ことができる。これは、Lが約15nm以下である短チャネル長ナノシートFETデバイスには十分である。
さらに、いくつかの実施形態では、FETデバイスのアクティブナノシートチャネル層116の上のオープンゲート領域の残りの部分は、アクティブナノシートチャネル層116の上のオープンゲート領域が仕事関数金属層で完全に埋められるまで、最後に堆積された仕事関数金属層の堆積プロセスを継続することによって、仕事関数金属で埋めることができる。他の実施形態では、オープンゲート領域の残りの部分をタングステン、ルテニウム、コバルト、銅、アルミニウムなどの低抵抗金属材料で埋めて、仕事関数金属から離れた金属ゲート電極を形成できる。
金属ゲート150(例えば、HKMG構造)を形成する誘電体材料および金属材料の堆積に続いて、CMPプロセスを実行して、半導体構造の表面をILD層140まで研磨し、それによって、ILD層140上のゲート誘電体層、仕事関数層、およびゲート電極層の過剰部分を除去する。金属ゲート150の形成に続いて、いくつかの実施形態では、第2のILD層142を形成する前に、金属ゲート150の上面を覆うためにゲートキャッピング層138が形成される。例えば、金属ゲート150の形成に続いて、エッチングプロセスを実行して、金属ゲート150の上面をILD層140の上面より下の目標レベルまで凹ませることができる。次いで、図5Aおよび図5Bに示すように、誘電体材料の層を半導体デバイス構造の表面上に堆積させて、金属ゲート150の凹んだ表面の上の領域を誘電体材料で埋めて、半導体デバイス構造をILD層140の表面まで平坦化して、過剰な誘電体材料を除去し、それによってゲートキャッピング層138を形成する。ゲートキャッピング層138は、SiNまたはSiBCNなどの誘電体材料から形成できる。
次に、図6A、図6B、および図6Cは、本開示の例示的な実施形態による、前面ゲートコンタクトおよびソース/ドレインコンタクトを形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。図6Aは、第1のILD層140上に第2のILD層142を形成し、セルC1内のそれぞれの対応する金属ゲート構造にゲートコンタクト151、152、および153を形成し、前面ソース/ドレインコンタクト160、161、162、および163を形成することから得られる中間デバイス構造の概略平面図である。図6Bは、図6Aの線6B-6Bに沿った中間デバイス構造の概略側断面図であり、図6Cは、図6Aの線6C-6Cに沿った中間デバイス構造の概略側断面図である。図6Aに示すように、ゲートコンタクト151、152、および153は、ゲート構造G1、G2、およびG3を跨いでY方向に延在する金属トラックT1~T8(図1A)の所望のものにゲートコンタクト151、152、および153が接続できるように、互いにオフセットして形成される。図6A、図6B、および図6Cに示す中間デバイス構造は、任意の適切なミドルオブライン(MOL)プロセスモジュールおよび材料を使用して形成され、MOL前面ゲートコンタクト151、152、および153ならびに前面ソース/ドレインコンタクト160、161、162、および163を形成する。
例えば、前面ゲートコンタクト151、152、および153、および前面ソース/ドレインコンタクト160、161、162、および163は、第1および第2のILD層140および142をパターニング/エッチングして、ILD層142内に金属ゲート150までのビア開口部を形成し、ソース/ドレイン素子122および124の一部を露出させる(およびソース/ドレイン素子122および124上の保護ライナの露出部分をエッチング除去する)ために、ILD層142および140内にビア開口部を形成することを有するプロセスによって形成され、次いで、ビア開口部を金属材料で埋めて、前面ゲートコンタクト151、152および153,ならびに前面ソース/ドレインコンタクト160、161、162、および163を形成する。いくつかの実施形態では、ソース/ドレインコンタクト160、161、162、および163を形成する前に、ビア開口部内のエピタキシャルソース/ドレイン素子の露出した表面上にシリサイドコンタクト層を形成するために、サリサイド化プロセスがビア開口部を金属材料で埋める前に実行される。一般に、サリサイドプロセスは、アニーリングプロセスによって金属シリサイドコンタクトを形成するために、金属薄膜とソース/ドレイン素子のエピタキシャル材料との反応を伴う。
いくつかの実施形態では、ゲートコンタクト151、152、および153,ならびにソース/ドレインコンタクト160、161、162、および163は、ビア開口部の表面を覆うために薄いコンフォーマル拡散バリア層を堆積させ、続いて、拡散バリア層上に金属材料を堆積させてビア開口部を埋めることによって形成される。いくつかの実施形態では、金属材料は、限定されないが、タングステンまたはコバルトを含む、MOLコンタクトを形成するための任意の適切な材料を有する。拡散バリア層は、前面MOLコンタクトを形成するために使用される金属材料を酸化する可能性がある、例えば、ILD層140および142からの酸素の外方拡散を防ぐと同時に、コンタクトの金属材料がILD層140および142の周囲の材料に拡散するのを防ぐ。例えば、拡散バリア層は、薄いコンフォーマル層チタン(Ti)、窒化チタン(TiN)、タンタル(Ta)、窒化タンタル(TaN)、タングステン(W)、窒化タングステン(WN)、または、前面MOLコンタクトを形成する金属材料の外方拡散を防ぐための拡散バリアとしての使用に適している任意の他の材料であり得る。
次に、図7は、本開示の例示的な実施形態による、図6A、図6B、および図6Cの中間デバイス構造の前面に相互接続構造(例えば、BEOL相互接続構造)を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。より具体的には、図7は、BEOL相互接続構造170がILD層142上に形成される製造プロセスの次の段階を概略的に示す。上記で述べたように、BEOL相互接続構造170は、複数のレベルの配線および異なる配線レベル間で配線を接続するビア構造を有する。例えば、BEOL相互接続構造170は、第1のレベルのビア174および175、ならびに金属(信号)トラックT1~T8が形成される誘電体層171および172、ならびに第1のレベルのメタライゼーション上に配置されたより高いBEOLメタライゼーションレベル173を有する。BEOL相互接続構造は、任意の適切なBEOLプロセスモジュールを使用して製造でき、その詳細は当業者には周知である。
次に、図8は、本開示の例示的な実施形態による、背面ソース/ドレインコンタクトおよび図7の中間デバイス構造の背面上に完全な背面電源供給構造を有する背面相互接続構造を形成する背面プロセスモジュールを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。背面プロセスモジュールは、背面プロセスを容易にするためにハンドラ基板178(例えば、ハンドラウェーハ)をBEOL相互接続構造170に結合することから始まる。いくつかの実施形態では、ハンドラ基板178は、高分子結合技術(例えば、圧着または熱圧着)または他の適切な技術を使用して、BEOL相互接続構造170に一時的に結合される。ハンドラ基板178は、半導体基板またはガラス基板、または所与の用途に適した任意のタイプの基板材料を有し得る。
背面プロセスモジュールの次の段階は、半導体基板102を除去してBOX層105の背面表面を露出させることを有する。半導体基板102は、機械的研削、研磨、エッチング、または研削、研磨、およびエッチングの任意の組み合わせなどの既知の技術を使用して除去される。例えば、背面研削/研磨プロセスを最初に実行して半導体基板102の大部分を除去し、続いて半導体基板102の残りの部分を選択的にエッチングしてBOX層105の背面表面を露出させるエッチングプロセスを実行する。
次に、BOX層105をパターニングして、いくつかのNFETデバイスのいくつかのソース/ドレイン素子122を露出させ、セルC1、C2、およびC2のいくつかのPFETデバイスのいくつかのソース/ドレイン素子134を露出させるために、ビアホールを形成する。ビアホールは、金属材料で埋められ、例えば、背面ソース/ドレインコンタクト181および182を形成する。次いで、背面電源供給構造190は、背面誘電体層192(例えば、酸化シリコン、Low-k誘電体材料など)をBOX層105上に形成し、背面誘電体層192をパターニングしてトレンチを形成し、トレンチを金属材料で埋めて、例えば、背面電源レール194および195を形成することを有するプロセスによって構築される。背面ソース/ドレインコンタクト181および182、ならびに背面電源レール194および195は、任意の適切な金属材料を使用して形成できる。さらに、エッチングされたビアホールおよびトレンチは、拡散バリア層および接着層として機能する1つまたは複数の薄いコンフォーマルライナ層で覆うことができる。背面配電網198は、例えば、BEOL製造技術を使用して、背面誘電体層192および背面電源レール上に形成される。いくつかの実施形態では、背面配電網198は、FEOL層の正および負の電源電圧能動構成要素を分配するように構成される。背面配電網198の形成により、図1Aおよび図1Bに示すような半導体集積回路デバイス100が得られる。いくつかの実施形態では、ハンドラ基板178は除去されず、最終構造の一部として残る。
図9は、本開示の別の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイスの概略側断面図である。より具体的には、図9は、セルC1のPFETデバイス120-3のソース/ドレイン素子124を隣接するセルC3の金属トラックT8に接続できるようにするために、前面ソース/ドレインコンタクト161はローカル(横方向)相互接続ワイヤ261に接続されることを除いて、図1Aおよび図1Bの半導体集積回路デバイス100に類似する半導体集積回路デバイス200の概略側断面図である。
図9の例示的な実施形態は、背面ソース/ドレインコンタクトによって背面電源供給構造190に接続される(したがって、前面ソース/ドレインコンタクトを有しない)ソース/ドレイン素子素の上の前面MOL層内に存在する未使用の空間を利用する。この点での、セルC1、C2、およびC3は、1つのセルのソース/ドレイン素子を隣接するセルの金属(信号)トラックに接続できるようにするために、セル境界(例えば、境界BおよびB)を跨いで延在するMOL層の横配線で形成できる。図9の実施形態は、ローカルMOL輻輳を回避するためのローカル相互接続ルーティングのための柔軟なフレームワークを提供し、4トラックセルC1、C2、およびC3は、他の隣接するセル内の追加の信号トラックを利用できる。
上記で論じたように、本開示の例示的な実施形態は、前面ソース/ドレインコンタクトを埋め込み電源線に接続するためのFEOLビアコンタクトの使用を排除するために、完全な背面電源供給フレームワークと併せて背面ソース/ドレインコンタクトを実装し、これにより、隣接するセル間のセル間間隔Sの大幅なスケーリングをし、したがって、セル高さを減少させることが可能になる。隣接するセル間のセル間間隔Sのさらに積極的なスケーリングに対するさらなる課題は、ゲートカットの公差および「ゲート延在」要件に関連付けられた制限である。当技術分野で知られているように、ナノシートFETデバイスの場合、ゲート延在部(Gext)は、ゲート幅W(またはナノシート幅)を画定する積層ナノシートチャネル構造の側面を越えて延在するゲート材料の距離/長さを指す。
例えば、上記で論じたように、図5Bは、ナノシートFETデバイスのゲート延在部Gextが、ナノシート積層構造の幅の端部とゲートカット素子137の側壁との間に存在する空間の長さを示すことを示す。ゲート延在部Gextは、ゲートカットプロセスにより形成されるゲートカット素子137のサイズ(GT)および位置合わせに依存する。図5Bにさらに示すように、隣接するセルC2およびC1内のNFETデバイス120-1および120-2の間のセル間間隔Sは、ゲートカット素子137の幅(GT)および隣接するNFETデバイス120-1および120-2のゲート延在部Gextに等しい。同様に、隣接するセルC1およびC3内のPFETデバイス120-3および120-4の間のセル間間隔Sは、ゲートカット素子137の幅(GT)および隣接するPFETデバイス120-3および120-4のゲート延在部Gextに等しい。
セル間間隔Sが拡大縮小するにつれて、ゲートカットGTを適切に位置合わせして、ナノシートFETデバイスの適切な動作に十分なゲート延在部Gextが存在することを保証することがより困難になる。理想的には、ゲート延在部Gextが10nmで、ゲートカットGTが15nmの場合、約35nmのセル間間隔が望ましい。しかし、ゲートカットプロセスの結果として形成されるゲートカット素子137のサイズおよび位置合わせに関するゲートカットGTの公差のために、例えば、約43nmの目標セル間間隔は、ゲートカットGTがわずかにずれている場合、ゲート延在部が10nm以上になるようにするには、14nmの目標ゲート延在部Gextおよび15nmの目標ゲートカットGTが必要である。以下でさらに詳細に説明するように、本開示の例示的な実施形態は、ゲート延在部Gextのサイズ、および隣接するナノシートFETデバイスのナノシート積層間の誘電体分離の位置合わせを精密に制御するための技術を含む。
図10Aおよび図10Bは、本開示の別の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイス300の概略図である。半導体集積回路デバイス300は、上記で論じたように、半導体集積回路デバイス300が、隣接するセルの隣接するFETデバイスのゲート延在部Gextのサイズ、および隣接するセルの隣接するFETデバイスのナノシートチャネル積層間の誘電体分離のサイズを精密に制御するための自己整合誘電体分離構造を有し、それによって、隣接するセル間のセル間間隔を精密に制御することを除いて、半導体集積回路デバイス100(図1Aおよび図1B)と同様である。
図1Aの例示的な半導体集積回路100と同様に、半導体集積回路デバイス300は、複数のセルC1、C2、およびC3を有し、セルC1、C2、およびC3は、BOX層305上に配置される複数のナノシートFETデバイス320-1、320-2、320-3、および320-4を有する。いくつかの実施形態では、ナノシートFETデバイス320-1および320-2はNFETデバイスを有し、ナノシートFETデバイス120-3および120-4はPFETデバイスを有する。NFETデバイス320-1および320-2は、ソース/ドレイン素子322を有し、PFETデバイス320-3および320-3は、ソース/ドレイン素子324を有する。さらに、例示的な実施形態では、ナノシートFETデバイス320-1、320-2、320-3、および320-4はそれぞれ、3つのアクティブナノシートチャネル層112、114、および116を有する積層ナノシートチャネル構造を有する。
さらに、図1Aの例示的な半導体集積回路100と同様に、図10Aおよび図10Bに示す半導体集積回路デバイス300の前面は、ILD層340、High-k金属ゲート350(ナノシートFETデバイス320-1、320-2、320-3、および320-4の積層ナノシートチャネル構造をカプセル化する)、前面ソース/ドレインコンタクト360および361、ならびにBEOL相互接続構造370をさらに有する。BEOL相互接続構造370は、第1のメタライゼーションレベルを有する誘電体層371および372および、第1のレベルのメタライゼーション上に配置された追加のBEOLメタライゼーションレベル373を有する。誘電体層371は、その中に形成された複数のビアコンタクト374、375、376、377、および378を有し、誘電体層472は、複数の金属トラックT1、T2、T3、T4、T5、T6、T7、およびT8を有する第1のメタライゼーションレベルM1(またはM0またはMintと示されることもある初期メタライゼーションレベル)を有する。いくつかの実施形態では、金属トラックT1~T8は、ナノシートFETデバイス320-1、320-2、320-3、および320-4への、からの、およびそれらの間で信号を分配するローカル相互接続を提供し、一方、BEOL相互接続構造370の上部金属レベル373は、セミグローバル配線およびグローバル配線を有する。図10Aに示すように、ビアコンタクト374および375は、それぞれの対応する前面ソース/ドレインコンタクト360および361を、第1のメタライゼーションレベルのそれぞれの金属トラックT3およびT6に接続する。さらに、図10Bに示すように、ビアコンタクト376、377、および378は、それぞれの対応する金属ゲート150を、第1のメタライゼーションレベルのそれぞれの金属トラックT1、T4、およびT8に接続する。いくつかの実施形態では、金属ゲート350はHigh-k金属ゲート構造を有する。
さらに、図1Aの例示的な半導体集積回路100と同様に、図10Aおよび図10Bに示す半導体集積回路デバイス300の背面は、(BOX層105に形成される)背面ソース/ドレインコンタクト381および382、ならびに完全な背面電源供給構造390を有する。完全な背面電源供給構造390は、BOX層305上に形成された背面誘電体層392、背面誘電体層392内に形成された複数の背面電源レール394および396、ならびに背面配電網398を有する。背面ソース/ドレインコンタクト381は、セルC2のNFETデバイス320-1のソース/ドレイン素子322を背面電源レール394に接続し、背面ソース/ドレインコンタクト382は、セルC3のPFETデバイス320-4のソース/ドレイン素子324を背面電源レール394に接続する。背面配電網398は、正の電源電圧(例えば、VDD)および負の電源電圧(例えば、VSS、グランド(GND)=0V)を背面電源レール394および396に分配するように構成される1つまたは複数のレベルの配線を有する。例えば、例示的な実施形態では、背面配電網398は、負の供給電圧(VSS)を背面電源レール394に接続し、正の供給電圧(VDD)を背面電源レール396に接続する。
さらに図10Aおよび図10Bに示すように、半導体集積回路デバイス300は、複数の自己整合誘電体分離素子315および隣接するセル内のナノシートFETデバイスのゲート構造350を集合的に分離するように機能する上部ゲートカット素子355を有する。自己整合誘電体分離素子315は、ナノシートチャネル層112、114、および116の積層上に配置されたより広い上部およびナノシートチャネル層112、114、および116の積層間に配置されたより狭い下部を有する。上部ゲートカット素子355は、隣接するセル間のセル境界領域内の自己整合誘電体分離素子315と位置合わせして形成され、セル境界領域内の自己整合誘電体分離素子315のより広い上部に形成され、異なるセル内の金属ゲート電極350を分離する。
図10Bに示すように、自己整合誘電体分離素子315は、ナノシートFETデバイス320-1、320-2、320-3、および320-4のゲート延在部Gextのサイズを精密に制御するように機能する。さらに、図10Bに示すように、セル境界領域内の自己整合誘電体分離素子315のより広い上部は、隣接するセル間のセル間間隔Sに等しい幅を有し、セル境界領域内の自己整合誘電体分離素子315のより狭い下部は、隣接するセルの隣接するFETデバイスのナノシートチャネル層の隣接する積層間の金属ゲート材料の明確に画定された誘電体分離(または下部ゲートカット)を提供する。セル境界領域内の自己整合誘電体分離素子315のより狭い下部は、隣接するセルの隣接するFETデバイス間の誘電体分離の制御された間隔Dを提供し、Dは、上部ゲートカット素子355の幅によって画定される上部ゲートカットサイズよりも小さい、より小さな臨界寸法(例えば、8nm)を有し得る下部ゲートカットサイズを表す。この構成により、Gextは、以下でさらに詳細に論じる技術を使用して精密に制御できるため、セル間間隔S、S=D+2Gextの積極的なスケーリングが可能になる。例えば、非限定的な例示的な実施形態では、Gextは約10nm(以下)であり、Dは約8nm(以下)であり、その結果、セル間間隔Sは約28nm(以下)になる。
図11~図16Cは、本開示の別の例示的な実施形態による、図10Aおよび図10Bの半導体集積回路デバイス300を製造するための方法を概略的に示す。はじめに、図11は、半導体基板302、絶縁層305、絶縁層305上に配置された複数のパターニングされたナノシート積層構造310-1、310-2、310-3、および310-4、およびパターニングされたナノシート積層構造310-1、310-2、310-3、および310-4の上に配置されたハードマスク層306を有する、製造の中間段階における半導体集積回路デバイス300の中間デバイス構造の概略側断面図(X-Z平面)である。上記で論じた例示的な実施形態と同様に、パターニングされたナノシート積層構造310-1、310-2、310-3、および310-4はそれぞれ、犠牲ナノシート層111、113、115、および117、ならびにアクティブナノシートチャネル層112、114、および116を含む、エピタキシャル半導体層111、112、113、114、115、116、および117の積層を有する。
ハードマスク層306を利用して、初期ナノシート積層構造(例えば、図2に示すようなナノシート積層構造110)をパターニングし、セル内のFETデバイスのゲート幅Wを画定する、パターニングされたナノシート積層構造310-1、310-2、310-3、および310-4を形成する。図11の例示的な実施形態では、パターニングされたナノシート積層構造310-1と310-2の間、およびパターニングされたナノシート積層構造310-3と310-4の間のセル境界のセル間間隔Sは、約28nm(以下)にスケーリングされ得る。図11の中間構造は、図2、図3A、および図3Bに関連して上記で論じたのと同一または類似の材料および技術を使用して形成され、その詳細は繰り返さない。
次に、図12は、本開示の例示的な実施形態による、パターニングされたナノシート積層構造310-1、310-2、310-3、および310-4の側壁に犠牲スペーサ層304を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。犠牲スペーサ層304は、目標ゲート延在長さGextに等しい厚さで形成される。いくつかの実施形態では、犠牲スペーサ層304は、(i)図11の中間デバイス構造上に犠牲材料のコンフォーマル層を堆積させることと、(ii)犠牲スペーサ層304を形成するためにコンフォーマル層犠牲材料を異方性エッチングすることとを有するプロセスによって形成される。
いくつかの実施形態では、犠牲材料のコンフォーマル層は、原子層堆積(ALD)プロセスを使用して堆積されるSiGe合金材料を有する。SiGe材料の使用は、犠牲スペーサ層304が、後続のプロセス中に犠牲ナノシート層111、113、115、および117と共に選択的にエッチング除去され、アクティブナノシートチャネル層112、114、および116を解放し、金属ゲート350を形成することを可能にする。ALDの使用は、犠牲材料の高コンフォーマル層を堆積し、したがって、犠牲材料のコンフォーマル層の厚さを目標ゲート延在長さGextに精密に制御することを可能にする。例えば、いくつかの実施形態では、犠牲スペーサ層304は、約10nmの厚さで形成される。目標ゲート延在長さGextに等しい厚さを有する犠牲材料のコンフォーマル層の堆積に続いて、異方性エッチングプロセス(例えば、反応性イオンエッチング(RIE)プロセス)が、構造の側面上の犠牲材料のコンフォーマル層の水平部分を完全に除去し、ハードマスク層306上の犠牲材料のコンフォーマル層の垂直部分を、図12に示すように、パターニングされたナノシート積層構造310-1、310-2、310-3、および310-4の頂部の上にある目標レベルまで凹ませるために、実行される。
次に、図13は、本開示の例示的な実施形態による、パターニングされたナノシート積層構造310-1、310-2、310-3、および310-4の間に自己整合誘電体分離素子315を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。いくつかの実施形態では、自己整合誘電体分離素子315は、誘電体材料の層を堆積させて、パターニングされたナノシート積層構造310-1、310-2、310-3、および310-4の間の空間を誘電体材料で埋めることによって形成され、続いて、図13に示すように、ハードマスク層302の上面まで過剰な誘電体材料を除去するための平坦化プロセス(例えば、CMP)が行われる。いくつかの実施形態では、自己整合誘電体分離素子315は、ハードマスク層の誘電体材料に対してエッチング選択性を有する異なる誘電体材料から形成される。例えば、ハードマスク層302がSiNから形成されると仮定すると、自己整合誘電体分離素子315は、Low-kSiC材料またはLow-kSiOC材料などを使用して形成できる。
次に、図14A、図14B、および図14Cは、本開示の例示的な実施形態による、ダミーゲート構造を形成してのFETデバイスのソース/ドレイン素子を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。特に、図14Aは、中間デバイス構造の概略平面図(X-Y平面)であり、図14Bは、図14Aに示す線14B-14Bに沿った中間デバイス構造の概略側断面図(X-Z平面)であり、図14Cは、図14Aに示す線14C-14Cに沿って得られた半導体構造の概略側断面図(Y-Z平面)である。特に、図14Aに概略的に示すように、複数のダミーゲート構造G1、G2、およびG3がセルを跨いで形成される。上記の図4A~図4Cに示す例示的な実施形態と比較して、ゲート構造G1、G2、G3は、セル境界領域でまだカットされておらず、別個のゲート構造を形成していない。ゲート形成プロセス中、パターニングされたナノシート積層構造310-1、310-2、310-3、および310-4(図13に示すように)は、さらに(Y方向に)パターニングされ、セルC1およびC2内の個々のNFETデバイス320-1および320-2のための個々のナノシート積層構造、およびセルC1およびC3内の個々のPFETデバイス320-3および320-4のための個々のナノシート積層構造を形成する。ナノシート積層構造310-1、310-2、310-3、および310-4の追加のパターニングは、図14Aおよび図14Cに示すように、セル内のトランジスタのゲート長Lを画定する。
図14A、図14B、および図14Cに示すように、ゲート構造G1、G2、およびG3は、ダミーゲート330(例えば、コンフォーマル酸化物層およびダミーゲート電極層(例えば、犠牲ポリシリコン材料またはアモルファスシリコン材料))を有する。さらに、ゲート構造G1、G2、およびG3は、ゲートキャッピング層332、ゲート側壁スペーサ334、および埋め込み側壁スペーサ336を有する。埋め込みゲート側壁スペーサ136の形成に続いて、NFETデバイス320-1および320-2のソース/ドレイン素子322を、第1のエピタキシプロセスを使用して、NFETデバイス320-1および320-2のアクティブナノシートチャネル層112、114、および116の露出した側壁表面上にエピタキシャル成長させ、PFETデバイス320-3および320-4のソース/ドレイン素子324を、第2のエピタキシプロセスを使用して、PFETデバイス320-3および320-4のアクティブナノシートチャネル層112、114、および116の露出した側壁表面上にエピタキシャル成長させる。図14A、図14B、および図14Cに示す中間デバイス構造は、図4A、図4B、および図4Cに関連して上記で論じたのと同一または類似の方法を使用して製造でき、その詳細は繰り返さないことを理解されたい。
図14A、図14B、および図14Cに示す中間デバイス構造を形成するためのプロセスフローは、ゲートカットプロセスが、セル境界を跨ぐゲート構造G1、G2、およびG3に対してまだ実行されず、別個のダミーゲート構造を形成するという点で、図4A、図4B、および図4Cに関連して上記で説明したプロセスフローとは異なる。例えば、セルC1、C2、およびC3が別個のダミーゲート構造130を有する図4Cに示す例示的な実施形態と比較して、図14Bの例示的な実施形態は、セルC1、C2、およびC3がゲートカットプロセスに起因する別個のダミーゲート構造130を有することを示す。しかし、図14Bに示すように、自己整合誘電体分離素子315は、本質的に、(i)隣接するセルC2およびC1の隣接するNFETデバイス320-1および320-2の積層ナノシートチャネル層間の明確に画定されたゲートカットと、(ii)セルC1、C2、およびC3が製造プロセスのこの段階でカットされていないダミーゲート330を共有しているにもかかわらず、隣接するセルC1およびC3の隣接するPFETデバイス320-3および320-4の積層ナノシートチャネル層間の明確に画定されたゲートカットとを提供する。
次に、図15Aおよび図15Bは、本開示の例示的な実施形態による、層間誘電体層を形成してダミーゲートを金属ゲートで置換するために置換金属ゲートプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。より具体的には、図15Aおよび図15Bは、第1のILD層340を形成し、ダミーゲート330の代わりに金属ゲート350を形成した後の、それぞれ図14Bおよび図14Cに示す中間構造の概略側断面図である。いくつかの実施形態では、図15Aおよび図15Bに示す中間デバイス構造は、図5Aおよび図5Bに関連して上記で説明したのと同じ材料およびプロセスフローを使用して形成され、その詳細は繰り返さない。
図15Aに示すように、金属ゲート350を形成する前の置換金属ゲートプロセス中に、犠牲スペーサ層304は、犠牲ナノシート層111、113、115、および117と共にエッチング除去され、アクティブナノシートチャネル層112、114、および116を解放し、アクティブナノシートチャネル層112、114、および116をカプセル化する金属ゲート350を形成するために、金属材料で埋められた明確に画定されたゲート延在領域Gextを形成する。この構成では、上記で述べたように、自己整合誘電体分離素子315および犠牲スペーサ層304の形成によって、隣接するセルC1とC2、およびC1とC3の間で、明確に画定され、高度にスケーリングされたセル間間隔Sが実現される。図15Aに示すように、置換金属ゲートプロセスに続いて、セルC1、C2、およびC3は、製造プロセスのこの段階でカットされていない金属ゲート350を共有する。
次に、図16A、図16B、および図16Cは、本開示の例示的な実施形態による、隣接するセル内のナノシートFETデバイスの金属ゲート構造を分離するために、上部ゲートカット素子を形成するレイトゲートプロセスを実行することによって構築される、半導体集積回路デバイスの次の中間構造の概略図である。より具体的には、図16Aは、異なるセルC1、C2、およびC3内の金属ゲート350を分離するためにゲート構造G1、G2、およびG3のセル境界領域内に形成される複数の上部ゲートカット素子355を示す中間デバイス構造の概略平面図である。図16Bは、図16Aの線16B-16Bに沿った中間デバイス構造の概略側断面図であり、図16Cは、図16Aの線16C-16Cに沿った中間デバイス構造の概略側断面図である。
図16Bおよび図16Cに示すように、上部ゲートカット素子355は、セル境界領域内の自己整合誘電体分離素子素315と位置合わせして形成される。上部ゲートカット素子355は、図16Aおよび図16Bに示すように、置換金属ゲートプロセスに続いてゲート構造G1、G2、およびG3に沿って形成される連続金属ゲート電極350をカットし、それによって、別個の金属ゲート電極を形成するように構成される。このレイトゲートカットプロセスにより、上部ゲートカット素子355は、自己整合誘電体分離素子315の下部のより狭い部分および、明確に画定されたゲート延在領域Gextによって、隣接するセルC1とC2、およびC1とC3の間に存在する明確に画定され、高度にスケーリングされたセル間間隔Sに影響を与えることなく、隣接するセル内のゲート電極350を分離するように機能する。したがって、上部ゲートカット素子355は、公差を緩和して製造できる。
いくつかの実施形態では、上部ゲートカット素子355は、(i)図15Aおよび図15Bに示す中間デバイス構造上にエッチングマスクを形成することであって、エッチングマスクは、セル境界領域内の自己整合誘電体分離素子315の上部に位置合わせされる開口部を有する、形成することと、(ii)金属ゲート350の露出部分(エッチングマスク開口部を通して露出される)を、セル境界領域内の自己整合誘電体分離素子315の上面までエッチングすることと、(iii)金属ゲート350内のエッチングされたトレンチを誘電体材料で埋めるために、ILD層340の上に誘電体材料の層を堆積させることと、(iv)平坦化プロセス(例えば、CMP)を実行して、過剰な誘電体材料をILD層340まで除去することとを有するプロセスによって作成される。いくつかの実施形態では、上部ゲートカット素子355は、SiN、SiOCN、SiBCN、SiCまたはSiOCなどの誘電体材料、または他の適切な誘電体材料などから形成される。
レイトゲートカットプロセスに続いて、前面MOLソース/ドレインコンタクト360および361、ならびにBEOL相互接続構造370を形成するために、追加の前面プロセスが実行され、続いて、BOX層105内に背面ソース/ドレインコンタクト381および382、ならびに完全な背面電源供給構造390を形成するための背面プロセスが行われ、図10Aおよび図10Bに示す半導体集積回路デバイス300が得られる。いくつかの実施形態では、前面および背面プロセスは、図6A~図6C、図7、図8、および図9に関連して上記で論じたのと同一または類似の材料および技術を使用して実行され、その詳細は繰り返さない。
図17Aおよび図17Bは、本開示の別の例示的な実施形態による、密度スケーリングを可能にするために背面電源レールおよび背面配電網を実装する半導体集積回路デバイス400の概略図である。半導体集積回路デバイス400は、上記で論じたように、半導体集積回路デバイス400が、(i)FETデバイスのゲート延在部Gextのサイズを精密に制御し、(ii)隣接するセルの隣接するFETデバイスのN型フォークシートFET対とP型フォークシートFET対との間のセル境界領域に形成される誘電体分離のサイズを精密に制御し、それによって、隣接するセル間のセル間間隔を精密に制御するために、自己整合誘電体分離構造と併せてフォークシートFETデバイスを利用することによって、セル間間隔のさらなるスケーリングを可能にすることを除いて、半導体集積回路デバイス300(図10Aおよび図10B)と同様である。
図10Aおよび図10Bの例示的な半導体集積回路300と同様に、半導体集積回路デバイス400は、複数のセルC1、C2、およびC3を有し、セルC1、C2、およびC3は、BOX層405上に配置される複数のナノシートFETデバイス420-1、420-2、420-3、および420-4を有する。いくつかの実施形態では、ナノシートFETデバイス420-1および420-2はNFETデバイスを有し、ナノシートFETデバイス420-3および420-4はPFETデバイスを有する。NFETデバイス420-1および420-2は、ソース/ドレイン素子422を有し、PFETデバイス420-3および420-4は、ソース/ドレイン素子424を有する。さらに、例示的な実施形態では、ナノシートFETデバイス420-1、420-2、420-3、および420-4はそれぞれ、3つのアクティブナノシートチャネル層112、114、および116を有する積層ナノシートチャネル構造を有する。
さらに、図10Aおよび図10Bの例示的な半導体集積回路デバイス300と同様に、図17Aおよび図17Bに示す半導体集積回路デバイス400の前面は、ILD層440、金属ゲート450(ナノシートFETデバイス420-1、420-2、420-3、および420-4の積層ナノシートチャネル構造をカプセル化する)、前面ソース/ドレインコンタクト460および461、ならびにBEOL相互接続構造470をさらに有する。BEOL相互接続構造470は、第1のメタライゼーションレベルを有する誘電体層471および472および、第1のレベルのメタライゼーション上に配置された追加のBEOLメタライゼーションレベル473を有する。誘電体層471は、その中に形成された複数のビアコンタクト474、475、476、477、および478を有し、誘電体層472は、複数の金属トラックT1、T2、T3、T4、T5、T6、T7、およびT8を有する第1のメタライゼーションレベルM1(またはM0またはMintと示されることもある初期メタライゼーションレベル)を有する。いくつかの実施形態では、金属トラックT1~T8は、ナノシートFETデバイス420-1、420-2、420-3、および420-4への、からの、およびそれらの間で信号を分配するローカル相互接続を提供し、一方、BEOL相互接続構造470の上部金属レベル473は、セミグローバル配線およびグローバル配線を有する。図17Aに示すように、ビアコンタクト474および475は、それぞれの対応する前面ソース/ドレインコンタクト460および461を、第1のメタライゼーションレベルのそれぞれの金属トラックT3およびT6に接続する。さらに、図17Bに示すように、ビアコンタクト476、477、および478は、それぞれの対応する金属ゲート450を、第1のメタライゼーションレベルのそれぞれの対応する金属トラックT1、T4、およびT8に接続する。いくつかの実施形態では、金属ゲート4350はHigh-k金属ゲート構造を有する。
さらに、図10Aおよび図10Bの例示的な半導体集積回路デバイス300と同様に、図17Aおよび図17Bに示す半導体集積回路デバイス400の背面は、(BOX層405に形成される)背面ソース/ドレインコンタクト481および482、ならびに完全な背面電源供給構造490を有する。完全な背面電源供給構造490は、BOX層405上に形成された背面誘電体層492、背面誘電体層492内に形成された複数の背面電源レール494および496、ならびに背面配電網498を有する。背面ソース/ドレインコンタクト481は、セルC2のNFETデバイス420-1のソース/ドレイン素子422を背面電源レール494に接続し、背面ソース/ドレインコンタクト482は、セルC3のPFETデバイス420-4のソース/ドレイン素子424を背面電源レール494に接続する。背面配電網498は、正の電源電圧(例えば、VDD)および負の電源電圧(例えば、VSS、グランド(GND)=0V)を背面電源レール494および496に分配するように構成される1つまたは複数のレベルの配線を有する。例えば、例示的な実施形態では、背面配電網498は、負の供給電圧(VSS)を背面電源レール494に接続し、正の供給電圧(VDD)を背面電源レール496に接続する。
図17Aおよび図17Bにさらに示すように、半導体集積回路デバイス400は、複数の自己整合誘電体分離素子415および417、ならびに隣接するセル内のナノシートFETデバイスの金属ゲート450を分離するために、セル境界領域内の自己整合誘電体分離素子417と位置合わせして形成される上部ゲートカット素子455を有する。自己整合誘電体分離素子415は、ナノシートチャネル層112、114、および116の積層上に配置されたより広い上部およびナノシートチャネル層112、114、および116の積層間に配置されたより狭い下部を有する。図17Bに示すように、自己整合誘電体分離素子415は、上記で論じたように、ナノシートFETデバイス420-1、420-2、420-3、および420-4のゲート延在部Gextのサイズを精密に制御するように機能する。
一方、自己整合誘電体分離素子417は、隣接するセルC2およびC1の隣接する対のNFETデバイス420-1および420-2によって形成されるフォークシートFETデバイス、ならびに隣接するセルC1およびC3の隣接する対のPFETデバイス420-3および420-4によって形成されるフォークシートFETデバイスの誘電体壁として機能する。この例示的な構造構成では、自己整合誘電体分離素子417(代替として、フォークシート誘電体壁417)は、隣接するセルC1とC2、およびC1とC3の間のセル間間隔Sを画定する制御された厚さを有するように形成され、これにより、隣接するNFETデバイス420-1と420-2の間、および隣接するPFETデバイス420-3と420-4の間の間隔をより狭くし、したがって、セル高さを減少させ、セル間間隔を減少させることが可能になる。例えば、非限定的な例示的な実施形態では、図17Bに示すように、セル間間隔Sは、約10nmまで縮小させることができる。この点での積極的なスケーリングは、図17Aに示すように、完全な背面電源供給構造490の実装によってさらに容易になり、NFETデバイス420-1および420-2の隣接する対のソース/ドレイン素子422は、背面ソース/ドレインコンタクト481および前面ソース/ドレインコンタクト460にそれぞれ接続され、これにより、上記で論じた理由によりソース/ドレインコンタクトの短絡が回避される。
図18~図20は、本開示の別の例示的な実施形態による、図17Aおよび図17Bの半導体集積回路デバイス400を製造するための方法を概略的に示す。半導体集積回路デバイス400を製造するプロセスは、上記で論じた半導体集積回路デバイス10および300を製造するプロセスフローと同一または類似であり、その詳細は繰り返さないことを理解されたい。代わりに、図18~図20に概略的に示すプロセスフローは、半導体集積回路デバイス400を製造するために、上記で論じた前のプロセスフローと併せて利用される追加のまたはわずかに変更されたプロセスステップを示す。
はじめに、図18は、半導体基板402、絶縁層405(例えば、BOX層405)、絶縁層405上に配置された複数のパターニングされたナノシート積層構造410Aおよび410B、およびパターニングされたナノシート積層構造410Aおよび410Bの上に配置されたハードマスク層406を有する、製造の中間段階における半導体集積回路デバイス400の中間構造の概略側断面図(X-Z平面)である。この製造プロセスでは、セル内の相補型FETデバイスのNからPまでの間隔Sを画定するために、第1のナノシートパターニングプロセスが実行される。図18の例示的な実施形態では、パターニングされたナノシート積層構造410Aおよび410Bは、セル境界にわたるN-NフォークシートFETデバイスおよびP-PフォークシートFETデバイスをそれぞれ形成するために利用されるナノシート積層を有する。
次に、図19は、本開示の例示的な実施形態による、パターニングされたナノシート積層構造410Aおよび410Bの側壁上に犠牲スペーサ層404を形成し、さらにナノシート積層構造410Aおよび410Bをパターニングして、パターニングされたナノシート積層構造410-1、410-2、410-3、および410-4を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。犠牲スペーサ層404は、図12に関連して上記で論じたのと同一または類似の材料および技術を使用して形成される。犠牲スペーサ層404は、目標ゲート延在長さGextに等しい厚さで形成される。
パターニングされたナノシート積層構造410Aおよび410Bの側壁上の犠牲スペーサ層404の形成に続き、パターニングされたナノシート積層構造410Aおよび410Bにトレンチを形成するために別のナノシートパターニングプロセスが実行され、それによって、セルのFETデバイスのゲート幅Wを画定する、パターニングされたナノシート積層構造410-1、410-2、410-3、および410-4を形成する。例えば、図19に示すように、いくつかの実施形態では、有機平坦化層408が堆積され、パターニングされて、ナノシート積層構造410Aおよび410Bの露出部分までエッチダウンし、パターニングされたナノシート積層構造410-1、410-2、410-3、および410-4を形成するために使用される開口部408-1および408-2を形成する。図19に示すように、開口部408-1および408-2は、フォークシートFETデバイスの誘電体壁417の厚さを画定する幅を有し、誘電体壁417の厚さは、隣接するセル間のセル間間隔Sを画定する。
次に、図20は、本開示の例示的な実施形態による、パターニングされたナノシート積層構造410-1、410-2、410-3、および410-4の間に自己整合誘電体分離素子415および417を形成することによって構築される、半導体集積回路デバイスの次の中間構造の概略側断面図である。いくつかの実施形態では、自己整合誘電体分離素子415および417は、図13に関連して上記で論じたのと同じ材料およびプロセスステップを使用して形成される。図20に示す中間構造の形成に続いて、図17Aおよび図17Bの結果として得られた半導体集積回路デバイス400を形成するために、上記で論じた同一または類似の材料およびプロセスステップを使用して、追加の前面および背面プロセスモジュールが実行される。
積層相補型トランジスタ構造を製造するための本明細書で論じる例示的な方法は、半導体プロセスフロー、半導体デバイス、および様々なアナログおよびデジタル回路または混合信号回路を有する集積回路内に容易に組み込むことができることを理解されたい。特に、集積回路ダイは、電界効果トランジスタ、バイポーラトランジスタ、金属酸化物半導体トランジスタ、ダイオード、コンデンサ、インダクタなどの様々なデバイスで製造できる。本明細書で開示されるように集積回路は、アプリケーション、ハードウェア、もしくは電子システム、またはその組み合わせで使用できる。本発明を実装するための適切なハードウェアおよびシステムは、限定されないが、パーソナルコンピュータ、通信ネットワーク、電子商取引システム、携帯通信デバイス(例えば、携帯電話)、ソリッドステートメディア記憶デバイス、機能回路などを含み得る。そのような集積回路を組み込んだシステムおよびハードウェアは、本明細書で説明する例示的な実施形態の一部と見なされる。本明細書で提供される本発明の教示を考慮すると、当業者であれば、本明細書で開示される例示的な技術の他の実装および応用を企図することができるであろう。
添付の図面を参照して例示的な実施形態を本明細書で説明してきたが、本発明はこれらの正確な実施形態に限定されず、添付の特許請求の範囲から逸脱することなく当業者によって本明細書に様々な他の変更および修正がなされ得ることを理解されたい。

Claims (25)

  1. 第1の相互接続構造と、
    第2の相互接続構造と、
    第1のトランジスタを有する第1のセルと、
    第2のトランジスタを有する第2のセルと、
    前記第1のトランジスタのソース/ドレイン素子を前記第1の相互接続構造に接続する第1のコンタクトと、
    前記第2のトランジスタのソース/ドレイン素子を前記第2の相互接続構造に接続する第2のコンタクトと
    を備え、
    前記第1のセルが、前記第2のセルに隣接して配置され、前記第1のトランジスタが、前記第2のトランジスタに隣接して配置され、
    前記第1のセルおよび前記第2のセルが、前記第1の相互接続構造と前記第2の相互接続構造との間に配置されるデバイス。
  2. 前記第1の相互接続構造が信号ネットワークを有し、前記第2の相互接続構造が配電網を有する、請求項1に記載のデバイス。
  3. 前記第1のトランジスタと前記第2のトランジスタとの間の間隔が、前記第1のセルと前記第2のセルとの間のセル間間隔を画定する、請求項1に記載のデバイス。
  4. 前記第1のトランジスタおよび前記第2のトランジスタが、ゲートオールアラウンド電界効果トランジスタを含む、請求項1に記載のデバイス。
  5. 前記第2の相互接続構造が、誘電体層に配置された複数の背面電源レールと、前記複数の背面電源レールに結合された配電網とを有する、請求項1に記載のデバイス。
  6. 前記第1のトランジスタおよび前記第2のトランジスタが、N型電界効果トランジスタの隣接する対およびP型電界効果トランジスタの隣接する対のうちの1つを含む、請求項1に記載のデバイス。
  7. 前記第1のトランジスタおよび前記第2のトランジスタが、前記第1のトランジスタと前記第2のトランジスタとの間に配置された誘電体壁を有するフォークシート型電界効果トランジスタ対を含み、前記誘電体壁の幅が、前記第1のセルと前記第2のセルとの間のセル間間隔を画定する、請求項1に記載のデバイス。
  8. 前記第1のセルから前記第2のセルまで延在する横方向相互接続をさらに備え、前記横方向相互接続が、前記第1の相互接続構造と前記第2のセル内の前記第2のトランジスタの前記ソース/ドレイン素子との間に配置され、前記横方向相互接続が、前記第1のコンタクトを前記第2のセル内の前記第1の相互接続構造の信号トラックに接続する、請求項1から7のいずれか一項に記載のデバイス。
  9. 第1のトランジスタを有する第1のセルと、
    第2のトランジスタを有する第2のセルであって、前記第1のセルおよび前記第2のセルが互いに隣接して配置され、前記第1のトランジスタおよび前記第2のトランジスタが互いに隣接して配置される、第2のセルと、
    前記第1のセルと前記第2のセルとの間のセル境界領域に配置される分離構造と
    を備え、
    前記分離構造が、前記第1のトランジスタの第1のアクティブチャネル構造および前記第2のトランジスタの第2のアクティブチャネル構造の上に配置される第1の部分と、前記第1のアクティブチャネル構造と前記第2のアクティブチャネル構造との間に配置される第2の部分とを有し、
    前記分離構造の前記第1の部分が、前記第1のアクティブチャネル構造と前記第2のアクティブチャネル構造との間の間隔に実質的に等しい第1の幅を有し、
    前記分離構造の前記第2の部分が、前記第1の幅より小さい第2の幅を有し、
    前記分離構造の前記第2の部分と前記第1のアクティブチャネル構造および前記第2のアクティブチャネル構造のそれぞれとの間の空間が、前記第1のトランジスタおよび前記第2のトランジスタのゲート延在長さを画定する、
    デバイス。
  10. 前記第2の幅は約10ナノメートル以下であり、前記ゲート延在長さは約10ナノメートル以下である、請求項9に記載のデバイス。
  11. 前記分離構造の前記第1の部分上に配置されたゲートカット素子をさらに備え、前記ゲートカット素子および前記分離構造が、前記第1のトランジスタの第1の金属ゲート構造と前記第2のトランジスタの第2の金属ゲート構造とを分離するように構成されている、請求項9に記載のデバイス。
  12. 前記ゲートカット素子が、前記第1の金属ゲート構造および前記第2の金属ゲート構造の金属材料内に配置される、請求項11に記載のデバイス。
  13. 前記第1のトランジスタおよび前記第2のトランジスタが、N型電界効果トランジスタの隣接する対およびP型電界効果トランジスタの隣接する対のうちの1つを含む、請求項9に記載のデバイス。
  14. 前記第1のアクティブチャネル構造および前記第2のアクティブチャネル構造が、積層アクティブナノシートチャネル構造を有する、請求項9に記載のデバイス。
  15. 第1の相互接続構造と、
    第2の相互接続構造と、
    前記第1のトランジスタのソース/ドレイン素子を前記第1の相互接続構造に接続する第1のコンタクトと、
    前記第2のトランジスタのソース/ドレイン素子を前記第2の相互接続構造に接続する第2のコンタクトとをさらに備え、
    前記第1のセルおよび前記第2のセルが、前記第1の相互接続構造と前記第2の相互接続構造との間に配置される、請求項9から14のいずれか一項に記載のデバイス。
  16. 前記第1の相互接続構造が信号ネットワークを有し、前記第2の相互接続構造が配電網を有する、請求項15に記載のデバイス。
  17. 前記第1のセルから前記第2のセルまで延在する横方向相互接続をさらに備え、前記横方向相互接続が、前記第1の相互接続構造と前記第2のセル内の前記第2のトランジスタの前記ソース/ドレイン素子との間に配置され、前記横方向相互接続が、前記第1のコンタクトを前記第2のセル内の前記第1の相互接続構造の信号トラックに接続する、請求項15に記載のデバイス。
  18. 第1のトランジスタを有する第1のセルと、
    第2のトランジスタを有する第2のセルと
    を備え、
    前記第1のセルおよび前記第2のセルが、互いに隣接して配置され、
    前記第1のトランジスタおよび前記第2のトランジスタが、前記第1のトランジスタと前記第2のトランジスタとの間に配置された絶縁壁を有するフォークシート型電界効果トランジスタ対を含み、
    前記絶縁壁が、前記第1のセルと前記第2のセルとの間のセル境界に位置合わせされ、
    前記絶縁壁の幅が、前記第1のセルと前記第2のセルとの間のセル間間隔を画定する、
    デバイス。
  19. 前記第1のトランジスタおよび前記第2のトランジスタが、N型ナノシート電界効果トランジスタの対およびP型ナノシート電界効果トランジスタの対のうちの1つを含む、請求項18に記載のデバイス。
  20. 第1の相互接続構造と、
    第2の相互接続構造と、
    前記第1のトランジスタのソース/ドレイン素子を前記第1の相互接続構造に接続する第1のコンタクトと、
    前記第2のトランジスタのソース/ドレイン素子を前記第2の相互接続構造に接続する第2のコンタクトとをさらに備え、
    前記第1のセルおよび前記第2のセルが、前記第1の相互接続構造と前記第2の相互接続構造との間に配置される、請求項18または19に記載のデバイス。
  21. 半導体デバイスを製造するための方法であって、
    基板上に第1のセルおよび第2のセルを形成する段階であって、前記第1のセルが第1のトランジスタを含み、前記第2のセルが第2のトランジスタを含み、前記第1のセルおよび前記第2のセルは互いに隣接して配置され、前記第1のトランジスタおよび前記第2のトランジスタは互いに隣接して配置される、形成する段階と、
    前記第1のトランジスタのソース/ドレイン素子に接続される第1のコンタクトを形成する段階と、
    前記第1のコンタクトに接続される第1の相互接続構造を形成する段階と、
    前記基板をエッチングして、前記第2のトランジスタのソース/ドレイン素子の背面を露出させる開口部を形成する段階と、
    前記第2のトランジスタの前記ソース/ドレイン素子に接続される前記開口部内に第2のコンタクトを形成する段階と、
    前記第2のコンタクトに接続される第2の相互接続構造を形成する段階と
    を備える方法。
  22. 前記第1の相互接続構造が信号ネットワークを有し、前記第2の相互接続構造が配電網を有する、請求項21に記載の方法。
  23. 半導体デバイスを製造するための方法であって、
    基板上に第1のトランジスタの第1のナノシートチャネル構造および第2のトランジスタの第2のナノシートチャネル構造を形成する段階であって、前記第1のナノシートチャネル構造および前記第2のナノシートチャネル構造が、互いに隣接して配置され、前記第1のトランジスタおよび前記第2のトランジスタをそれぞれ含む第1のセルと第2のセルとの間のセル間間隔を画定する空間によって分離される、形成する段階と、
    前記第1のナノシートチャネル構造および前記第2のナノシートチャネル構造の隣接する側壁上にコンフォーマル犠牲スペーサ層を形成する段階と、
    前記第1のナノシートチャネル構造および前記第2のナノシートチャネル構造の前記側壁上の前記コンフォーマル犠牲スペーサ層間に誘電体分離構造を形成する段階と、
    前記第1のナノシートチャネル構造および前記第2のナノシートチャネル構造の前記側壁上の前記コンフォーマル犠牲スペーサ層を選択的にエッチング除去して、前記誘電体分離構造と前記第1のナノシートチャネル構造および前記第2のナノシートチャネル構造の前記側壁との間に空間を形成する段階であって、前記空間が、前記第1のトランジスタおよび前記第2のトランジスタのゲート延在長さを画定する、形成する段階と、
    前記空間を金属材料で埋めて、前記第1のトランジスタの第1の金属ゲート構造および前記第2のトランジスタの第2の金属ゲート構造を形成する段階と
    を備える方法。
  24. 前記誘電体分離構造が、前記第1のナノシートチャネル構造および前記第2のナノシートチャネル構造の上に配置された第1の部分と、前記第1のナノシートチャネル構造と前記第2のナノシートチャネル構造との間に配置された第2の部分とを含み、
    前記誘電体分離構造の前記第1の部分が、第1のセルと第2のセルとの間の前記セル間間隔に実質的に等しい第1の幅を有する、請求項23に記載の方法。
  25. 前記誘電体分離構造の前記第1の部分上にゲートカット素子を形成する段階をさらに備え、前記ゲートカット素子および前記誘電体分離構造が、前記第1のトランジスタの第1の金属ゲート構造と前記第2のトランジスタの第2の金属ゲート構造とを分離するように構成されている、請求項24に記載の方法。
JP2022170378A 2021-12-27 2022-10-25 デバイスおよび半導体デバイスを製造するための方法(密度スケーリングのための背面電源レールおよび配電網) Pending JP2023097349A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/562,331 US20230207553A1 (en) 2021-12-27 2021-12-27 Backside power rails and power distribution network for density scaling
US17/562,331 2021-12-27

Publications (1)

Publication Number Publication Date
JP2023097349A true JP2023097349A (ja) 2023-07-07

Family

ID=86897224

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022170378A Pending JP2023097349A (ja) 2021-12-27 2022-10-25 デバイスおよび半導体デバイスを製造するための方法(密度スケーリングのための背面電源レールおよび配電網)

Country Status (5)

Country Link
US (1) US20230207553A1 (ja)
JP (1) JP2023097349A (ja)
CN (1) CN118476022A (ja)
GB (1) GB2628270A (ja)
WO (1) WO2023126710A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI741935B (zh) * 2020-04-28 2021-10-01 台灣積體電路製造股份有限公司 半導體元件與其製作方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502286B2 (en) * 2014-12-05 2016-11-22 Globalfoundries Inc. Methods of forming self-aligned contact structures on semiconductor devices and the resulting devices
WO2017052630A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Wrap-around source/drain method of making contacts for backside metals
US10559566B1 (en) * 2018-09-17 2020-02-11 International Business Machines Corporation Reduction of multi-threshold voltage patterning damage in nanosheet device structure
WO2021090471A1 (ja) * 2019-11-08 2021-05-14 株式会社ソシオネクスト 半導体集積回路装置
US11239208B2 (en) * 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
US11417767B2 (en) * 2020-05-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including backside vias and methods of forming the same
US20220037519A1 (en) * 2020-07-29 2022-02-03 Fu-Chang Hsu Transistor structures and associated processes

Also Published As

Publication number Publication date
CN118476022A (zh) 2024-08-09
WO2023126710A1 (en) 2023-07-06
GB2628270A (en) 2024-09-18
US20230207553A1 (en) 2023-06-29
GB202408940D0 (en) 2024-08-07

Similar Documents

Publication Publication Date Title
US11842965B2 (en) Backside power rail structure and methods of forming same
CN111566815B (zh) 具有背面源极触点的三维存储器件
CN111566816B (zh) 用于形成具有背面源极触点的三维存储器件的方法
US11728340B2 (en) Single diffusion break isolation for gate-all-around field-effect transistor devices
US10892331B2 (en) Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility
US11658220B2 (en) Drain side recess for back-side power rail device
CN113725278A (zh) 半导体装置
US11996482B2 (en) Semiconductor device
US20220384589A1 (en) Drain side recess for back-side power rail device
US10770562B1 (en) Interlayer dielectric replacement techniques with protection for source/drain contacts
US11557510B2 (en) Spacers for semiconductor devices including backside power rails
CN113658952A (zh) 集成电路结构及其制造方法
TW202236675A (zh) 半導體元件
US20210408049A1 (en) Semiconductor chip
JP2023097349A (ja) デバイスおよび半導体デバイスを製造するための方法(密度スケーリングのための背面電源レールおよび配電網)
US20240015985A1 (en) Semiconductor chip
WO2023111749A1 (en) Stacked complementary transistor structure for three-dimensional integration
CN112750824A (zh) 半导体装置
US12094872B2 (en) Capacitor in nanosheet
US20240258387A1 (en) Complementary Field Effect Transistors and Methods of Forming the Same
US20240258314A1 (en) Stacked complementary finfet process and device
US20240072133A1 (en) Backside and frontside contacts for semiconductor device
US20240222229A1 (en) Back side contacts for semiconductor devices
US20240204005A1 (en) Transistors with dielectric stack isolating backside power rail
US20240250032A1 (en) Transistor Gate Contacts and Methods of Forming the Same