DE102012009839A1 - Atmosphärendruck-Plasmabearbeitungsvorrichtung - Google Patents

Atmosphärendruck-Plasmabearbeitungsvorrichtung Download PDF

Info

Publication number
DE102012009839A1
DE102012009839A1 DE102012009839A DE102012009839A DE102012009839A1 DE 102012009839 A1 DE102012009839 A1 DE 102012009839A1 DE 102012009839 A DE102012009839 A DE 102012009839A DE 102012009839 A DE102012009839 A DE 102012009839A DE 102012009839 A1 DE102012009839 A1 DE 102012009839A1
Authority
DE
Germany
Prior art keywords
plasma
dielectric
gas
discharge unit
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102012009839A
Other languages
English (en)
Inventor
Hiroyuki Kobayashi
Hiroaki Kakiuchi
Kiyoshi Yasutake
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Publication of DE102012009839A1 publication Critical patent/DE102012009839A1/de
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/2406Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes
    • H05H1/2418Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes the electrodes being embedded in the dielectric
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/2406Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes
    • H05H1/2439Surface discharges, e.g. air flow control
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2240/00Testing
    • H05H2240/10Testing at atmospheric pressure

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

In einer Plasmaquelle einer Plasmabearbeitungsvorrichtung, bei der die Plasmaquelle eine für die dielektrische Barrierenentladung vom Oberflächenentladungstyp ist, die Elektroden in Paaren (eine Antenne und eine Erde) aufweist, die flächenmäßig im Inneren eines Dielektrikums gebildet sind, wird ein Bearbeitungsgegenstand im Wesentlichen in Kontakt mit der Plasmaquelle gehalten, wodurch das Erzeugen eines Plasmas auf einer Ebene auf einer Seite des Bearbeitungsgegenstands bewirkt wird, die der Ebene gegenüberliegt, auf der die Plasmaquelle vorgesehen ist.

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich auf eine Atmosphärendruck-Plasmabearbeitungsvorrichtung zur Durchführung der Schichtbildung, Oberflächenmodifikation, Sterilisation usw. durch Verwendung eines Atmosphärendruckplasmas und ein Plasmabearbeitungsverfahren mit der Atmosphärendruck-Plasmabearbeitungsvorrichtung.
  • Hintergrund der Erfindung
  • Nachdem jüngst Fortschritte bei den Untersuchungen einer Technologie zur Plasmaerzeugung bei Atmosphärendruck gemacht wurden, sind die Erzeugung einer Funktionsschicht wie etwa einer Dünnschicht auf Si-Basis, einer diamantartigen Kohlenstoffschicht (DLC-Dünnschicht) usw., die Entfernung einer organischen Substanz von einer Materialoberfläche und die Plasma-Sterilisation umfassend untersucht worden. Bei der Atmosphärendruck-Plasmabearbeitung, wenn die Plasmabearbeitung auf einen Bearbeitungsgegenstand mit einer bestimmten Fläche (zum Beispiel ein Substrat mit einer Fläche von 1 m × 1 m) angewendet wird, ist die dielektrische Barrierenentladung weitverbreitet. Allgemein gesprochen umfasst die dielektrische Barrierenentladung zwei Modi oder Betriebsformen. Ein Modus ist zum Beispiel ein Verfahren unter Verwendung von Remote-Plasma, wie in Patentdokument 1 beschrieben, bei dem ein Plasma von einer Plasmaquelle vom parallelen Flachplattentyp mit einem zwischen zwei parallel angeordneten Metallplatten eingesetzten Dielektrikum erzeugt wird. Der andere ist zum Beispiel ein Oberflächenentladungsmodus, wie in der japanischen Patent-Offenlegungsschrift Nr. 2006-331664 beschrieben, bei dem kammförmige Elektrodenpaare in einer dielektrischen Ebene angeordnet sind. Ein Entladungselektrodenmuster nach diesem Oberflächenentladungmodus ist in der Vergangenheit für Plasmabildschirme benutzt worden.
  • Zusammenfassung der Erfindung
  • Für die Atmosphärendruck-Plasmabearbeitungsvorrichtung zur Verwendung bei der Schichtbildung usw. wird hauptsächlich die Barrierenentladung vom parallelen Flachplattentyp verwendet, wie sie zum Beispiel in der US-Patentschrift Nr. US 2007/0123041 A1 beschrieben ist. Im Falle der dielektrischen Barrierenentladung vom parallelen Flachplattentyp wird ein Plasma zwischen zwei Platten von Entladungselektroden erzeugt, und ein Bearbeitungsgegenstand wird zum Beispiel zwischen den Entladungselektroden eingeführt oder der Bearbeitungsgegenstand wird in der Nähe unter der Entladungselektrode angeordnet, um so eine Bearbeitung zur Schichtbildung durchzuführen. Als ein Problem bei diesem Modus wird angeführt, dass es neben der Oberfläche des Bearbeitungsgegenstands auch auf der Oberfläche der Entladungselektrode, die als die Plasmaquelle dient, zur Schichtbildung kommt. Die auf der Entladungselektrode haftende Schicht löst sich als Fremdstoff ab und beeinträchtigt dadurch die Bearbeitung zur Schichtbildung. Wenn es zu einem Anhaften der Schicht über eine bestimmte Dicke hinaus kommt, erfordert dies daher den Austausch der Entladungselektrode und eine Reinigung derselben, was die Verfügbarkeit des Systems verschlechtert und die Produktivität für die Massenproduktion mindert.
  • Inzwischen werden bei der Plasmabearbeitungsvorrichtung auf der Basis des in der japanischen Patent-Offenlegungsschrift Nr. 2006-331664 beschriebenen Oberflächenentladungsmodus parallel angeordnete lineare Elektroden zum Erzeugen eines Plasmas in einem Dielektrikum eingebettet, und eine Ebene, in der die linearen Elektroden angeordnet sind, ist so vorgesehen, dass sie der Bearbeitungsebene (Oberfläche/Vorderseite) eines Bearbeitungsgegenstands mit einem dazwischen vorgesehenen Spalt von zum Beispiel 2 mm gegenüberliegt, wodurch der Bearbeitungsgegenstand mittels einer Transporteinheit transportiert wird.
  • Als ein Problem auch bei diesem Modus kommt es neben der Oberfläche des Bearbeitungsgegenstands auch auf der Oberfläche der Plasmaquelle zur Schichtbildung, genau wie im Fall der dielektrischen Barrierenentladung vom parallelen Flachplattentyp.
  • Daher ist ein Ziel der Erfindung die Bereitstellung einer Atmosphärendruck-Plasmabearbeitungsvorrichtung zur Verwendung bei der Bildung einer Schicht vorwiegend auf einem Bearbeitungsgegenstand, wobei das System in der Lage ist, die Schichtbildung an einer anderen Stelle als auf dem Bearbeitungsgegenstand zu hemmen, und eines Plasmabearbeitungsverfahrens mit der Atmosphärendruck-Plasmabearbeitungsvorrichtung.
  • Ein repräsentativer Aspekt der Erfindung wird wie folgt beschrieben. Im Einzelnen umfasst eine Plasmabearbeitungsvorrichtung nach der Erfindung eine Plasma-Entladungseinheit in einer Umgebungsatmosphäre, installiert im Inneren einer Bearbeitungskammer, eine Hochfrequenz-Stromquelle zur Versorgung der Plasma-Entladungseinheit mit Hochfrequenzstrom, eine Bearbeitungsgas-Versorgungsquelle zur Versorgung der Bearbeitungskammer mit einem Bearbeitungsgas und eine Bearbeitungsgegenstand-Halteeinheit zum Halten der Rückseite eines Bearbeitungsgegenstands. Die Plasma-Entladungseinheit ist eine Plasmaquelle für die dielektrische Barrierenentladung vom Oberflächenentladungstyp, die Elektroden in Paaren aufweist, die parallel zueinander im Inneren eines Dielektrikums angeordnet sind, wodurch ein Plasma auf einer Oberfläche des Dielektrikums erzeugt wird, der Bearbeitungsgegenstand wird durch eine vorbestimmte Bearbeitungsgegenstand-Befestigungsebene in der Plasma-Entladungseinheit gehalten und die Oberfläche des Dielektrikums und die Bearbeitungsgegenstand-Befestigungsebene befinden sich praktisch auf derselben Höhe in der Plasma-Entladungseinheit, wodurch das Plasma in der Umgebungsatmosphäre in der Nähe der Oberfläche des Bearbeitungsgegenstands auf einer Seite desselben erzeugt werden kann, die der Bearbeitungsgegenstand-Befestigungsebene gegenüberliegt.
  • Weil ein Plasma nur in der Nähe der Oberfläche des Bearbeitungsgegenstands erzeugt wird, ist es nach dem Aspekt der Erfindung möglich, die Schichtbildung auf der Plasma-Entladungseinheit und der Innenwand eines Bearbeitungsgefäßes zu hemmen, so dass ein Reinigungszyklus der Plasmabearbeitungsvorrichtung verlängert werden kann und die Produktivität für die Massenproduktion verbessert werden kann.
  • Kurzbeschreibung der Zeichnungen
  • 1 zeigt eine schematische Darstellung des allgemeinen Aufbaus einer Atmosphärendruck-Plasmabearbeitungsvorrichtung nach einer ersten Ausführungsform der Erfindung.
  • 2A zeigt eine schematische Schnittdarstellung des Aufbaus einer Plasma-Entladungseinheit nach der ersten Ausführungsform und das Positionsverhältnis zwischen der Plasma-Entladungseinheit, einem Bearbeitungsgegenstand und einem Plasma.
  • 2B zeigt eine schematische Darstellung des Betriebs für den Fall, dass es in der Plasma-Entladungseinheit nach der ersten Ausführungsform keinen Spalt gibt.
  • 2C zeigt eine schematische Darstellung des Betriebs der Plasma-Entladungseinheit für den Fall, bei dem in der Plasma-Entladungseinheit nach der ersten Ausführungsform ein Spalt vorgesehen ist.
  • 3 zeigt eine schematische Schnittansicht (entlang der Linie A-A' in 2A) der Entladungseinheit nach der ersten Ausführungsform.
  • 4 zeigt eine schematische Darstellung des Aufbaus einer Gasatmosphären-Umschaltvorrichtung.
  • 5 zeigt eine schematische Darstellung des allgemeinen Aufbaus einer Atmosphärendruck-Plasmabearbeitungsvorrichtung nach einer zweiten Ausführungsform der Erfindung.
  • 6 zeigt eine schematische Darstellung des Elektrodenaufbaus einer zylindrischen Plasma-Entladungseinheit nach der zweiten Ausführungsform.
  • 7A zeigt eine schematische Darstellung des Elektrodenaufbaus einer weiteren zylindrischen Plasma-Entladungseinheit nach einer ersten Modifikation der zweiten Ausführungsform der Erfindung.
  • 7B zeigt eine vergrößerte Schnittansicht des Aufbaus eines Ausschnitts R in 7A als Beispiel.
  • 8 zeigt eine schematische Darstellung des allgemeinen Aufbaus einer Atmosphärendruck-Plasmabearbeitungsvorrichtung nach einer dritten Ausführungsform der Erfindung.
  • 9 zeigt eine schematische Darstellung des Elektrodenaufbaus einer zylindrischen Plasma-Entladungseinheit nach der dritten Ausführungsform.
  • 10A zeigt eine schematische Darstellung des Elektrodenaufbaus einer zylindrischen Plasma-Entladungseinheit nach einer zweiten Modifikation der dritten Ausführungsform der Erfindung.
  • 10B zeigt eine vergrößerte Schnittansicht des Aufbaus eines Ausschnitts P in 10A als Beispiel.
  • Ausführliche Beschreibung der bevorzugten Ausführungsformen
  • Eine Plasmabearbeitungsvorrichtung nach der Erfindung umfasst eine Plasma-Entladungsplatte für die dielektrische Barrierenentladung vom Oberflächenentladungstyp, die Elektroden in Paaren (eine Antenne und eine Erde) aufweist, die flächenmäßig im Inneren eines Dielektrikums gebildet sind, wobei die Rückseite eines Bearbeitungsgegenstands in engen Kontakt mit der Plasma-Entladungsplatte in einer Umgebungsatmosphäre gebracht wird und ein Plasma auf der Oberfläche des Bearbeitungsgegenstands erzeugt wird, und zwar auf einer der Plasma-Entladungsplatte gegenüberliegenden Seite desselben, um dadurch eine Bearbeitung zur Schichtbildung durchzuführen. Weiter wird als Bearbeitungsgas zur Verwendung bei der Schichtbildung ein Bearbeitungsgas verwendet, das mit einem Edelgas mit einer Tendenz zur elektrischen Entladung wie etwa Helium, Argon usw. verdünnt ist, und das Bearbeitungsgas wird einem Teil des an die Plasmaerzeugung angrenzenden Raumes zugeführt, während ein Gas wie zum Beispiel Stickstoff, das beständiger gegen elektrische Entladung als das Bearbeitungsgas ist, erforderlichenfalls einem Teil des Raumes zwischen der Rückseite des Bearbeitungsgegenstands und der Entladungsplatte zugeführt wird, wodurch eine Hemmung der elektrischen Entladung in einem winzigen Spalt zwischen der Entladungsplatte und der Rückseite des Bearbeitungsgegenstands bewirkt wird. Bei der vorliegenden Erfindung zeigt eine Umgebungsatmosphäre an, dass der Druck des Bearbeitungsgases gleich dem der Atmosphäre ist.
  • Im Folgenden werden spezifische Ausführungsformen einer Plasmabearbeitungsvorrichtung nach der Erfindung bzw. ein Plasmabearbeitungsverfahren nach der Erfindung anhand der anliegenden Zeichnungen beschrieben.
  • Erste Ausführungsform
  • Zunächst wird eine erste Ausführungsform der Erfindung anhand von 1 bis 4 beschrieben. 1 zeigt den allgemeinen Aufbau einer Atmosphärendruck-Plasmabearbeitungsvorrichtung nach der ersten Ausführungsform der Erfindung. Diese Atmosphärendruck-Plasmabearbeitungsvorrichtung dient zur Bildung einer amorphen Si-Schicht bzw. einer diamantartigen Kohlenstoffschicht (DLC-Schicht) auf einem Kunststoffsubstrat und einem Glassubstrat von zum Beispiel 1 mm Dicke, 1 m Breite und 20 m Länge. 2A bis 2C zeigen jeweils eine schematische Schnittdarstellung des Aufbaus eines Teils der Atmosphärendruck-Plasmabearbeitungsvorrichtung in der Nähe einer Plasma-Entladungseinheit 1 bzw. des Betriebs derselben. 3 zeigt eine schematische Schnittansicht (entlang der Linie A-A' in 2A) der Entladungseinheit der Atmosphärendruck-Plasmabearbeitungsvorrichtung von oben betrachtet.
  • Eine Plasma-Entladungseinheit 1 ist im Inneren eines Gehäuses 16 in der Umgebungsatmosphäre installiert. Die Plasma-Entladungseinheit 1 ist im Wesentlichen rechtwinklig mit einer ebenen Form. Das Gehäuse 16 bildet eine Bearbeitungskammer, deren Wandfläche elektrisch geerdet ist. Eine Bearbeitungsgegenstand-Befestigungsebene B-B' zum Transportieren und Halten eines Bearbeitungsgegenstands ist mit mehreren Rollen 17 entlang einer Region, in der ein Plasma 6 erzeugt wird, auf der Oberfläche der Plasma-Entladungseinheit 1 gebildet. Die Atmosphärendruck-Plasmabearbeitungsvorrichtung ist so aufgebaut, dass eine an die Rückseite desselben angrenzende Seite eines Bearbeitungsgegenstands 7 von den jeweiligen Oberflächen der mehreren Rollen 17 und der Oberfläche der Plasma-Entladungseinheit 1 gehalten wird, und der Bearbeitungsgegenstand 7 wird veranlasst, sich entlang der Bearbeitungsgegenstand-Befestigungsebene B-B' über der Plasma-Entladungseinheit 1 im Inneren des Gehäuses 16 zu bewegen. Dabei wird der Bearbeitungsgegenstand 7 mit der Oberfläche zum Plasma 6 weisend transportiert. Außerdem muss natürlich darauf hingewiesen werden, dass eine Einheit zum Transportieren des Bearbeitungsgegenstands 7 nicht auf die Rollen beschränkt ist und dass etwa ein Band und eine andere Transporteinheit benutzt werden können.
  • Ein Bearbeitungsgas zur Verwendung bei der Plasmaerzeugung wird über einen Brausekopf 8 einem Teil eines Raumes im Inneren des Gehäuses 16 zugeführt, um ein Plasma zu erzeugen. Das Bearbeitungsgas zur Verwendung bei der Plasmaerzeugung wird dem Brausekopf 8 über eine Gaszufuhrleitung 10-1 von einer Bearbeitungsgas-Versorgungsquelle 9-1 zugeführt. Das Bearbeitungsgas zur Verwendung bei der Plasmaerzeugung ist ein Gas, das mit einem Edelgas mit einer Tendenz zur elektrischen Entladung wie etwa Helium, Argon usw. verdünnt ist. Wenn ein Spalt 23 zwischen der Plasma-Entladungseinheit 1 und dem Bearbeitungsgegenstand 7 vorliegt (wie nachstehend ausführlich beschrieben), wird ein Entladungshemmgas wie etwa Stickstoffgas, das beständig gegen elektrische Entladung ist, usw. von jedem der Entladungshemmgaszuführgeräte 14 (14-1, 14-2 und 14-3), zugeführt, die an den jeweiligen Enden der Plasma-Entladungseinheit 1 installiert sind. Ein Entladungshemmgas wird von einer Gasversorgungsquelle 9-2 über Gasleitungen 10-2 bzw. 10-4 den Gaszuführgeräten 14-1 und 14-3 zugeführt. Außerdem ist ein Abgassystem 11 über Abgasleitungen 12 (12-1 bzw. 12-2) mit dem Gehäuse 16 verbunden. Weiter ist eine Gasatmosphären-Umschaltvorrichtung 13 an der Einlass-/Auslassöffnung für den Bearbeitungsgegenstand in der Nähe der Bearbeitungsgegenstand-Befestigungsebene B-B' des Gehäuses 16 installiert.
  • Wie im Detail in 2A und 3 gezeigt, umfasst die Plasma-Entladungseinheit 1 ein Dielektrikum 5 mit einer ebenen Oberfläche und Elektroden 4 in Paaren, die mit einer in das Dielektrikum 5 eingebetteten Hochfrequenz-Stromquelle 3 verbunden sind. Die Elektrodenpaare 4 sind so aufgebaut, dass zwei Arten von Elektroden 4-1 und 4-2, die als eine Antenne bzw. Erde dienen, abwechselnd so installiert sind, dass sie parallel zueinander sind. Die Elektroden 4-1 und 4-2 sind jeweils mit der Hochfrequenz-Stromquelle 3 für die Plasmaentladung verbunden. Wie in 2A gezeigt, ist das Dielektrikum 5 so aufgebaut, dass ein Teil einer dielektrischen Schicht desselben auf einer der Entladungseinheit benachbarten Seite zum Bilden eines Plasma in seiner Dicke verringert ist, und die Dicke T1 dieses Teils reicht von ca. 10 μm bis zu mehreren Millimetern. Im Gegensatz dazu beträgt die Dicke T2 eines Teils der dielektrischen Schicht auf einer Seite derselben, auf der kein Plasma erzeugt wird, nicht weniger als das Zehnfache der Dicke T1. Mit anderen Worten, die Elektrodenpaare 4 sind ungleichmäßig in dem Dielektrikum in der Dickenrichtung der dielektrischen Schicht so eingebettet, dass sie in Dickenrichtung näher zu der Entladungseinheit angeordnet sind als zur Mitte der dielektrischen Schicht. Außerdem ist die Plasma-Entladungseinheit 1 an einer Position installiert, wo die Oberfläche des Dielektrikums auf einer der elektrischen Entladung benachbarten Seite der Plasma-Entladungseinheit auf praktisch identischer Höhe mit der Bearbeitungsgegenstand-Befestigungsebene B-B' ist, das heißt, an einer Höhenposition, wo die Oberfläche des Dielektrikums 5 mit der Rückseite des Bearbeitungsgegenstands 7 in Kontakt kommt oder diese effektiv berührt. Hier bezeichnet ein Symbol E den Durchmesser der Elektrode 4. Die beiden Arten der Elektroden 4-1 und 4-2, die als die Antenne bzw. die Erde dienen, weisen einen Abstand L zwischen sich auf, wobei der Abstand L einen Abstand L1 zwischen der Elektrode 4-1 und der Elektrode 4-2 und einen Abstand L2 zwischen der Elektrode 4-2 und der Elektrode 4-1 umfasst. Der Abstand L (L1 und L2) zwischen den beiden Arten der Elektroden 4, die als die Antenne bzw. die Erde dienen, ist vorzugsweise größer als die Summe der Dicke D des Bearbeitungsgegenstands 7, der Dicke T1 der dielektrische Oberflächenschicht und eines Spalts G zwischen der Plasma-Entladungseinheit 1 und dem Bearbeitungsgegenstand 7. Das heißt, wenn der Ausdruck L > D + G + T wahr ist, ist dies wünschenswert. Dadurch kommt es, wenn ein elektrischer Hochfrequenzstrom zwischen den Elektroden 4 in Paaren angelegt wird und ein elektrischer Strom dazwischen fließt, zum Auftreten einer elektrischen Kraftlinie 18, woraufhin die elektrische Kraftlinie 18 ausreichend zu einer der Schichtbildungsregion benachbarten Oberflächenseite des Bearbeitungsgegenstands 7 durchsickern kann.
  • Dies wird weiter anhand der jeweiligen schematischen Darstellung in 2B und 2C beschrieben. Der Bearbeitungsgegenstand 7 besteht aus einem Substratteil 7A, wobei unter Verwendung eines Plasmas eine SiN-Schicht auf dem Substratteil abgelagert bzw. gebildet werden soll, und einer Dünnschicht 7B wie etwa einer diamantartigen Kohlenstoffschicht usw. 2B zeigt einen Zustand, in dem die Oberfläche der Plasma-Entladungseinheit 1 effektiv mit der Bearbeitungsgegenstand-Befestigungsebene B-B' zusammenfällt und der Spalt G zwischen der Oberfläche der Plasma-Entladungseinheit 1 und der Rückseite des Bearbeitungsgegenstands 7 null ist (der Bearbeitungsgegenstand 7 ist in engem Kontakt mit der Plasma-Entladungseinheit 1 ohne einen Spalt dazwischen). Die elektrische Kraftlinie 18 tritt als Folge des Anlegens des elektrischen Hochfrequenzstroms zwischen den Elektrodenpaaren 4 auf, und ein Teil der elektrischen Kraftlinie 18 erreicht die Umgebungsatmosphäre (eine Gasschicht) im Inneren des Gehäuses 16 über das Dielektrikum 5 (eine feste Schicht) und den Bearbeitungsgegenstand 7 (eine feste Schicht), woraufhin ein elektrisches Feld der elektrischen Kraftlinie 18 auf das Bearbeitungsgas für die Plasmaerzeugung wirkt, wodurch ein Plasma erzeugt wird. Das heißt, das elektrische Feld mit einer zur Plasmaerzeugung nötigen Intensität kann einfach durch Vermittlung der Umgebungsatmosphäre in der Nähe der Oberflächenseite 22 des Bearbeitungsgegenstands 7 gebildet werden, wodurch das Plasma 6 erzeugt wird.
  • Außerdem ist der Spalt G zwischen der Oberfläche der Plasma-Entladungseinheit 1 und der Rückseite des Bearbeitungsgegenstands 7 vorzugsweise null (der Bearbeitungsgegenstand 7 ist in engem Kontakt mit der Plasma-Entladungseinheit 1, ohne dass dazwischen ein Spalt vorliegt). Es gibt jedoch auch den Fall, bei dem die Oberfläche der Plasma-Entladungseinheit 1 zuvor um einen winzigen Abstand von der Bearbeitungsgegenstand-Befestigungsebene B-B' entfernt gehalten werden muss, um je nach der Qualität des Bearbeitungsgegenstands 7, dem Aufbau desselben usw. einen reibungslosen Transport des Bearbeitungsgegenstands 7 zu bewirken, wie in 2C gezeigt, wobei der Spalt 23 mit einer minimalen Größe zwischen der Oberfläche der Plasma-Entladungseinheit 1 und der Rückseite des Bearbeitungsgegenstands 7 gebildet werden muss. Das Vorhandensein des Spalts 23 jedoch, wie beschrieben, birgt das Risiko der Plasmaerzeugung aufgrund eines elektrischen Felds in dem Spalt 23. In diesem Fall wird das Entladungshemmgas wie etwa Stickstoffgas, das gegen elektrische Entladung beständig ist, usw., von jedem der an den jeweiligen Enden der Plasma-Entladungseinheit 1 installierten Entladungshemmgaszuführgeräte 14 dem Spalt 23 zwischen der Plasma-Entladungseinheit 1 und dem Bearbeitungsgegenstand 7 zugeführt. Dadurch kommt es, wenn der Spalt G nicht null ist, durch die Vermittlung von Stickstoffgas nicht zu einer elektrischen Entladung; jedoch kann durch Einstellen der Spannung des Hochfrequenzstroms von der Stromquelle 3 für die Plasma-Entladung, so dass die elektrische Entladung durch die Vermittlung des mit dem Edelgas mit der Tendenz zur elektrischen Entladung verdünnten Bearbeitungsgases erfolgt, ein Plasma durch Vermittlung der Umgebungsatmosphäre nur in der Nähe der Oberflächenseite 22 des Bearbeitungsgegenstands 7 erzeugt werden. Im Einzelnen tritt die elektrische Kraftlinie 18 als Folge des Anlegens des elektrischen Hochfrequenzstroms zwischen den Elektrodenpaaren 4 auf, und ein Teil der elektrischen Kraftlinie 18 erreicht die Umgebungsatmosphäre (die Gasschicht) im Inneren des Gehäuses 16 über das Dielektrikum 5 (die feste Schicht), eine Entladungshemmgasschicht und den Bearbeitungsgegenstand 7 (die feste Schicht), woraufhin das elektrische Feld der elektrischen Kraftlinie 18 auf das Bearbeitungsgas für die Plasmaerzeugung wirkt, wodurch ein Plasma erzeugt wird.
  • Weiter wird als Bearbeitungsgas bei der Bildung einer amorphen Si-Schicht ein Gas verwendet, das auf einem Mischgas aus einem Edelgas mit zugegebenem SiH4-Gas basiert, dem Wasserstoff nach Bedarf zugegeben ist. Bei der Bildung einer SiN-Schicht wird ein Bearbeitungsgas verwendet, das durch Verdünnen eines Mischgases aus SiH4, H2 und N2 oder Ammoniak mit einem Edelgas erhalten wird. Bei der Bildung der diamantartigen Kohlenstoffschicht wird vorzugsweise ein Gas verwendet, das durch Zugabe von Wasserstoff zu einem Kohlenwasserstoffgas wie etwa Acetylen usw. nach Bedarf erhalten wird, um es mit einem Edelgas zu verdünnen.
  • Obwohl bei der ersten Ausführungsform der Erfindung mehrere Entladungshemmgaszuführgeräte 14 vorgesehen sind, werden die Entladungshemmgaszuführgeräte 14 vorzugsweise so geregelt, dass sie das Entladungshemmgas nicht zuführen, wenn sich der Bearbeitungsgegenstand 7 nicht über einem der Entladungshemmgaszuführgeräte 14 befindet. Weiter können mehrere Plasma-Entladungseinheiten 1 im Inneren des Gehäuses 16 installiert sein; in diesem Fall empfiehlt es sich, die elektrische Entladung zu stoppen, wenn sich der Bearbeitungsgegenstand 7 nicht über der elektrischen Entladungsebene der Plasma-Entladungseinheiten befindet.
  • Eine Gasatmosphäre in der Bearbeitungskammer (in dem Gehäuse 16) im Wesentlichen auf der Oberflächenseite des Bearbeitungsgegenstands ist vorzugsweise das Bearbeitungsgas zur Verwendung bei der Schichtbildung, und eine Gasatmosphäre auf der Rückseite des Bearbeitungsgegenstands ist vorzugsweise ein Stickstoffgas. In diesem Fall wird die Gasatmosphäre über dem Gehäuse mit einem Abgassystem 11-1, das aus einer Vakuumpumpe usw. besteht, über eine Abgasleitung 12-1 abgesaugt, während die Gasatmosphäre unter dem Gehäuse mit einem Abgassystem 11-2 über eine Abgasleitung 12-2 abgesaugt wird. Weiter kann das von dem Abgassystem 11-1 aufgefangene Gas der Gasversorgungsquelle 9-1 zugeführt werden, wodurch ein Teil des Gases zirkuliert werden kann. Natürlich kann das von dem Abgassystem 11-2 aufgefangene Gas der Gasversorgungsquelle 9-2 zugeführt werden, wodurch ein Teil des Gases zirkuliert werden kann.
  • Die Gasatmosphären-Umschaltvorrichtung 13 ist an Teilen des Gehäuses 16 für den Ein- und Auslauf des Bearbeitungsgegenstands installiert. 4 zeigt eine schematische Darstellung des Aufbaus der Gasatmosphären-Umschaltvorrichtung 13 als Beispiel. Das Innere der Gasatmosphären-Umschaltvorrichtung 13 ist durch Trennbleche 28 in drei Abschnitte unterteilt. Ein Gas wie etwa ein Stickstoffgas, ein Edelgas usw. wird über eine Gaszufuhrleitung 10-9 in den mittleren Abschnitt geleitet. Das in den mittleren Abschnitt geleitete Gas fließt in die jeweils angrenzenden Bereiche, und ein Gasstrom 29-2 wird mit einem aus der Bearbeitungskammer fließenden Gasstrom 29-3 zu einem Gasstrom 29-4 vermischt, wobei der Gasstrom 29-4 anschließend über eine Abgasleitung 12-4 abgesaugt wird. Weiter fließt ein Gas 29-5 unter den aus der Gaszufuhrleitung 10-9 zugeführten Gasen zu der Gasatmosphären-Umschaltvorrichtung 13, nach links in der Abbildung, und ein Gasstrom 29-5 wird mit einem aus der Umgebungsatmosphäre fließenden Gasstrom 29-6 zu einem Gasstrom 29-7 vermischt, wobei der Gasstrom 29-7 anschließend über eine Abgasleitung 12-3 abgesaugt wird. Dadurch wird verhindert, dass die Umgebungsatmosphäre in die Bearbeitungskammer, das heißt in das Gehäuse 16 fließt. Wenn weiter der Druck einer Atmosphäre (der Umgebungsatmosphäre) im Inneren des Gehäuses 16 als P1 und der Druck der Atmosphäre als P3 definiert sind, gilt vorzugsweise die nachstehende näherungsweise Beziehung: P1 < P3
  • Im Einzelnen ist das von der Plasma-Entladungseinheit 1 erzeugte Plasma ein aufgrund der elektrischen Entladung bei Atmosphärendruck erzeugtes Plasma, wobei jedoch ein Druck, der etwas niedriger als der Atmosphärendruck ist, das heißt zum Beispiel 0,9 atm, streng genommen ausreicht.
  • Weil mit der vorliegenden Ausführungsform der Erfindung ein Plasma in der Umgebungsatmosphäre nur in der Nähe der Oberfläche des Bearbeitungsgegenstands erzeugt wird, ist es daher möglich, die Schichtbildung auf der Plasma-Entladungseinheit 1 und der Innenwand eines Bearbeitungsgefäßes zu hemmen. Dementsprechend kann ein Reinigungszyklus der Plasmabearbeitungsvorrichtung verlängert werden, und die Produktivität für die Massenproduktion kann verbessert werden.
  • Zweite Ausführungsform
  • Als Nächstes wird im Folgenden eine zweite Ausführungsform der Erfindung anhand von 5 und 6 beschrieben. Auf die Beschreibung der Teile einer Konfiguration nach der zweiten Ausführungsform, die denen der ersten Ausführungsform entsprechen, wird verzichtet. Bei der vorliegenden Ausführungsform wird allgemein eine Konfiguration einer Atmosphärendruck-Plasmabearbeitungsvorrichtung vom Rollentyp gezeigt, die mit einem flexiblen Substrat kompatibel ist. Eine Plasmaquelle 1, die ein Plasma auf dem Außenumfang eines Zylinders erzeugen kann, ist in einem Gehäuse 16 installiert. In dem Bearbeitungssystem wird ein Bearbeitungsgegenstand 7 von einer Rolle 19-1 abgewickelt und zugeführt und von einer Rolle 19-2 aufgewickelt und aufgenommen. Außerdem ist das Bearbeitungssystem so aufgebaut, dass der Bearbeitungsgegenstand 7 nur über eine dem Winkel θ entsprechende Strecke in Kontakt mit einer zylindrischen Plasma-Entladungseinheit 1 bleibt.
  • Der Aufbau der Plasmaquelle 1 weist den in 6 gezeigten Elektrodenaufbau auf. Eine in 6 gezeigte zylinderförmige Entladungs-Plasmaquelle ist so aufgebaut, dass zwei parallel zueinander angeordnete Elektrodenstücke (Zuleitungsdrähte) 4-1 und 4-2 spiralförmig um die Oberfläche eines zylindrischen Dielektrikums (ein Isolator) 5-1 gewickelt sind und eine dielektrische Schicht 5-2 aus Glas, Aluminiumoxid, Yttriumoxid usw. darauf gebildet ist. Die Elektroden (Zuleitungsdrähte) 4-1 und 4-2 sind mit einer Hochfrequenz-Stromquelle 3 verbunden. Bei dieser Plasma-Entladungseinheit beträgt die Dicke T1 der dielektrischen Schicht, entsprechend einem Innenseitenteil eines Zylinders, gesehen von der Elektrode 4, zum Beispiel nicht weniger als das Zehnfache der Dicke T2 der dielektrischen Schicht auf der Außenumfangsseite des Zylinders, gesehen von der Elektrode 4. Weiter entspricht der Abstand L1 zwischen den beiden Elektrodenstücken im Wesentlichen dem Abstand L2 zwischen den Elektroden, wenn die Elektroden spiralförmig aufgewickelt sind. Wenn die Dicke des Bearbeitungsgegenstands 7 als D und der Spalt zwischen dem Bearbeitungsgegenstand 7 und einer Entladungseinheit als G definiert sind, gilt vorzugsweise die folgende Beziehung: L1 ≈ L2 > D + G + T2
  • Selbstverständlich beträgt G vorzugsweise nahezu 0 mm.
  • Ein von einem Bearbeitungsgas-Zufuhrsystem 9-1 zugeführtes Bearbeitungsgas wird über eine Gaszufuhrleitung 10-1 und einen Brausekopf 8 der Oberflächenseite 20 des Bearbeitungsgegenstands 7 zugeführt, das heißt einem Raum 24 in Kontakt mit einer Ebene, auf der eine Bearbeitung zur Schichtbildung durchgeführt wird. Als das Bearbeitungsgas wird das mit dem Edelgas verdünnte Gas verwendet, wie bei der ersten Ausführungsform. Ein Gas, das beständiger gegen elektrische Entladung ist als das Bearbeitungsgas, zum Beispiel ein Stickstoffgas, wird von einer Gasversorgungsquelle 9-2 über eine Gaszufuhrleitung 10-2 durch eine Gaszufuhröffnung 14 einem Raum 25 in Kontakt mit der Rückseite 21 des Bearbeitungsgegenstands 7 zugeführt. Dadurch wird ein Plasma 6 auf der Oberflächenseite des Bearbeitungsgegenstands 7 in Kontakt mit der Plasmaquelle 1 erzeugt, während auf einer Seite des Bearbeitungsgegenstands 7, die nicht mit der Plasmaquelle 1 in Kontakt ist (ein Teil der Unterseite in der Zeichnung) kein Plasma erzeugt wird. Weiter ist eine Gasatmosphären-Umschaltvorrichtung 13 an einer Einlass-/Auslassöffnung des Gehäuses 16 für den Bearbeitungsgegenstand installiert, um zu verhindern, dass die Atmosphäre in das Gehäuse, das als Bearbeitungskammer dient, eindringen kann. Wenn der Druck in dem Raum 24 (die Umgebungsatmosphäre) auf der Plasmaerzeugungsseite als P1, der Druck in dem Raum 25 auf der Seite des Bearbeitungsgegenstands 7, auf der kein Plasma erzeugt wird, als P2 und der Druck der Atmosphäre in der Umgebung des Gehäuses als P3 definiert sind, wird vorzugsweise die folgende Beziehung eingestellt, um einen geringen Druckunterschied dazwischen zu bewirken: P1 < P2 < P3
  • Aus diesem Grund ist ein Abgassystem 11-1, bestehend aus einer Vakuumpumpe usw., über eine Abgasleitung 12-1 mit dem Raum 24 auf der Plasmaerzeugungsseite des Gehäuses verbunden. Weiter ist ein Abgassystem 11-2 über eine Abgasleitung 12-2 mit dem Raum 25 auf der Seite des Bearbeitungsgegenstands 7, auf der kein Plasma erzeugt wird, verbunden.
  • Weil ein Plasma in der Umgebungsatmosphäre nur in der Nähe der Oberfläche des Bearbeitungsgegenstands erzeugt wird, ist es auch mit der vorliegenden Ausführungsform möglich, die Schichtbildung auf der Plasma-Entladungseinheit und der Innenwand eines Bearbeitungsgefäßes zu hemmen. Dementsprechend kann ein Reinigungszyklus der Plasmabearbeitungsvorrichtung verlängert werden, und die Produktivität für die Massenproduktion kann verbessert werden.
  • Erste Modifikation
  • Nachstehend wird eine erste Modifikation der zweiten Ausführungsform der Erfindung anhand von 7 (7A und 7B) beschrieben. Eine in 7A gezeigte Plasma-Entladungseinheit ist so aufgebaut, dass eine dielektrische Schicht 5 aus Yttriumoxid, Aluminiumoxid usw. auf dem Außenumfang eines Metallzylinders 30 entsprechend der Elektrode 4-2 gebildet ist, und eine Elektrode (ein Zuleitungsdraht) 4-1 ist spiralförmig um den Außenumfang der dielektrischen Schicht 5 gewickelt. 7B zeigt eine vergrößerte Schnittansicht des Aufbaus eines Ausschnitts R in 7A als Beispiel. Wenn eine Spiralnut (Schraubnut) auf der Oberfläche des Metallzylinders 30 vorgesehen ist, die dielektrische Schicht 5 über der Spiralnut gebildet ist und die Elektrode 4-1 entlang der freiliegenden Nut auf der dielektrischen Schicht gewickelt ist, bietet dies den Vorteil einer einfachen Herstellung. Wenn weiter die Gangsteigung der Elektrode 4-1 als S3 definiert ist, gilt vorzugsweise die folgende Beziehung: 1/2 × S3 > D + G
  • Weil ein Plasma in der Umgebungsatmosphäre nur in der Nähe der Oberfläche des Bearbeitungsgegenstands erzeugt wird, ist es auch mit der vorliegenden Ausführungsform möglich, die Schichtbildung auf der Plasma-Entladungseinheit und der Innenwand eines Bearbeitungsgefäßes zu hemmen. Dementsprechend kann ein Reinigungszyklus der Plasmabearbeitungsvorrichtung verlängert werden, und die Produktivität für die Massenproduktion kann verbessert werden.
  • Dritte Ausführungsform
  • Als Nächstes wird nachstehend eine dritte Ausführungsform der Erfindung anhand von 8 und 9 beschrieben. Auf die Beschreibung der Teile einer Konfiguration in den Zeichnungen, die denen der ersten oder der zweiten Ausführungsform entsprechen, wird verzichtet. Bei der vorliegenden Ausführungsform wird allgemein ein System zur Anwendung einer Plasmabearbeitung auf eine Oberfläche eines zurückspringenden Teils wie etwa das Innere eines Gefäßes usw. gezeigt. Die Plasma-Entladungseinheiten 1-1 und 1-2 sind im Inneren eines Gehäuses 16 installiert. Die Plasma-Entladungseinheit 1-1 ist eine Plasmaquelle vom Flachplattentyp mit einem Aufbau, der dem nach der ersten Ausführungsform entspricht, und ist auf einem ebenen Teil der Wandfläche eines Bearbeitungsgegenstands wie zum Beispiel dem Boden eines Gefäßes installiert. Die Plasma-Entladungseinheit 1-2 dient zur Erzeugung eines Plasma auf der Innenseite eines Zylinders und weist einen Elektrodenaufbau wie zum Beispiel in 9 auf. Bei der vorliegenden Ausführungsform wird ein Gefäß 7 als der Bearbeitungsgegenstand in einem Aufnahmebereich auf den Innenseiten der Plasma-Entladungseinheiten 1-1 bzw. 1-2 angeordnet. Das Gefäß als der Bearbeitungsgegenstand wird zum Beispiel mit einem Transportroboter in den Aufnahmebereich eingebracht und nach einer Plasmabearbeitung entnommen.
  • Bei einer in 9 gezeigten elektrischen Entladungs-Plasmaquelle auf dem Innenumfang eines Zylinders sind dielektrische Barrieren-Entladungselektroden 4-1 und 4-2 in Paaren in spiralförmig gewickeltem Zustand begrenzt auf das Innere eines Dielektrikums 5 vorgesehen. Die Elektroden 4-1 und 4-2 sind mit einer Hochfrequenz-Stromquelle 3 zur Plasmaerzeugung verbunden.
  • Die Dicke T1 eines Dielektrikums 5-2 des Dielektrikums 5, entsprechend einem Innenseitenteil des Zylinders, gesehen von der Elektrode 4, beträgt zum Beispiel nicht weniger als das Zehnfache der Dicke T2 eines Dielektrikums 5-1 auf der Außenseite des Dielektrikums 5, gesehen von der Elektrode 4. Dadurch wird ein Plasma in einem Innenseitenteil des Zylinders erzeugt.
  • Was die Abmessungen eines Abstands zwischen dem Gefäß und der Plasmaquelle usw. angeht, so wird im Falle der Verwendung der in 9 gezeigten Plasmaquelle die Beziehung zwischen der Dicke D der Wandfläche des Bearbeitungsgegenstands 7 (das Gefäß), dem Abstand G zwischen der Plasmaquelle und dem Gefäß, der Dicke T1 einer dielektrischen Schicht und den Elektrodenabständen L1 und L2 vorzugsweise durch die folgende Ungleichung ausgedrückt: L1 ≈ L2 > D + G + T1
  • Wenn der Elektrodenabstand L1 im Wert von dem Elektrodenabstand L2 abweicht, so gilt die vorstehende Ungleichung vorzugsweise für die Elektrode mit dem niedrigeren Wert, das heißt den Elektrodenabstand L1 oder den Elektrodenabstand L2.
  • Dadurch kann das Gefäß als der Bearbeitungsgegenstand in den Aufnahmebereich eingebracht werden und ein Plasma kann auf der Innenwandfläche des Gefäßes erzeugt werden, so dass eine Plasmabearbeitung auf das Innere des Gefäßes angewendet werden kann. Weil ein Plasma in der Umgebungsatmosphäre nur in der Nähe der Oberfläche des Bearbeitungsgegenstands, mit anderen Worten nur im Inneren des Gefäßes, erzeugt wird, ist es auch mit der vorliegenden Ausführungsform möglich, die Schichtbildung auf der Plasma-Entladungseinheit und der Innenwand eines Bearbeitungssystems zu hemmen. Dementsprechend kann ein Reinigungszyklus der Plasmabearbeitungsvorrichtung verlängert werden, und die Produktivität für die Massenproduktion kann verbessert werden.
  • Zweite Modifikation
  • Als Nächstes wird im Folgenden eine zweite Modifikation der dritten Ausführungsform der Erfindung anhand von 10 (10A und 10B) beschrieben.
  • Bei einer in 10A gezeigten Entladungs-Plasmaquelle auf dem Innenumfang eines Zylinders ist eine dielektrische Schicht 5 auf der Innenseite einer zylindrischen Komponente 30 aus Metall gebildet, und eine Elektrode 4 ist spiralförmig auf der Innenseite der dielektrischen Schicht 5 vorgesehen. Weiter zeigt 10B den Aufbau eines Ausschnitts P in 10A als Beispiel. In dem Beispiel in 10B wird eine Schraubnut auf der Innenseitenfläche der zylindrischen Komponente 30 aus Metall gebildet, und anschließend wird die dielektrische Schicht 5 gebildet, wodurch es möglich ist, die Elektrode 4 mit Leichtigkeit in gleichen Abständen zu installieren.
  • Eine Gasleitung 10-1 zum Zuführen eines Bearbeitungsgases und eine Gasleitung 12 zum Absaugen eines Gases im Inneren des Gefäßes sind in dem Gefäß vorgesehen. Außerdem ist das Gefäß mit einem Deckel 15 versehen, der verhindert, dass das Bearbeitungsgas in dem Gefäß sich in dem Gehäuse 16 verteilt. Als das Bearbeitungsgas wird das mit dem Edelgas verdünnte Gas verwendet, wie bei der ersten Ausführungsform. Weiter wird bei der Durchführung der Sterilisation ein Mischgas verwendet, das durch Verdünnen zum Beispiel eines Sauerstoffgases mit einem Edelgas erhalten wird. Ein Gas, das im Vergleich zu dem Bearbeitungsgas gegen elektrische Entladung beständig ist, etwa ein Stickstoffgas, wird über eine Gasleitung 10-2 einem Raum im Inneren des Gehäuses 16, jedoch außerhalb des Gefäßes zugeführt.
  • Wenn bei Verwendung einer in 10A gezeigten Plasmaquelle eine Gangsteigung der Elektrode in 10B als S3 (L3 ≈ L1 + L2, L1 = L2) definiert ist, gilt vorzugsweise die folgende Beziehung: 1/2 × S3 > D + G
  • Folglich wird ein Plasma auf der Innenwandfläche des Gefäßes als der Bearbeitungsgegenstand 7 erzeugt und eine Plasmabearbeitung kann auf das Innere des Gefäßes angewendet werden.
  • Die vorstehend beschriebenen Ausführungsformen gehen davon aus, dass die Plasmaprozesse nahezu unter atmosphärischem Druck durchgeführt werden. Die vorliegende Erfindung kann jedoch auch auf Plasmaprozesse angewendet werden, die bei Unterdruck durchgeführt werden.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • JP 2006-331664 [0002, 0004]
    • US 2007/0123041 A1 [0003]

Claims (10)

  1. Plasmabearbeitungsvorrichtung mit: einer Plasma-Entladungseinheit in einer Umgebungsatmosphäre, installiert im Inneren einer Bearbeitungskammer, einer Hochfrequenz-Stromquelle zur Versorgung der Plasma-Entladungseinheit mit Hochfrequenzstrom, einer Bearbeitungsgas-Versorgungsquelle zur Versorgung der Bearbeitungskammer mit einem Bearbeitungsgas und einer Bearbeitungsgegenstand-Halteeinheit zum Halten der Rückseite eines Bearbeitungsgegenstands, wobei die Plasma-Entladungseinheit eine Plasmaquelle für die dielektrische Barrierenentladung vom Oberflächenentladungstyp ist, die Elektroden in Paaren aufweist, die parallel zueinander im Inneren eines Dielektrikums angeordnet sind, wodurch ein Plasma auf der Oberfläche des Dielektrikums erzeugt wird, der Bearbeitungsgegenstand durch eine vorbestimmte Bearbeitungsgegenstand-Befestigungsebene in der Plasma-Entladungseinheit gehalten wird, die Oberfläche des Dielektrikums und die Bearbeitungsgegenstand-Befestigungsebene effektiv auf derselben Höhe in der Plasma-Entladungseinheit sind, wodurch das Erzeugen des Plasmas in der Umgebungsatmosphäre in der Nähe der Oberfläche des Bearbeitungsgegenstands ermöglicht wird, und zwar auf einer der Bearbeitungsgegenstand-Befestigungsebene gegenüberliegenden Seite desselben.
  2. Plasmabearbeitungsvorrichtung nach Anspruch 1, wobei ein Spalt G zwischen der Oberfläche der Plasma-Entladungseinheit und der Bearbeitungsgegenstand-Befestigungsebene null ist und das mit einem Edelgas verdünnte Bearbeitungsgas zur Plasmaerzeugung einer Ebene auf einer Seite des Bearbeitungsgegenstands zugeführt wird.
  3. Plasmabearbeitungsvorrichtung nach Anspruch 1, wobei ein winziger Spalt zwischen der Oberfläche der Plasma-Entladungseinheit und der Rückseite des von der Bearbeitungsgegenstand-Befestigungsebene gehaltenen Bearbeitungsgegenstands vorliegt und ein Entladungshemmgaszuführgerät zum Zuführen eines Entladungshemmgases in dem winzigen Spalt vorgesehen ist.
  4. Plasmabearbeitungsvorrichtung nach Anspruch 3, wobei das mit einem Edelgas verdünnte Bearbeitungsgas zur Plasmaerzeugung einer Ebene auf einer Seite des Bearbeitungsgegenstand zugeführt wird und das Entladungshemmgas, das gegen elektrische Entladung beständiger ist als das Bearbeitungsgas, einer Ebene auf der Seite des Bearbeitungsgegenstands zugeführt wird, auf der die Plasmaquelle vorgesehen ist.
  5. Plasmabearbeitungsvorrichtung nach Anspruch 1, wobei die Plasma-Entladungseinheit im Wesentlichen rechtwinklig mit einer ebenen Form ist, die Elektroden in Paaren ungleichmäßig in dem Dielektrikum in der Dickenrichtung einer Schicht des Dielektrikums so eingebettet sind, dass sie in Dickenrichtung näher an einer der Oberfläche desselben benachbarten Seite des Dielektrikums angeordnet sind als zur Mitte der Schicht des Dielektrikums, und mehrere Rollen zum Transportieren des Bearbeitungsgegenstands entlang der Bearbeitungsgegenstand-Befestigungsebene, die mit der Oberfläche der Plasma-Entladungseinheit parallel ist, vorgesehen sind.
  6. Plasmabearbeitungsvorrichtung mit: einer Plasma-Entladungseinheit in einer Umgebungsatmosphäre, installiert im Inneren einer Bearbeitungskammer, einer Hochfrequenz-Stromquelle zur Versorgung der Plasma-Entladungseinheit mit Hochfrequenzstrom, einer Bearbeitungsgas-Versorgungsquelle zur Versorgung der Bearbeitungskammer mit einem Bearbeitungsgas und einer Bearbeitungsgegenstand-Halteeinheit zum Halten der Rückseite eines Bearbeitungsgegenstands, wobei die Plasma-Entladungseinheit eine Plasmaquelle für die dielektrische Barrierenentladung vom Oberflächenentladungstyp ist, die eine Elektrode der Elektroden in Paaren aufweist, die in einem zylindrischen Dielektrikum angeordnet ist, wodurch ein Plasma auf der Oberfläche des zylindrischen Dielektrikums erzeugt wird, der Bearbeitungsgegenstand so angeordnet ist, dass er im Wesentlichen in Kontakt mit der Plasmaquelle ist, und das Plasma auf einer Ebene auf einer Seite des Bearbeitungsgegenstands erzeugt wird, die der Ebene gegenüberliegt, auf der die Plasmaquelle angeordnet ist.
  7. Plasmabearbeitungsvorrichtung nach Anspruch 6, wobei die Plasma-Entladungseinheit so aufgebaut ist, dass eine Schicht des Dielektrikums auf dem Außenumfang einer zylindrischen Metallkomponente angeordnet ist, die der einen Elektrode des Elektrodenpaars entspricht, und die andere Elektrode des Elektrodenpaars spiralförmig um den Außenumfang der Schicht des Dielektrikums gewickelt ist.
  8. Plasmabearbeitungsvorrichtung nach Anspruch 6, wobei die Plasma-Entladungseinheit so aufgebaut ist, dass eine Schicht des Dielektrikums auf der Innenseite einer zylindrischen Metallkomponente angeordnet ist, die der einen Elektrode des Elektrodenpaars entspricht, und die andere Elektrode des Elektrodenpaars spiralförmig auf der Innenseite der Schicht des Dielektrikums gewickelt ist.
  9. Plasmabearbeitungsverfahren zum Anwenden einer Bearbeitung auf einen Bearbeitungsgegenstand in einer Umgebungsatmosphäre unter Verwendung einer Plasmabearbeitungsvorrichtung mit einer Plasmaquelle für die dielektrische Barrierenentladung vom Oberflächenentladungstyp, die Elektroden in Paaren aufweist, die parallel zueinander im Inneren eines Dielektrikums angeordnet sind, wodurch ein Plasma auf der Oberfläche des Dielektrikums erzeugt wird, das Verfahren umfassend: das Anordnen eines Bearbeitungsgegenstands derart, dass die Rückseite desselben im Wesentlichen in Kontakt mit der Plasmaquelle ist, und das Erzeugen eines Plasmas in der Umgebungsatmosphäre auf einer Seite des Bearbeitungsgegenstands, die der Ebene gegenüberliegt, auf der die Plasmaquelle installiert ist, um dadurch eine Oberfläche des Bearbeitungsgegenstands durch die Vermittlung des Plasmas zu bearbeiten.
  10. Plasmabearbeitungsverfahren nach Anspruch 9, wobei der Bearbeitungsgegenstand so angeordnet ist, dass ein Spalt G zwischen der Rückseite des Bearbeitungsgegenstands und der Plasmaquelle null ist, und das mit einem Edelgas verdünnte Bearbeitungsgas zur Plasmaerzeugung einer Ebene auf einer Seite des Bearbeitungsgegenstands zugeführt wird.
DE102012009839A 2011-05-17 2012-05-16 Atmosphärendruck-Plasmabearbeitungsvorrichtung Ceased DE102012009839A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011-110512 2011-05-17
JP2011110512A JP5626899B2 (ja) 2011-05-17 2011-05-17 大気圧プラズマ処理装置

Publications (1)

Publication Number Publication Date
DE102012009839A1 true DE102012009839A1 (de) 2012-11-22

Family

ID=47088242

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102012009839A Ceased DE102012009839A1 (de) 2011-05-17 2012-05-16 Atmosphärendruck-Plasmabearbeitungsvorrichtung

Country Status (3)

Country Link
US (1) US20120291706A1 (de)
JP (1) JP5626899B2 (de)
DE (1) DE102012009839A1 (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6242059B2 (ja) * 2013-02-18 2017-12-06 株式会社 セテック 放電プラズマリアクタ
US9272767B2 (en) * 2013-04-19 2016-03-01 The Boeing Company Compacting uncured composite members on contoured mandrel surfaces
DK3151981T3 (da) * 2014-06-05 2022-02-07 Illinois Tool Works System og metode til rengøring af en genstand
KR102140879B1 (ko) * 2018-12-28 2020-08-04 (주)에이엔에이치스트럭쳐 복합재 수리용 자동 스카핑 장치 및 이를 이용한 복합재 부품 수리 방법
JP2021031747A (ja) * 2019-08-28 2021-03-01 キオクシア株式会社 排気配管装置
TWI694748B (zh) * 2019-08-28 2020-05-21 明志科技大學 用以產生大面積電漿之電極元件

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006331664A (ja) 2005-05-23 2006-12-07 Sharp Corp プラズマ処理装置
US20070123041A1 (en) 2003-06-25 2007-05-31 Sekisui Chemical Co., Ltd. Apparatus and method for surface processing such as plasma processing

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5944797A (ja) * 1982-09-07 1984-03-13 増田 閃一 物体の静電的処理装置
JPH0763032B2 (ja) * 1989-11-10 1995-07-05 閃一 増田 物体の静電的処理装置
JPH07309606A (ja) * 1994-05-10 1995-11-28 Amtex:Kk オゾン発生装置
US6146599A (en) * 1999-02-24 2000-11-14 Seagate Technology Llc Dielectric barrier discharge system and method for decomposing hazardous compounds in fluids
EP1073091A3 (de) * 1999-07-27 2004-10-06 Matsushita Electric Works, Ltd. Elektrode für Plasmaerzeugung, Anwendung dieser Elektrode in einem Plasmabehandlungsgerät, und Plasmabehandlung mittels dieses Gerätes
JP2006005315A (ja) * 2004-06-21 2006-01-05 Seiko Epson Corp プラズマ処理装置およびプラズマ処理方法
DE102005029360B4 (de) * 2005-06-24 2011-11-10 Softal Corona & Plasma Gmbh Zwei Verfahren zur kontinuierlichen Atmosphärendruck Plasmabehandlung von Werkstücken, insbesondere Materialplatten oder -bahnen
JP2007317700A (ja) * 2006-05-23 2007-12-06 Sekisui Chem Co Ltd 表面処理装置及び処理方法
JP2008183025A (ja) * 2007-01-26 2008-08-14 National Univ Corp Shizuoka Univ 包装物の滅菌方法及び滅菌装置
JP2008251866A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマ処理装置
EP2205049A1 (de) * 2008-12-30 2010-07-07 Nederlandse Organisatie voor toegepast-natuurwetenschappelijk Onderzoek TNO Vorrichtung und Verfahren zur Behandlung eines Objekts
GB0919274D0 (en) * 2009-11-03 2009-12-16 Univ The Glasgow Plasma generation apparatus and use of plasma generation apparatus
US20120325146A1 (en) * 2010-03-15 2012-12-27 Hitachi, Ltd. Plasma Processing Apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070123041A1 (en) 2003-06-25 2007-05-31 Sekisui Chemical Co., Ltd. Apparatus and method for surface processing such as plasma processing
JP2006331664A (ja) 2005-05-23 2006-12-07 Sharp Corp プラズマ処理装置

Also Published As

Publication number Publication date
JP2012243859A (ja) 2012-12-10
US20120291706A1 (en) 2012-11-22
JP5626899B2 (ja) 2014-11-19

Similar Documents

Publication Publication Date Title
DE102012009839A1 (de) Atmosphärendruck-Plasmabearbeitungsvorrichtung
DE10060002B4 (de) Vorrichtung zur Oberflächenbehandlung
EP1902156B1 (de) Verfahren zur kontinuierlichen atmosphärendruck plasmabehandlung und/oder beschichtung von werkstücken
DE102009012878A1 (de) Schauerkopf und Substratbearbeitungsvorrichtung
CH664768A5 (de) Verfahren zur beschichtung von substraten in einer vakuumkammer.
DE3014851A1 (de) Vorrichtung zum abscheiden duenner filme
DE112011103584T5 (de) Plasma-CVD-Gerät
DE102008019023A1 (de) Vakuum-Durchlaufanlage zur Prozessierung von Substraten
DE2126095A1 (de) Einrichtung zum Ablagern eines Ma tenals auf einer Unterlage
DE102010031741A1 (de) Verfahren und Anordnung zur Herstellung von supraleitenden Schichten auf Substraten
DE3217708A1 (de) Verfahren und vorrichtung zur herstellung amorpher siliciumfilme
EP0783174A2 (de) Vorrichtung zum Beschichten eines Substrats
EP2351064A1 (de) Verfahren und vorrichtung zur plasmabehandlung eines flachen substrats
EP1019945A1 (de) Verfahren und vorrichtung zur oberflächenbehandlung von substraten
DE4233895C2 (de) Vorrichtung zur Behandlung von durch einen Wickelmechanismus bewegten bahnförmigen Materialien mittels eines reaktiven bzw. nichtreaktiven, durch Hochfrequenz- oder Pulsentladung erzeugten Niederdruckplasmas
DE112010000869T5 (de) Plasmaverarbeitungsvorrichtung
DE19530318A1 (de) Verfahren und Vorrichtung zum Herstellen eines magnetischen Aufzeichnungsmediums
DE112014002431B4 (de) Abscheidungsvorrichtung und Abscheidungsverfahren
DE1765127B2 (de) Vorrichtung zum Dünnfilmaufsprühen mit hochfrequenzangeregter Glimmentladung
DE19943953A1 (de) Vorrichtung und Verfahren zur Erzeugung eines lokalen Plasmas durch Mikrostrukturelektrodenentladungen mit Mikrowellen
DE112015001654T5 (de) Plasma-CVD-Schichtausbildungsvorrichtung
DE112010003657T5 (de) Ätzanlage
EP0647961A1 (de) Vorrichtung zum Beschichten von langgestreckten biegsamen Erzeugnissen
DE102017108290A1 (de) Plasmavorrichtung
DE3620214A1 (de) Verfahren und vorrichtung zur schaffung eines chemisch aktiven milieus fuer plasmochemische reaktionen, vor allem fuer die abscheidung duenner schichten

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final