CN116432600B - 一种自动优化集成电路电源-地网络的方法和系统 - Google Patents

一种自动优化集成电路电源-地网络的方法和系统 Download PDF

Info

Publication number
CN116432600B
CN116432600B CN202310690931.8A CN202310690931A CN116432600B CN 116432600 B CN116432600 B CN 116432600B CN 202310690931 A CN202310690931 A CN 202310690931A CN 116432600 B CN116432600 B CN 116432600B
Authority
CN
China
Prior art keywords
current density
power supply
area
integrated circuit
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202310690931.8A
Other languages
English (en)
Other versions
CN116432600A (zh
Inventor
唐章宏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Wisechip Simulation Technology Co Ltd
Original Assignee
Beijing Wisechip Simulation Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing Wisechip Simulation Technology Co Ltd filed Critical Beijing Wisechip Simulation Technology Co Ltd
Priority to CN202310690931.8A priority Critical patent/CN116432600B/zh
Publication of CN116432600A publication Critical patent/CN116432600A/zh
Application granted granted Critical
Publication of CN116432600B publication Critical patent/CN116432600B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/02Reliability analysis or reliability optimisation; Failure analysis, e.g. worst case scenario performance, failure mode and effects analysis [FMEA]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明提供了一种自动优化集成电路电源‑地网络的方法和系统,涉及集成电路技术领域,包括:采用有限元法计算集成电路的电源‑地网络的电压分布计算评估指标;基于评估指标判断集成电路电源供电系统为不可靠时,基于电压分布计算SINK的管脚电压变化占比和/或平面电压变化占比;当管脚电压变化占比大于阈值时,基于电流密度分布对SINK管脚分布进行自动优化,和/或当平面电压变化占比大于阈值时,基于电流密度分布对电源‑地网络的覆铜部分进行优化;通过对集成电路的仿真并对SINK管脚分布不合理进行优化和对电源‑地网络的覆铜部分进行自动优化,使集成电路的电源供电系统电源‑地网络的SINK电压达标,符合设计需求。

Description

一种自动优化集成电路电源-地网络的方法和系统
技术领域
本申请涉及集成电路技术领域,具体涉及一种自动优化集成电路电源-地网络的方法和系统。
背景技术
在超大规模集成电路中往往设计有几层到上百层结构,每层结构极其复杂,集成数千万甚至数亿的晶体管,具有多尺度结构,从厘米级到目前最新的纳米级,这些数以亿计的元器件在集成电路封装上形成了数以万计的电源与信号网络,以实现多路信号、多个功能同时并发工作。由于数以万计的电源与信号网络同时工作,需要多个相同或不同电压的电源供电系统(或电压调节模块,VRM)同时给整个集成电路封装系统供电。因此,非常有必要通过后期的仿真与诊断,首先检测设计的集成电路版图的多电源供电系统是否合格,对于有设计缺陷的集成电路版图,通过仿真的手段诊断出设计缺陷的位置,并采用系统的方法对设计的集成电路版图的多个电源供电系统进行优化。其中,每个电源供电系统的电源-地网络是优化的对象之一,当任一电源供电系统的电源-地网络存在SINK电压不达标时即需要对该电源供电系统的电源-地网络进行优化。
经过发明人研究发现,SINK电压不达标的可能的原因是由于SINK的管脚分布不合理或VRM、SINK间的直流电阻过大。因此如何自动判断电源-地网络的SINK的管脚是否合理和VRM与SINK间的直流电阻是否过大并基于判断结果进行优化处理使电源供电系统电源-地网络的SINK电压达标是本领域技术人员亟待解决的技术问题。
发明内容
(一)申请目的
有鉴于此,本申请的目的在于提供一种自动优化集成电路电源-地网络的方法和系统,用于解决如何自动判断电源-地网络的SINK的管脚是否合理和VRM与SINK间的直流电阻是否过大并基于判断结果进行优化处理使电源供电系统电源-地网络的SINK电压达标的技术问题。
(二)技术方案
本申请公开一种自动优化集成电路电源-地网络的方法,包括如下步骤:
S1、采用有限元法计算集成电路的任一未进行处理的电源-地网络的电压分布;
S2、基于电压分布,计算评估指标,并基于设计需求设定不同评估指标的阈值,所述评估指标包括集成电路负载的最坏压降、负载的平均压降、电源网络的电源压降、地网络的地压降、电源供电系统的电压波动、电流密度中的一个或多个,其中,电流密度包括平面电流密度分布和过孔的电流密度;所述评估指标和阈值用于构建多个可靠性评估规则,所述多个可靠性评估规则用于基于所述设计需求选取不同的可靠性评估规则构建可靠性评估规则组合,所述可靠性评估规则组合用于对集成电路电源供电系统的可靠性进行评估;
S3、当可靠性评估规则组合中任一可靠性评估规则不满足时,则集成电路电源供电系统判定为不可靠,转入步骤S4,否则判定可靠,结束优化;
S4、当评估集成电路电源供电系统不可靠,判断集成电路电源供电系统中当前电源-地网络是否达标,若不达标,转入步骤S5,否则,转入步骤S7;
S5、基于当前电源-地网络的电压分布计算当前电源-地网络的SINK的管脚电压变化占比和/或平面电压变化占比;
S6、当当前电源-地网络的所述管脚电压变化占比大于管脚电压变化占比的阈值时,则判断当前电源-地网络的SINK存在管脚分布不合理,并对当前电源-地网络的SINK管脚分布进行自动优化;和/或当当前电源-地网络的所述平面电压变化占比大于平面电压变化占比的阈值时,则判断当前电源-地网络的VRM和SINK间的直流电阻过大,并基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化;
S7、返回到步骤S1,直到所述可靠性评估规则组合中所有可靠性评估规则都满足时,判定集成电路电源供电系统为可靠为止。
在一种可能的实施方式中,所述多个可靠性评估规则包括:规则1:用于评估SINK的电压是否达标,其定义为:计算的负载的最坏压降低于负载的最坏压降的阈值;规则2:用于评估SINK的电压是否达标,其定义为:计算的负载的平均压降低于负载的平均压降的阈值;规则3:用于评估集成电路供电系统的电源平面是否达标,其定义为:计算的电源网络的电源压降低于电源网络的电源压降的阈值;规则4:用于评估集成电路供电系统的地网络平面是否达标,其定义为:计算的地网络的地压降低于地网络的地压降的阈值;规则5:用于评估集成电路电源供电系统是否达标,其定义为:计算的集成电路电源供电系统的电压波动低于集成电路电源供电系统的电压波动的阈值,计算的集成电路电源供电系统任意位置的电流密度大小低于电流密度的阈值;
所述判断集成电路电源供电系统中当前电源-地网络是否达标包括:所述可靠性评估规则组合包括规则1和/或规则2,且判断规则1和规则2中任一规则是否达标,若规则1和规则2中任一规则不达标则判断集成电路电源供电系统中电源-地网络不达标,否则判断集成电路电源供电系统中电源-地网络达标。
在一种可能的实施方式中,所述基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化包括:
S621、基于所述电流密度,找出前k个电流密度峰值的热点区域并将k个热点区域中的最低电流密度作为基准电流密度,k为大于1的正整数;
S622、基于基准电流密度找出所有电流密度超过基准电流的区域,将其设置为电流密度超标区域;
S623、按电流密度由大到小的顺序依次对电流密度超标区域进行优化。
在一种可能的实施方式中,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括:按电流密度由大到小的顺序选择当前待优化的电流密度超标区域后,判断所述电流密度超标区域是否包含一个完整多边形的区域,若是,所述电流密度超标区域内所有网格剖分单元的电流密度超标,基于剖分的网格单元采用邻居扩充法在同层增大超标区域所在多边形的面积,在同层可扩充的面积不够的情况下找到有多余空间的层并在该层对应位置采用单元搜索与邻居扩充法增加版图多边形面积;否则,该超标由过孔引起,在超标区域周围均匀布置新的过孔。
在一种可能的实施方式种,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括:
S6231、根据电流密度超标区域的分布规律定义两类电流密度超标区域,并判断所述电流密度超标区域属于哪类超标区域;
若判断所述电流密度超标区域为第一类超标区域,第一类超标区域为一个完整多边形的区域,区域内所有网格剖分单元的电流密度超标,转入步骤S6232;若判断所述电流密度超标区域为第二类超标区域,第二类超标区域为一个多边形内的局部区域,在所述多边形内只有这个局部区域的网格剖分单元的电流密度超标,转入步骤S6237;
S6232、确定第一类超标区域的电压最高点A和电压最低点B,计算第一类超标区域的电流密度平均值;
S6233、根据第一类超标区域的电流密度平均值与电流密度允许最大值的比值,在当前层增大第一类超标区域的面积;
S6234、更新第一类超标区域的电流密度平均值,判断所述第一类超标区域的面积是否增大成功,若判断增大成功,转入步骤S6238,否则,转入步骤S6235;
S6235、设置Stotal=Snew,判断A,B对应的投影之间是否存在有多余空间的层,如果存在所述有多余空间的层,转入步骤S6236,否则,转入步骤S6239;所述Stotal为第一类超标区域增大后的总面积,Snew为步骤S6233实现的第一类超标区域增大后的面积;
S6236、增加连通超标区域到当前层的过孔VA,VB,在所述有多余空间的层增加能连通过孔VA,VB的覆铜多边形,获得更新的Stotal,转入步骤S6234;
S6237、将距离电流密度最大值最近的过孔定义为过孔V,在所述过孔V周围均匀布置新的具有相同顶层和底层的过孔;
S6238、获得新的电路版图设计模型,重新计算电流密度,转到步骤S6231;
S6239、结束优化。
作为本申请的第二方面,还公开了一种自动优化集成电路电源-地网络的系统,包括电压电流分布计算模块、评估指标计算模块、可靠性评估模块、诊断模块、电压变化占比计算模块、优化模块和重复执行模块;其中,所述电压电流分布计算模块用于采用有限元法计算集成电路的任一未进行处理的电源-地网络的电压分布;所述评估指标计算模块用于基于电压分布,计算评估指标,并基于设计需求设定不同评估指标的阈值,所述评估指标包括集成电路负载的最坏压降、负载的平均压降、电源网络的电源压降、地网络的地压降、电源供电系统的电压波动、电流密度中的一个或多个,其中,电流密度包括平面电流密度分布和过孔的电流密度;所述评估指标和阈值用于构建多个可靠性评估规则,所述多个可靠性评估规则用于基于所述设计需求选取不同的可靠性评估规则构建可靠性评估规则组合,所述可靠性评估规则组合用于对集成电路电源供电系统的可靠性进行评估;所述可靠性评估模块用于当可靠性评估规则组合中任一可靠性评估规则不满足时,则集成电路电源供电系统判定为不可靠,转入诊断模块执行,否则判定可靠,结束优化;所述诊断模块用于当评估集成电路电源供电系统不可靠,判断集成电路电源供电系统中当前电源-地网络是否达标,若不达标,转入电压变化占比计算模块执行,否则,转入重复执行模块执行;所述电压变化占比计算模块用于基于当前电源-地网络的电压分布计算当前电源-地网络的SINK的管脚电压变化占比和/或平面电压变化占比;所述优化模块用于当当前电源-地网络的所述管脚电压变化占比大于管脚电压变化占比的阈值时,则判断当前电源-地网络的SINK存在管脚分布不合理,并对当前电源-地网络的SINK管脚分布进行自动优化;和/或当当前电源-地网络的所述平面电压变化占比大于平面电压变化占比的阈值时,则判断当前电源-地网络的VRM和SINK间的直流电阻过大,并基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化;所述重复执行模块用于返回到所述电压电流分布计算模块执行,直到所述可靠性评估规则组合中所有可靠性评估规则都满足时,判定集成电路电源供电系统为可靠为止。
在一种可能的实施方式中,所述多个可靠性评估规则包括:规则1:用于评估SINK的电压是否达标,其定义为:计算的负载的最坏压降低于负载的最坏压降的阈值;规则2:用于评估SINK的电压是否达标,其定义为:计算的负载的平均压降低于负载的平均压降的阈值;规则3:用于评估集成电路供电系统的电源平面是否达标,其定义为:计算的电源网络的电源压降低于电源网络的电源压降的阈值;规则4:用于评估集成电路供电系统的地网络平面是否达标,其定义为:计算的地网络的地压降低于地网络的地压降的阈值;规则5:用于评估集成电路电源供电系统是否达标,其定义为:计算的集成电路电源供电系统的电压波动低于集成电路电源供电系统的电压波动的阈值,计算的集成电路电源供电系统任意位置的电流密度大小低于电流密度的阈值;
所述判断集成电路电源供电系统中当前电源-地网络是否达标包括:所述可靠性评估规则组合包括规则1和/或规则2,且判断规则1和规则2中任一规则是否达标,若规则1和规则2中任一规则不达标则判断集成电路电源供电系统中电源-地网络不达标,否则判断集成电路电源供电系统中电源-地网络达标。
在一种可能的实施方式中,所述基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化包括执行以下步骤:
S621、基于所述电流密度,找出前k个电流密度峰值的热点区域并将k个热点区域中的最低电流密度作为基准电流密度,k为大于1的正整数;
S622、基于基准电流密度找出所有电流密度超过基准电流的区域,将其设置为电流密度超标区域;
S623、按电流密度由大到小的顺序依次对电流密度超标区域进行优化。
在一种可能的实施方式中,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括:按电流密度由大到小的顺序选择当前待优化的电流密度超标区域后,判断所述电流密度超标区域是否包含一个完整多边形的区域,若是,所述电流密度超标区域内所有网格剖分单元的电流密度超标,基于剖分的网格单元采用邻居扩充法在同层增大超标区域所在多边形的面积,在同层可扩充的面积不够的情况下找到有多余空间的层并在该层对应位置采用单元搜索与邻居扩充法增加版图多边形面积;否则,该超标由过孔引起,在超标区域周围均匀布置新的过孔。
在一种可能的实施方式中,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括执行以下步骤:
S6231、根据电流密度超标区域的分布规律定义两类电流密度超标区域,并判断所述电流密度超标区域属于哪类超标区域;
若判断所述电流密度超标区域为第一类超标区域,第一类超标区域为一个完整多边形的区域,区域内所有网格剖分单元的电流密度超标,转入步骤S6232;若判断所述电流密度超标区域为第二类超标区域,第二类超标区域为一个多边形内的局部区域,在所述多边形内只有这个局部区域的网格剖分单元的电流密度超标,转入步骤S6237;
S6232、确定第一类超标区域的电压最高点A和电压最低点B,计算第一类超标区域的电流密度平均值;
S6233、根据第一类超标区域的电流密度平均值与电流密度允许最大值的比值,在当前层增大第一类超标区域的面积;
S6234、更新第一类超标区域的电流密度平均值,判断所述第一类超标区域的面积是否增大成功,若判断增大成功,转入步骤S6238,否则,转入步骤S6235;
S6235、设置Stotal=Snew,判断A,B对应的投影之间是否存在有多余空间的层,如果存在所述有多余空间的层,转入步骤S6236,否则,转入步骤S6239;所述Stotal为第一类超标区域增大后的总面积,Snew为步骤S6233实现的第一类超标区域增大后的面积;
S6236、增加连通超标区域到当前层的过孔VA,VB,在所述有多余空间的层增加能连通过孔VA,VB的覆铜多边形,获得更新的Stotal,转入步骤S6234;
S6237、将距离电流密度最大值最近的过孔定义为过孔V,在所述过孔V周围均匀布置新的具有相同顶层和底层的过孔;
S6238、获得新的电路版图设计模型,重新计算电流密度,转到步骤S6231;
S6239、结束优化。
(三)有益效果
本申请通过对集成电路的仿真并对SINK管脚分布不合理进行优化和对电源-地网络的覆铜部分进行自动优化,使电源供电系统电源-地网络的SINK电压达标符合设计需求。
本申请的其他优点、目标和特征在某种程度上将在随后的说明书中进行阐述,并且在某种程度上,基于对下文的考察研究对本领域技术人员而言将是显而易见的,或者可以从本申请的实践中得到教导。本申请的目标和其他优点可以通过下面的说明书来实现和获得。
附图说明
以下参考附图描述的实施例是示例性的,旨在用于解释和说明本申请,而不能理解为对本申请的保护范围的限制。
图1是本申请的系统流程图;
图2是本申请的SINK管脚的多边形构建图;
图3是本申请的SINK管脚中任一管脚P的状态处理图;
图4是本申请的SINK管脚中任一管脚P后的下一管脚Q的状态处理图;
图5是本申请的SINK管脚的新的多边形构建图;
图6是本申请的SINK管脚的包络凸多边形网格单元顶点收集图;
图7是本申请的系统结构图;
其中,5、电压电流分布计算模块;6、评估指标计算模块;7、可靠性评估模块;8、诊断模块;9、电压变化占比计算模块;10、优化模块;11、重复执行模块。
具体实施方式
为使本申请实施例的目的、技术方案和优点更加清楚,下面将结合本申请实施例中的附图,对本申请实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例是本申请一部分实施例,而不是全部的实施例。通常在此处附图中描述和示出的本申请实施例的组件可以以各种不同的配置来布置和设计。
因此,以下对在附图中提供的本申请的实施例的详细描述并非旨在限制要求保护的本申请的范围,而是仅仅表示本申请的选定实施例。基于本申请中的实施例,本领域普通技术人员在没有作出创造性劳动前提下所获得的所有其他实施例,都属于本申请保护的范围。
应注意到:相似的标号和字母在下面的附图中表示类似项,因此,一旦某一项在一个附图中被定义,则在随后的附图中不需要对其进行进一步定义和解释。
在本申请的上述描述中,术语“第一”、“第二”等仅用于区分描述,而不能理解为指示或暗示相对重要性。
如图1所示,本实施例提供了一种自动优化集成电路电源-地网络的方法,包括如下步骤:
S1、采用有限元法计算集成电路的任一未进行处理的电源-地网络的电压分布。
在一些实施例中,包括:将集成电路三维电场模型简化为多层二维电场模型,并对多层二维电场模型的各层集成电路版图进行网格剖分;对所述多层二维电场模型形成的微分方程对应的泛函在网格剖分单元上进行离散,取极值并令极值为零得到有限元刚度矩阵方程组,对所述有限元刚度矩阵方程组进行求解,得到集成电路每层平板上的电压分布;根据所述集成电路每层平板上的电压分布,计算场域的电流密度分布,即每层版图中网格剖分单元的电流密度分布。
所述将集成电路三维电场模型简化为多层二维电场模型,具体计算如下:
集成电路三维电场模型是指所述直流电场模型中电导率、电位的分布均为三维空间坐标的函数,即:/>,/>,其满足方程1及边界条件方程2:
方程1:
方程2:
方程2中,为第一类边界,/>为第二类边界的法向,/>为电位/>在第一类边界/>上的值,用/>表示,/>为外部电路的体电流密度;
针对所述多层集成电路直流电场的三维模型,建立各层集成电路直流电场二维模型的泛函方程3:
方程3:
方程3中,式中为泛函,/>为金属层的厚度,/>为网格单元/>的电导率;为网格单元/>的节点的电位向量;/>为网格单元/>的面;/>表示网格单元/>的边;/>为表面电流密度,是由外部电路产生的未知量;/>为第一边界;/>为电位/>在第一边界/>上的值,用/>表示;/>为外部电路的体电流密度;/>为电位/>分布的横坐标,/>为电位/>分布的纵坐标。
对以上泛函在网格剖分单元上进行离散,取极值并令极值为零,即可获得有限元方程组,求解这个有限元方程组即可获得集成电路每层平板上的电压分布。
S2、基于电压分布,计算评估指标,并基于设计需求设定不同评估指标的阈值,所述评估指标包括集成电路负载的最坏压降、负载的平均压降、电源网络的电源压降、地网络的地压降、电源供电系统的电压波动、电流密度中的一个或多个,其中,电流密度包括平面电流密度分布和过孔的电流密度;所述评估指标和阈值用于构建多个可靠性评估规则,所述多个可靠性评估规则用于基于所述设计需求选取不同的可靠性评估规则构建可靠性评估规则组合,所述可靠性评估规则组合用于对集成电路电源供电系统的可靠性进行评估。所述负载的最坏压降定义为VRM正极管脚的电压最大值减SINK正极管脚的电压最小值的差值,负载的平均压降定义为VRM正极管脚的电压平均值减SINK正极管脚的电压平均值的差值,电源网络的电源压降定义为VRM的输出电压减电源网络平面的最低电压的差值,地网络的地压降定义为地网络平面的最高电压减地网络平面的最低电压的差值,集成电路电源供电系统的电压波动定义为电源网络压降的绝对值加上地网络压降的绝对值。
VRM正极管脚为连在电源网络上的VRM管脚,VRM负极管脚为连在地网络上的VRM管脚。
基于电压分布,分别找出VRM正极管脚在电源网络对应的位置和SINK正极管脚在地网络对应的位置,该位置的电压即为VRM正极管脚的电压和SINK正极管脚的电压,基于VRM正极管脚的电压和SINK正极管脚的电压计算VRM正极管脚的电压最大值、SINK正极管脚的电压最小值、VRM正极管脚的电压平均值、SINK正极管脚的电压平均值、VRM的输出电压,VRM正极管脚的电压最大值即为所有计算的VRM的正极管脚的电压取最大值,譬如某个VRM有16个正极管脚,那就是16个正极管脚的电压的最大值,SINK正极管脚的电压最大值即为所有计算的SINK的正极管脚的电压取最大值;电源平面的最低电压为有限元法获得的电压分布在电源平面上的最小值;地网络平面的最高电压为有限元法获得的电压分布在地网络平面上的最大值;地网络平面的最低电压为有限元法获得的电压分布在地网络平面上的最小值。
基于电压分布,计算平面电流密度的分布和过孔的电流密度/>
式中,为平面所在导体的电导率,/>为平面电源或地网络平面任意点的坐标,/>为有限元法获得的电压分布,/>为梯度运算符;
过孔的电流密度由有限元法获得的电压分布根据下式计算获得:
式中,为第/>个过孔的电流密度,/>为第/>个过孔top层所在位置的电压,/>为第/>个过孔bottom层所在位置的电压,/>为第/>个过孔的电阻,为第/>个过孔的截面积。
在一些实施例中,所述多个可靠性评估规则包括:
规则1:用于评估SINK的电压是否达标,其定义为:计算的负载的最坏压降低于负载的最坏压降的阈值;
规则2:用于评估SINK的电压是否达标,其定义为:计算的负载的平均压降低于负载的平均压降的阈值;
规则3:用于评估集成电路供电系统的电源平面是否达标,其定义为:计算的电源网络的电源压降低于电源网络的电源压降的阈值;
规则4:用于评估集成电路供电系统的地网络平面是否达标,其定义为:计算的地网络的地压降低于地网络的地压降的阈值;
规则5:用于评估集成电路电源供电系统是否达标,其定义为:计算的集成电路电源供电系统的电压波动低于集成电路电源供电系统的电压波动的阈值,计算的集成电路电源供电系统任意位置的电流密度大小低于电流密度的阈值。
在一些实施例中,所述多个可靠性评估规则用于基于所述设计需求选取不同的可靠性评估规则构建可靠性评估规则组合包括:所述设计需求包括保守设计、余量设计和经济设计中的任一一个;当设计需求为保守设计或余量设计,所述可靠性评估规则组合包括规则1、规则3、规则4和规则5;当设计需求为经济设计,所述可靠性评估规则组合包括规则2、规则3、规则4和规则5。
S3、当可靠性评估规则组合中任一可靠性评估规则不满足时,则集成电路电源供电系统判定为不可靠,转入步骤S4,否则判定可靠,结束优化。
如上述中所述,可靠性评估规则组合由不同的可靠性评估规则构成,而可靠性评估规则由不同评估指标及其阈值构成,当所述设计需求包括保守设计、余量设计和经济设计中的任一一个;当设计需求为保守设计或余量设计,所述可靠性评估规则组合包括规则1、规则3、规则4和规则5,当规则1、规则3、规则4和规则5中任一一个可靠性评估规则不满足时即判定集成电路电源供电系统为不可靠,全部满足则判定为可靠;当设计需求为经济设计,所述可靠性评估规则组合包括规则2、规则3、规则4和规则5,当规则2、规则3、规则4和规则5中任一一个可靠性评估规则不满足时即判定集成电路电源供电系统为不可靠,全部满足则判定为可靠。
S4、当评估集成电路电源供电系统不可靠,判断集成电路电源供电系统中当前电源-地网络是否达标,若不达标,转入步骤S5,否则,转入步骤S7。
在一种实施例中,如上述中所述多个可靠性评估规则包括规则1、规则2、规则3、规则4和规则5,所述判断集成电路电源供电系统中当前电源-地网络是否达标包括:所述可靠性评估规则组合包括规则1和/或规则2,且判断规则1和规则2中任一规则是否达标,若规则1和规则2中任一规则不达标则判断集成电路电源供电系统中电源-地网络不达标,否则判断集成电路电源供电系统中电源-地网络达标。
S5、基于当前电源-地网络的电压分布计算当前电源-地网络的SINK的管脚电压变化占比和/或平面电压变化占比。
在一些实施例中,包括:根据电压分布获取VRM正极管脚的电压和SINK正极管脚的电压,基于VRM正极管脚的电压和SINK正极管脚的电压计算VRM正极管脚的电压最大值、SINK正极管脚的电压最大值、SINK正极管脚的电压最小值、VRM正极管脚的电压平均值和/或SINK正极管脚的电压平均值;基于SINK正极管脚的电压最大值和SINK正极管脚的电压最小值之差计算SINK管脚电压变化;基于VRM正极管脚的电压最大值和SINK正极管脚的电压最小值之差计算最坏压降或基于VRM正极管脚的电压平均值和SINK正极管脚的电压平均值之差计算平均压降,基于SINK管脚电压变化与所述最坏压降的比值计算SINK的管脚电压变化占比或基于SINK管脚电压变化与所述平均压降的比值计算SINK的管脚电压变化占比;基于SINK的管脚电压变化占比计算平面电压变化占比,所述平面电压变化占比为1-SINK的管脚电压变化占比。VRM正极管脚的电压最大值即为所有计算的VRM的正极管脚的电压取最大值,譬如某个VRM有16个正极管脚,那就是16个正极管脚的电压的最大值,SINK正极管脚的电压最大值即为所有计算的SINK的正极管脚的电压取最大值。
S6、当当前电源-地网络的所述管脚电压变化占比大于管脚电压变化占比的阈值时,则判断当前电源-地网络的SINK存在管脚分布不合理,并对当前电源-地网络的SINK管脚分布进行自动优化;和/或当当前电源-地网络的所述平面电压变化占比大于平面电压变化占比的阈值时,则判断当前电源-地网络的VRM和SINK间的直流电阻过大,并基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化。
例如,基于规则1/规则2评估的集成电路电源供电系统为不可靠,即确定该电源-地网络不达标,计算获得VRM正极管脚的电压最大值为0.995V,SINK正极管脚最高电压为0.953V,最低电压为0.905V,由此计算得最坏压降为0.995-0.905=0.09V,采用最坏压降计算的SINK的管脚电压变化占比为(0.995-0.953)/0.09=46.7%,进而基于SINK的管脚电压变化占比计算的平面电压变化占比为1-46.7%=53.3%,假设设定的SINK管脚电压变化占比阈值为30%,平面电压变化占比阈值为30%,则可确定为该电源-地网络不达标的可能原因为SINK管脚分布不合理,且VRM、SINK间的直流电阻过大。
在一些实施例中,对当前电源-地网络的SINK管脚分布进行自动优化包括:S611、采用递归法建立SINK管脚的包络凸多边形,并搜索位于所述包络凸多边形之内的网格单元顶点。
在一些实施例中,包括S6111、设置SINK所有管脚的状态为未处理;选择四个不在同一直线上的管脚,基于每个管脚的坐标值分别记为x坐标最大的管脚、y坐标最大的管脚、x坐标最小的管脚和y坐标最小的管脚,更改四个管脚的状态为已处理;依次连接这四个管脚形成多边形,设多边形的中心为O,其中心坐标为其顶点坐标的平均值;如图2,黑点代表某个SINK的所有管脚,首先设置其状态为未处理,选择图中的4个拐角处的管脚作为初始4个不在同一直线上的管脚,其中的管脚1满足x坐标最大且y坐标不为最大,管脚2满足剩下的3个管脚中y坐标最大且x坐标不为最小,管脚3满足剩下的x坐标最小且y坐标不为最小,管脚4未初始4个管脚中剩下的最后一个管脚,依次连接管脚1,2,3,4形成多边形,设多边形的中心为O;
S6112、将其他状态为未处理的任一管脚P,与多边形的中心O连成线段,更改该管脚P的状态为已处理;
S6113、判断线段是否与多边形的任一边相交,如果不与多边形的任一边相交,转入步骤S6112,否则,设相交的边为/>,转入步骤S6114;
S6114、将管脚P插入到相交的边,形成新的边/>和/>,并形成新的多边形,采用下式更新多边形的中心O的坐标:/>式中:/>为更新多边形的中心O的横坐标,/>为更新多边形的中心O的纵坐标,/>为插入管脚P后多边形的顶点数,为管脚P的横坐标,/>为管脚P的纵坐标,/>为插入管脚P之前多边形中心O的横坐标,/>为插入管脚P之前多边形中心O的纵坐标;
如图3-5,图3中,选取任一未处理的管脚P,与多边形的中心O连成线段,更改该管脚P的状态为已处理,线段/>不与多边形的任一边相交;如图4所示,继续选择下一管脚Q,与多边形的中心O连成线段/>,更改该管脚Q的状态为已处理;线段/>与多边形的边相交,设相交的边为/>,将管脚Q插入到相交的边/>,形成新的边/>和/>,并形成新的多边形,更新多边形的中心O的坐标,如图5所示;S6115、转入步骤S6114,直到所有管脚的状态均为已处理,此时获得的多边形即为SINK管脚的所述包络凸多边形;S612、收集位于包络凸多边形内的网格单元顶点,将位于包络凸多边形之内的网格单元顶点作为电路节点进行保留,设置其编号为1,2,…,N,其中N为位于包络凸多边形之内的网格单元顶点数。
在一些实施例中,所述收集位于包络凸多边形内的网格单元顶点包括:所述收集位于包络凸多边形内的网格单元顶点包括:对网格单元的每一个顶点V,与多边形E的中心O连成线段,判断线段/>是否与多边形E的任一边相交,如果不与多边形E的任一边相交,顶点V在多边形E之内,否则在多边形E之外。如图6,为收集位于包络凸多边形内的网格单元顶点的方法,图中,对网格单元顶点V,与多边形的中心O相连,形成线段/>,如图中的VO之间的虚线所示,线段/>不与多边形的任一边相交,因此顶点V在多边形之内;图中,对网格单元顶点Z,与多边形的中心O相连,形成线段/>,如图中的ZO之间的虚线所示,可见,线段/>与多边形的边相交,因此顶点Z在多边形之外。
S613、基于VRM各管脚是等电压,将VRM各管脚对应的电路节点设置为超节点,设置其编号为N+1,将剩余网格单元顶点单元重新编号,依次为N+2,…,N+M,其中N+M为所有网格节点的总数;在一些实施例中,所述将VRM各管脚对应的电路节点设置为超节点包括:
S6131、定义形成所述超节点之前所有的VRM各管脚对应的电路节点为原始节点,并对所述原始节点设置原始编号,设置所有的所述VRM各管脚对应的电路节点为所述超节点,所述超节点的原始节点设置为本身;S6132、搜索所述VRM中包含的所有电压源支路,将所述电压源支路端点的两个超节点合并为一个超节点,合并该两个超节点的原始节点到合并后的超节点,删除未被合并的超节点,形成更新的电路。
S614、若N<=k*PINsink,基于所述位于包络凸多边形之内的网格单元顶点向外扩展网格单元顶点,直到满足N>=k*PINsink,其中k为预定义的大于1的系数,PINsink为SINK管脚数。
S615、采用直流分析方法建立有限元方程组,形成有限元稀疏矩阵,反复利用三角形-星形变化消除非电路节点,保留编号为1~N+1的电路节点,形成电路节点的电导矩阵,将节点N+1作为参考节点,节点1~N对应的电路节点的导纳矩阵的对角元即为节点1~N到参考节点的电导,其倒数为节点1~N到参考节点的电阻。在一些实施例中,列写基于直流分析方法建立的有限元方程组,得到有限元稀疏矩阵;所述有限元稀疏矩阵关联的是有限元网格的节点;将所述有限元稀疏矩阵等效为以有限元网格为关联的导纳网络的稀疏矩阵;将所述导纳网络的稀疏矩阵反复进行星形-三角形变换消去非电路节点。在公开文件CN115544958 A对此存在详细记载,在此不在赘述。
S616、基于优先原始管脚对应的电路节点,筛选到参考节点的电阻的差异小于预先设定的阈值且到参考节点之间的距离大于预先设定阈值的电路节点作为优化的SINK管脚与覆铜版图接触的新的位置点。在一些实施例中,包括:S6161、设置所有电路节点的状态为未处理;S6162、设置初始筛选的电路节点为原始管脚对应的电路节点,计算筛选的电路节点到参考节点的电阻的平均值;S6163、计算筛选的电路节点到参考节点的电阻与电阻平均值的差值,找出电阻差值最大的电路节点;S6164、如果所有电路节点都已处理,现有筛选的电路节点对应的位置即为优化后的SINK管脚的位置,结束;否则,如果还存在未处理的电路节点,任取一个未处理的电路节点q,修改其处理状态为已处理,判断其距离与任一筛选的电路节点的距离是否小于预先设定的距离阈值,如果是,转入下一个未处理的电路节点,重复执行步骤S6164,否则,转入步骤S6165;S6165、计算该电路节点到参考节点的电阻与电阻平均值的差值,比较这个差值与步骤S6163中的最大差值,如果大于最大差值,转入步骤S6164,否则,转入步骤S6166;S6166、将该电路节点设置为被筛选的电路节点,同时去除步骤S6163中的电阻差值最大的电路节点,更新筛选的电路节点到参考节点的电阻的平均值:式中,/>为更新筛选的电路节点到参考节点的电阻的平均值,/>为筛选的SINK管脚数量,/>为电路节点/>到参考节点的电阻,/>为更新前筛选的电路节点到参考节点的电阻的平均值。跟据电阻与导体截面成反比的性质:/>/>
其中为导体的电阻率,l为导体的长度,S为导体的横截面积,想降低VRM、SINK间的直流电阻,需要增大电源-地网络回路上覆铜区域最细部分的宽度(因为覆铜层厚度相等,增大最细部分的宽度相当于直接增大了导体的横截面积)。根据集成电路直流压降和电流密度分布规律,连接电源-地网络回路上最细的位置恰好对应电流密度最大的位置,因此原来的问题转换为如何增大电源-地网络回路上覆铜区域的面积以降低回路上最大电流密度。
降低VRM、SINK间的直流电阻的方法:
根据电阻与导体截面成反比的性质,最细部分的宽度增大一倍,则对应的最大电阻减少一倍,又根据电阻串联时最大电阻主导总电阻,电阻并联时最小电阻越小总电阻越小,但由于最小电阻本身较小的情况下,需要付出更大的代价即增大更大的面积才能获得最小电阻较低的减小,因此在电阻并联的情况下降低最小电阻能更快降低总的电阻。总而言之:在电阻串、并联混合的情况下,不断降低最高电阻可较快降低总的电阻。在一些实施例中,基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化包括:S621、基于所述电流密度,找出前k个电流密度峰值的热点区域并将k个热点区域中的最低电流密度作为基准电流密度,k为大于1的正整数;S622、基于基准电流密度找出所有电流密度超过基准电流的区域,将其设置为电流密度超标区域;即为k个热点区域中除去基准电流密度区域的其他区域;S623、按电流密度由大到小的顺序依次对电流密度超标区域进行优化。本实施例中的k个为平面电流密度和过孔的电流密度综合的前k个。
在一些实施例中,按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括:
按电流密度由大到小的顺序选择当前待优化的电流密度超标区域后,判断所述电流密度超标区域是否包含一个完整多边形的区域,若是,所述电流密度超标区域内所有网格剖分单元的电流密度超标,基于剖分的网格单元采用邻居扩充法在同层增大超标区域所在多边形的面积,在同层可扩充的面积不够的情况下找到有多余空间的层并在该层对应位置采用单元搜索与邻居扩充法增加版图多边形面积;否则,该超标由过孔引起,在超标区域周围均匀布置新的过孔,新的过孔是与过孔类似。具体步骤如下:
S6231:根据电流密度超标区域的分布规律定义两类电流密度超标区域,并判断所述电流密度超标区域属于哪类超标区域;
若判断所述电流密度超标区域为第一类超标区域,即一个完整多边形的区域,区域内所有网格剖分单元的电流密度超标,转入步骤S6232;
若判断所述电流密度超标区域为第二类超标区域,即一个多边形内的局部区域,在所述多边形内只有这个局部区域的网格剖分单元的电流密度超标,转入步骤S6237;
步骤S6232:确定第一类超标区域的电压最高点A和电压最低点B,计算第一类超标区域的电流密度平均值;
步骤S6233:根据第一类超标区域的电流密度平均值与电流密度允许最大值的比值,在当前层增大第一类超标区域的面积;
步骤S6234:更新第一类超标区域的电流密度平均值,判断所述第一类超标区域的面积是否增大成功,若判断增大成功,转入步骤S6238,否则,转入步骤S6235;
步骤S6235:设置Stotal=Snew,判断A,B对应的投影之间是否存在有多余空间的层,如果存在所述有多余空间的层,转入步骤S6236,否则,转入步骤S6239;所述Stotal为第一类超标区域增大后的总面积,Snew为步骤S6233实现的第一类超标区域增大后的面积;
步骤S6236:增加连通超标区域到当前层的过孔VA, VB,在所述有多余空间的层增加能连通过孔VA, VB的覆铜多边形,获得更新的Stotal,转入步骤S6234;
步骤S6237:将距离电流密度最大值最近的过孔定义为过孔V,在所述过孔V周围均匀布置新的具有相同顶层和底层的过孔;
步骤S6238:获得新的电路版图设计模型,重新计算电流密度,转到步骤S6231;
步骤S6239:结束优化。
S7、返回执行步骤S1,直到所述可靠性评估规则组合中所有可靠性评估规则都满足时,判定集成电路电源供电系统为可靠为止。
通过对集成电路的仿真并对SINK管脚分布不合理进行优化和对电源-地网络的覆铜部分进行自动优化,使电源供电系统电源-地网络的SINK电压达标符合设计需求。
工作原理:首先,针对提供的集成电路版图,采用有限元法仿真供电系统的每组电源-地网络,计算出每组电源-地网络的电压分布和电流密度分布,基于电压分布和电流密度、评估指标和阈值,用于构建多个可靠性评估规则1~规则5用于评估每组电源-地网络;当每组电源-地网络的可靠性评估规则组合中任一可靠性评估规则不满足时,基于集成电路电源供电系统是电源-地网络的集合判定集成电路电源供电系统为不可靠,否则判定可靠;进一步,如果当评估集成电路电源供电系统不可靠,判断是否是规则1/规则2不满足,如果是规则1/规则2不满足,为确定是否是由于SINK的管脚分布不合理或VRM、SINK间的直流电阻过大引起,计算当前的集成电路电源供电系统的SINK的管脚电压变化占比和/或平面电压变化占比;当管脚电压变化占比大于管脚电压变化占比的阈值时则判断集成电路电源供电系统不可靠,其中的原因是当前的集成电路电源供电系统的SINK存在管脚分布不合理;和/或当平面电压变化占比大于平面电压变化占比的阈值时,其中的原因是当前的集成电路电源供电系统的VRM和SINK间的直流电阻过大,分别针对当前的集成电路电源供电系统的SINK存在管脚分布不合理和/或当平面电压变化占比大于平面电压变化占比的阈值时则判断当前的集成电路电源供电系统的VRM和SINK间的直流电阻过大进行优化,直到规则1/规则2满足为止。
如图7所示,作为本实施例的第二方面还提供了还公开了一种自动优化集成电路电源-地网络的系统,包括电压电流分布计算模块5、评估指标计算模块6、可靠性评估模块7、诊断模块8、电压变化占比计算模块9、优化模块10和重复执行模块11;其中,所述电压电流分布计算模块5用于采用有限元法计算集成电路的任一未进行处理的电源-地网络的电压分布;所述评估指标计算模块6用于基于电压分布,计算评估指标,并基于设计需求设定不同评估指标的阈值,所述评估指标包括集成电路负载的最坏压降、负载的平均压降、电源网络的电源压降、地网络的地压降、电源供电系统的电压波动、电流密度中的一个或多个,其中,电流密度包括平面电流密度分布和过孔的电流密度;所述评估指标和阈值用于构建多个可靠性评估规则,所述多个可靠性评估规则用于基于所述设计需求选取不同的可靠性评估规则构建可靠性评估规则组合,所述可靠性评估规则组合用于对集成电路电源供电系统的可靠性进行评估;所述可靠性评估模块7用于当可靠性评估规则组合中任一可靠性评估规则不满足时,则集成电路电源供电系统判定为不可靠,转入诊断模块8执行,否则判定可靠,结束优化;所述诊断模块8用于当评估集成电路电源供电系统不可靠,判断集成电路电源供电系统中当前电源-地网络是否达标,若不达标,转入电压变化占比计算模块9执行,否则,转入重复执行模块11执行;所述电压变化占比计算模块9用于基于当前电源-地网络的电压分布计算当前电源-地网络的SINK的管脚电压变化占比和/或平面电压变化占比;所述优化模块10用于当当前电源-地网络的所述管脚电压变化占比大于管脚电压变化占比的阈值时,则判断当前电源-地网络的SINK存在管脚分布不合理,并对当前电源-地网络的SINK管脚分布进行自动优化;和/或当当前电源-地网络的所述平面电压变化占比大于平面电压变化占比的阈值时,则判断当前电源-地网络的VRM和SINK间的直流电阻过大,并基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化;所述重复执行模块11用于返回到所述电压电流分布计算模块5执行,直到所述可靠性评估规则组合中所有可靠性评估规则都满足时,判定集成电路电源供电系统为可靠为止。
在一些实施例中,所述多个可靠性评估规则包括:规则1:用于评估SINK的电压是否达标,其定义为:计算的负载的最坏压降低于负载的最坏压降的阈值;规则2:用于评估SINK的电压是否达标,其定义为:计算的负载的平均压降低于负载的平均压降的阈值;规则3:用于评估集成电路供电系统的电源平面是否达标,其定义为:计算的电源网络的电源压降低于电源网络的电源压降的阈值;规则4:用于评估集成电路供电系统的地网络平面是否达标,其定义为:计算的地网络的地压降低于地网络的地压降的阈值;规则5:用于评估集成电路电源供电系统是否达标,其定义为:计算的集成电路电源供电系统的电压波动低于集成电路电源供电系统的电压波动的阈值,计算的集成电路电源供电系统任意位置的电流密度大小低于电流密度的阈值;
所述判断集成电路电源供电系统中当前电源-地网络是否达标包括:所述可靠性评估规则组合包括规则1和/或规则2,且判断规则1和规则2中任一规则是否达标,若规则1和规则2中任一规则不达标则判断集成电路电源供电系统中电源-地网络不达标,否则判断集成电路电源供电系统中电源-地网络达标。
在一些实施例中,所述基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化包括执行以下步骤:
S621、基于所述电流密度,找出前k个电流密度峰值的热点区域并将k个热点区域中的最低电流密度作为基准电流密度,k为大于1的正整数;
S622、基于基准电流密度找出所有电流密度超过基准电流的区域,将其设置为电流密度超标区域;
S623、按电流密度由大到小的顺序依次对电流密度超标区域进行优化。
在一些实施例中,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括:按电流密度由大到小的顺序选择当前待优化的电流密度超标区域后,判断所述电流密度超标区域是否包含一个完整多边形的区域,若是,所述电流密度超标区域内所有网格剖分单元的电流密度超标,基于剖分的网格单元采用邻居扩充法在同层增大超标区域所在多边形的面积,在同层可扩充的面积不够的情况下找到有多余空间的层并在该层对应位置采用单元搜索与邻居扩充法增加版图多边形面积;否则,该超标由过孔引起,在超标区域周围均匀布置新的过孔。
在一些实施例中,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括执行以下步骤:
S6231、根据电流密度超标区域的分布规律定义两类电流密度超标区域,并判断所述电流密度超标区域属于哪类超标区域;
若判断所述电流密度超标区域为第一类超标区域,即一个完整多边形的区域,区域内所有网格剖分单元的电流密度超标,转入步骤S6232;若判断所述电流密度超标区域为第二类超标区域,即一个多边形内的局部区域,在所述多边形内只有这个局部区域的网格剖分单元的电流密度超标,转入步骤S6237;
S6232、确定第一类超标区域的电压最高点A和电压最低点B,计算第一类超标区域的电流密度平均值;
S6233、根据第一类超标区域的电流密度平均值与电流密度允许最大值的比值,在当前层增大第一类超标区域的面积;
S6234、更新第一类超标区域的电流密度平均值,判断所述第一类超标区域的面积是否增大成功,若判断增大成功,转入步骤S6238,否则,转入步骤S6235;
S6235、设置Stotal=Snew,判断A,B对应的投影之间是否存在有多余空间的层,如果存在所述有多余空间的层,转入步骤S6236,否则,转入步骤S6239;所述Stotal为第一类超标区域增大后的总面积,Snew为步骤S6233实现的第一类超标区域增大后的面积;
S6236、增加连通超标区域到当前层的过孔VA,VB,在所述有多余空间的层增加能连通过孔VA,VB的覆铜多边形,获得更新的Stotal,转入步骤S6234;
S6237、将距离电流密度最大值最近的过孔定义为过孔V,在所述过孔V周围均匀布置新的具有相同顶层和底层的过孔;
S6238、获得新的电路版图设计模型,重新计算电流密度,转到步骤S6231;
S6239、结束优化。
最后说明的是,以上实施例仅用以说明本申请的技术方案而非限制,尽管参照较佳实施例对本申请进行了详细说明,本领域的普通技术人员应当理解,可以对本申请的技术方案进行修改或者等同覆盖,而不脱离本申请技术方案的宗旨和范围,其均应涵盖在本申请的权利要求范围当中。

Claims (8)

1.一种自动优化集成电路电源-地网络的方法,其特征在于,包括如下步骤:
S1、采用有限元法计算集成电路的任一未进行处理的电源-地网络的电压分布;
S2、基于电压分布,计算评估指标,并基于设计需求设定不同评估指标的阈值,所述评估指标包括集成电路负载的最坏压降、负载的平均压降、电源网络的电源压降、地网络的地压降、电源供电系统的电压波动、电流密度中的一个或多个,其中,电流密度包括平面电流密度分布和过孔的电流密度;所述评估指标和阈值用于构建多个可靠性评估规则,所述多个可靠性评估规则用于基于所述设计需求选取不同的可靠性评估规则构建可靠性评估规则组合,所述可靠性评估规则组合用于对集成电路电源供电系统的可靠性进行评估;
S3、当可靠性评估规则组合中任一可靠性评估规则不满足时,则集成电路电源供电系统判定为不可靠,转入步骤S4,否则判定可靠,结束优化;
S4、当评估集成电路电源供电系统不可靠,判断集成电路电源供电系统中当前电源-地网络是否达标,若不达标,转入步骤S5,否则,转入步骤S7;
S5、基于当前电源-地网络的电压分布计算当前电源-地网络的SINK的管脚电压变化占比和/或平面电压变化占比;
S6、当当前电源-地网络的所述管脚电压变化占比大于管脚电压变化占比的阈值时,则判断当前电源-地网络的SINK存在管脚分布不合理,并对当前电源-地网络的SINK管脚分布进行自动优化;和/或当当前电源-地网络的所述平面电压变化占比大于平面电压变化占比的阈值时,则判断当前电源-地网络的VRM和SINK间的直流电阻过大,并基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化;
所述基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化包括:
S621、基于所述电流密度,找出前k个电流密度峰值的热点区域并将k个热点区域中的最低电流密度作为基准电流密度,k为大于1的正整数;
S622、基于基准电流密度找出所有电流密度超过基准电流的区域,将其设置为电流密度超标区域;
S623、按电流密度由大到小的顺序依次对电流密度超标区域进行优化;
S7、返回到步骤S1,直到所述可靠性评估规则组合中所有可靠性评估规则都满足时,判定集成电路电源供电系统为可靠为止。
2.根据权利要求1所述的一种自动优化集成电路电源-地网络的方法,其特征在于,所述多个可靠性评估规则包括:规则1:用于评估SINK的电压是否达标,其定义为:计算的负载的最坏压降低于负载的最坏压降的阈值;规则2:用于评估SINK的电压是否达标,其定义为:计算的负载的平均压降低于负载的平均压降的阈值;规则3:用于评估集成电路供电系统的电源平面是否达标,其定义为:计算的电源网络的电源压降低于电源网络的电源压降的阈值;规则4:用于评估集成电路供电系统的地网络平面是否达标,其定义为:计算的地网络的地压降低于地网络的地压降的阈值;规则5:用于评估集成电路电源供电系统是否达标,其定义为:计算的集成电路电源供电系统的电压波动低于集成电路电源供电系统的电压波动的阈值,计算的集成电路电源供电系统任意位置的电流密度大小低于电流密度的阈值;
所述判断集成电路电源供电系统中当前电源-地网络是否达标包括:所述可靠性评估规则组合包括规则1和/或规则2,且判断规则1和规则2中任一规则是否达标,若规则1和规则2中任一规则不达标则判断集成电路电源供电系统中电源-地网络不达标,否则判断集成电路电源供电系统中电源-地网络达标。
3.根据权利要求1所述的一种自动优化集成电路电源-地网络的方法,其特征在于,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括:按电流密度由大到小的顺序选择当前待优化的电流密度超标区域后,判断所述电流密度超标区域是否包含一个完整多边形的区域,若是,所述电流密度超标区域内所有网格剖分单元的电流密度超标,基于剖分的网格单元采用邻居扩充法在同层增大超标区域所在多边形的面积,在同层可扩充的面积不够的情况下找到有多余空间的层并在该层对应位置采用单元搜索与邻居扩充法增加版图多边形面积;否则,该超标由过孔引起,在所述过孔所在的超标区域周围均匀布置新的与所述过孔有相同顶层和底层的过孔。
4.根据权利要求1所述的一种自动优化集成电路电源-地网络的方法,其特征在于,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括:
S6231、根据电流密度超标区域的分布规律定义两类电流密度超标区域,并判断所述电流密度超标区域属于哪类超标区域;
若判断所述电流密度超标区域为第一类超标区域,第一类超标区域为一个完整多边形的区域,区域内所有网格剖分单元的电流密度超标,转入步骤S6232;若判断所述电流密度超标区域为第二类超标区域,第二类超标区域为一个多边形内的局部区域,在所述多边形内只有这个局部区域的网格剖分单元的电流密度超标,转入步骤S6237;
S6232、确定第一类超标区域的电压最高点A和电压最低点B,计算第一类超标区域的电流密度平均值;
S6233、根据第一类超标区域的电流密度平均值与电流密度允许最大值的比值,在当前层增大第一类超标区域的面积;
S6234、更新第一类超标区域的电流密度平均值,判断所述第一类超标区域的面积是否增大成功,若判断增大成功,转入步骤S6238,否则,转入步骤S6235;
S6235、设置Stotal=Snew,判断A,B对应的投影之间是否存在有多余空间的层,如果存在所述有多余空间的层,转入步骤S6236,否则,转入步骤S6239;所述Stotal为第一类超标区域增大后的总面积,Snew为步骤S6233实现的第一类超标区域增大后的面积;
S6236、增加连通超标区域到当前层的过孔VA,VB,在所述有多余空间的层增加能连通过孔VA,VB的覆铜多边形,获得更新的Stotal,转入步骤S6234;
S6237、将距离电流密度最大值最近的过孔定义为过孔V,在所述过孔V周围均匀布置新的具有相同顶层和底层的过孔;
S6238、获得新的电路版图设计模型,重新计算电流密度,转到步骤S6231;
S6239、结束优化。
5.一种自动优化集成电路电源-地网络的系统,其特征在于,包括电压电流分布计算模块、评估指标计算模块、可靠性评估模块、诊断模块、电压变化占比计算模块、优化模块和重复执行模块;其中,所述电压电流分布计算模块用于采用有限元法计算集成电路的任一未进行处理的电源-地网络的电压分布;所述评估指标计算模块用于基于电压分布,计算评估指标,并基于设计需求设定不同评估指标的阈值,所述评估指标包括集成电路负载的最坏压降、负载的平均压降、电源网络的电源压降、地网络的地压降、电源供电系统的电压波动、电流密度中的一个或多个,其中,电流密度包括平面电流密度分布和过孔的电流密度;所述评估指标和阈值用于构建多个可靠性评估规则,所述多个可靠性评估规则用于基于所述设计需求选取不同的可靠性评估规则构建可靠性评估规则组合,所述可靠性评估规则组合用于对集成电路电源供电系统的可靠性进行评估;所述可靠性评估模块用于当可靠性评估规则组合中任一可靠性评估规则不满足时,则集成电路电源供电系统判定为不可靠,转入诊断模块执行,否则判定可靠,结束优化;所述诊断模块用于当评估集成电路电源供电系统不可靠,判断集成电路电源供电系统中当前电源-地网络是否达标,若不达标,转入电压变化占比计算模块执行,否则,转入重复执行模块执行;所述电压变化占比计算模块用于基于当前电源-地网络的电压分布计算当前电源-地网络的SINK的管脚电压变化占比和/或平面电压变化占比;所述优化模块用于当当前电源-地网络的所述管脚电压变化占比大于管脚电压变化占比的阈值时,则判断当前电源-地网络的SINK存在管脚分布不合理,并对当前电源-地网络的SINK管脚分布进行自动优化;和/或当当前电源-地网络的所述平面电压变化占比大于平面电压变化占比的阈值时,则判断当前电源-地网络的VRM和SINK间的直流电阻过大,并基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化;所述重复执行模块用于返回到所述电压电流分布计算模块执行,直到所述可靠性评估规则组合中所有可靠性评估规则都满足时,判定集成电路电源供电系统为可靠为止;
所述基于所述电流密度对当前电源-地网络的覆铜部分进行自动优化包括执行以下步骤:
S621、基于所述电流密度,找出前k个电流密度峰值的热点区域并将k个热点区域中的最低电流密度作为基准电流密度,k为大于1的正整数;
S622、基于基准电流密度找出所有电流密度超过基准电流的区域,将其设置为电流密度超标区域;
S623、按电流密度由大到小的顺序依次对电流密度超标区域进行优化。
6.根据权利要求5所述的一种自动优化集成电路电源-地网络的系统,其特征在于,所述多个可靠性评估规则包括:规则1:用于评估SINK的电压是否达标,其定义为:计算的负载的最坏压降低于负载的最坏压降的阈值;规则2:用于评估SINK的电压是否达标,其定义为:计算的负载的平均压降低于负载的平均压降的阈值;规则3:用于评估集成电路供电系统的电源平面是否达标,其定义为:计算的电源网络的电源压降低于电源网络的电源压降的阈值;规则4:用于评估集成电路供电系统的地网络平面是否达标,其定义为:计算的地网络的地压降低于地网络的地压降的阈值;规则5:用于评估集成电路电源供电系统是否达标,其定义为:计算的集成电路电源供电系统的电压波动低于集成电路电源供电系统的电压波动的阈值,计算的集成电路电源供电系统任意位置的电流密度大小低于电流密度的阈值;
所述判断集成电路电源供电系统中当前电源-地网络是否达标包括:所述可靠性评估规则组合包括规则1和/或规则2,且判断规则1和规则2中任一规则是否达标,若规则1和规则2中任一规则不达标则判断集成电路电源供电系统中电源-地网络不达标,否则判断集成电路电源供电系统中电源-地网络达标。
7.根据权利要求5所述的一种自动优化集成电路电源-地网络的系统,其特征在于,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括:按电流密度由大到小的顺序选择当前待优化的电流密度超标区域后,判断所述电流密度超标区域是否包含一个完整多边形的区域,若是,所述电流密度超标区域内所有网格剖分单元的电流密度超标,基于剖分的网格单元采用邻居扩充法在同层增大超标区域所在多边形的面积,在同层可扩充的面积不够的情况下找到有多余空间的层并在该层对应位置采用单元搜索与邻居扩充法增加版图多边形面积;否则,该超标由过孔引起,在超标区域周围均匀布置新的过孔。
8.根据权利要求7所述的一种自动优化集成电路电源-地网络的系统,其特征在于,所述按电流密度由大到小的顺序依次对电流密度超标区域进行优化包括执行以下步骤:
S6231、根据电流密度超标区域的分布规律定义两类电流密度超标区域,并判断所述电流密度超标区域属于哪类超标区域;
若判断所述电流密度超标区域为第一类超标区域,第一类超标区域为一个完整多边形的区域,区域内所有网格剖分单元的电流密度超标,转入步骤S6232;若判断所述电流密度超标区域为第二类超标区域,第二类超标区域为一个多边形内的局部区域,在所述多边形内只有这个局部区域的网格剖分单元的电流密度超标,转入步骤S6237;
S6232、确定第一类超标区域的电压最高点A和电压最低点B,计算第一类超标区域的电流密度平均值;
S6233、根据第一类超标区域的电流密度平均值与电流密度允许最大值的比值,在当前层增大第一类超标区域的面积;
S6234、更新第一类超标区域的电流密度平均值,判断所述第一类超标区域的面积是否增大成功,若判断增大成功,转入步骤S6238,否则,转入步骤S6235;
S6235、设置Stotal=Snew,判断A,B对应的投影之间是否存在有多余空间的层,如果存在所述有多余空间的层,转入步骤S6236,否则,转入步骤S6239;所述Stotal为第一类超标区域增大后的总面积,Snew为步骤S6233实现的第一类超标区域增大后的面积;
S6236、增加连通超标区域到当前层的过孔VA,VB,在所述有多余空间的层增加能连通过孔VA,VB的覆铜多边形,获得更新的Stotal,转入步骤S6234;
S6237、将距离电流密度最大值最近的过孔定义为过孔V,在所述过孔V周围均匀布置新的具有相同顶层和底层的过孔;
S6238、获得新的电路版图设计模型,重新计算电流密度,转到步骤S6231;
S6239、结束优化。
CN202310690931.8A 2023-06-12 2023-06-12 一种自动优化集成电路电源-地网络的方法和系统 Active CN116432600B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310690931.8A CN116432600B (zh) 2023-06-12 2023-06-12 一种自动优化集成电路电源-地网络的方法和系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310690931.8A CN116432600B (zh) 2023-06-12 2023-06-12 一种自动优化集成电路电源-地网络的方法和系统

Publications (2)

Publication Number Publication Date
CN116432600A CN116432600A (zh) 2023-07-14
CN116432600B true CN116432600B (zh) 2023-08-25

Family

ID=87085875

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310690931.8A Active CN116432600B (zh) 2023-06-12 2023-06-12 一种自动优化集成电路电源-地网络的方法和系统

Country Status (1)

Country Link
CN (1) CN116432600B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000020576A (ja) * 1998-07-07 2000-01-21 Matsushita Electric Ind Co Ltd 電源最適化自動配置配線方法及びその方法を用いた電源最適化自動配置配線装置
CN206532778U (zh) * 2017-03-07 2017-09-29 灿芯半导体(上海)有限公司 版图布局优化的集成电路
CN113627119A (zh) * 2021-06-30 2021-11-09 苏州浪潮智能科技有限公司 自动优化电源的铜箔与灌孔增加电流稳定性的方法、装置

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003345845A (ja) * 2002-05-22 2003-12-05 Mitsubishi Electric Corp 自動配置配線装置
KR101044293B1 (ko) * 2009-10-30 2011-06-29 주식회사 엔타시스 다중 전압 도메인의 전력 배선망에서의 전원/접지 패드의 위치 및 개수를 최적화하는 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000020576A (ja) * 1998-07-07 2000-01-21 Matsushita Electric Ind Co Ltd 電源最適化自動配置配線方法及びその方法を用いた電源最適化自動配置配線装置
CN206532778U (zh) * 2017-03-07 2017-09-29 灿芯半导体(上海)有限公司 版图布局优化的集成电路
CN113627119A (zh) * 2021-06-30 2021-11-09 苏州浪潮智能科技有限公司 自动优化电源的铜箔与灌孔增加电流稳定性的方法、装置

Also Published As

Publication number Publication date
CN116432600A (zh) 2023-07-14

Similar Documents

Publication Publication Date Title
US8156460B2 (en) Method of estimating a leakage current in a semiconductor device
CN111898330A (zh) 基于多层次并行策略的集成电路电磁响应计算方法及装置
CN111931457B (zh) 基于混合阶有限元的多层集成电路电磁场计算方法及装置
CN114357941B (zh) 一种集成电路版图电流密度超标区域优化方法及系统
Finch et al. A method for gridless routing of printed circuit boards
US7900178B2 (en) Integrated circuit (IC) design method, system and program product
CN111898332A (zh) 超大规模集成电路频域仿真自适应频点提取与计算方法
Shringarpure et al. On finding the optimal number of decoupling capacitors by minimizing the equivalent inductance of the PCB PDN
US20080215303A1 (en) Method, Apparatus and Program for Creating a Power Pin Model of a Semiconductor Integrated Circuit
CN116432600B (zh) 一种自动优化集成电路电源-地网络的方法和系统
CN115600550A (zh) 基于端口电阻确定集成电路版图设计缺陷的精准检测方法
US6665846B2 (en) Method and configuration for verifying a layout of an integrated circuit and application thereof for fabricating the integrated circuit
CN113204931A (zh) 产生电路布局的方法
Shi A signed hypergraph model of the constrained via minimization problem
CN116522854B (zh) 多供电系统的集成电路仿真模型生成与计算方法及系统
Marek-Sadowska et al. The crossing distribution problem [IC layout]
CN116432599B (zh) 一种用于集成电路sink管脚优化的方法和系统
CN116432598B (zh) 一种评估集成电路电源供电系统可靠性的方法和系统
CN114330214B (zh) 一种包含走线的集成电路快速高精度计算的方法及装置
Zhao et al. Systematic power integrity analysis based on inductance decomposition in a multi-layered PCB PDN
CN112513861A (zh) 使用并行处理进行层次电路模拟的方法和系统
JP3664934B2 (ja) 半導体集積回路解析装置とその解析方法並びに解析方法を記録した記録媒体
CN116451643A (zh) 一种用于集成电路供电网络诊断定位的方法和系统
CN116542219B (zh) 集成电路电源供电系统的多vrm位置优化的方法及系统
JP3554479B2 (ja) 自動配置配線方法及び自動配置配線装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant