CN115640711A - 一种自适应方向的网格划分方法 - Google Patents

一种自适应方向的网格划分方法 Download PDF

Info

Publication number
CN115640711A
CN115640711A CN202110809363.XA CN202110809363A CN115640711A CN 115640711 A CN115640711 A CN 115640711A CN 202110809363 A CN202110809363 A CN 202110809363A CN 115640711 A CN115640711 A CN 115640711A
Authority
CN
China
Prior art keywords
excitation sources
axis
division
identifying
angles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110809363.XA
Other languages
English (en)
Other versions
CN115640711B (zh
Inventor
栾志雨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Xinrui Microelectronics Co ltd
Original Assignee
Shenzhen Xinrui Microelectronics Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen Xinrui Microelectronics Co ltd filed Critical Shenzhen Xinrui Microelectronics Co ltd
Priority to CN202110809363.XA priority Critical patent/CN115640711B/zh
Publication of CN115640711A publication Critical patent/CN115640711A/zh
Application granted granted Critical
Publication of CN115640711B publication Critical patent/CN115640711B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation

Landscapes

  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

本发明涉及网格划分技术领域,尤其涉及一种自适应方向的网格划分方法,解决了现有技术中运算的时间需要很长,斜线的划分需要的更加细致,从而需要的资源更加的多的问题。一种自适应方向的网格划分方法,包括将三维模型导入,各层数据有单独的名字和材料设定,识别出激励源,包括集中激励源和波端口激励源,以激励源为初始的数据端口,将所有的相连接的导体的材料识别出来,识别出当前的x,y轴的最大最小值。本发明自动识别图形中关键线的倾斜较多,所占比,自适应的调整整体的倾斜角度,使整体的格点划分明显的减少,自动旋转,格点的划分减少50%,精度保持不变,运算的时间和内存使用量,只是原来的30%,大大提高了运算所需时间。

Description

一种自适应方向的网格划分方法
技术领域
本发明涉及网格划分技术领域,尤其涉及一种自适应方向的网格划分方法。
背景技术
在各种物理场仿真分析中,网格的划分起到决定的作用,影响仿真的结果的精度,仿真的时间,随着加工精度的不断提供,芯片进入 nm时代,封装和PCB也进入了um时代,整个系统的尺寸任然停留在 mm上,例如对于一个5X5mm的芯片,以1nm来划分网格,网格就是25G个单元,需要内存将达到2500G,基本是无法想象的,所需要的计算资源和计算能力,基本是无法满足的,即使满足上面的资源和算力的需求,运算的时间也需要很长,另外一方面,随着频率和加工工艺的提升,越来越多的45度或任意角度的走线,占比越来越多,这些线基本上都是信号敏感线,在FDTD算法中,斜线的划分需要的更加细致,从而需要的资源更加的多。
发明内容
本发明的目的是提供一种自适应方向的网格划分方法,解决了现有技术中运算的时间需要很长,斜线的划分需要的更加细致,从而需要的资源更加的多的问题。
为了实现上述目的,本发明采用了如下技术方案:
一种自适应方向的网格划分方法,包括将三维模型导入,各层数据有单独的名字和材料设定,识别出激励源,包括集中激励源和波端口激励源,以激励源为初始的数据端口,将所有的相连接的导体的材料识别出来,识别出当前的x,y轴的最大最小值。
优选的,以x轴的最小值为起点,以格点划分的最小单位为补偿,以x轴的最大值为终点,计算出x轴需要划分的坐标。
优选的,以y轴的最小值为起点,以格点划分的最小单位为补偿,以y轴的最大值为终点,计算出y轴需要划分的坐标。
优选的,从x,y的最小值为左下角坐标,分别计算出的坐标为右上角坐标,对每个划分出来的区域,进行导体材料的识别,判断中导体是否是矩形,三角形,多边形,若图形是矩形,不做处理,若图形是三角形,判断三角形是否为直角三角,若是,记录非直角的角度以及直角点坐标和对应的区域的左下角和右上角坐标,比较记录的两个角度,保留较小的值,统计上面记录的角度,若中数量有绝对多数的存在,按其对应的角度,整体旋转所有的导体和介质,统计上面记录的角度,若没有角度度占比超过30%,不做任何处理。
本发明至少具备以下有益效果:
1、本发明,自动识别图形中关键线的倾斜较多,所占比,自适应的调整整体的倾斜角度,使整体的格点划分明显的减少,自动旋转,格点的划分减少50%,精度保持不变,运算的时间和内存使用量,只是原来的30%,大大提高了运算所需时间。
2、将分别的计算倾斜度,分别单独计算,总体的提升在30%,精度保持不变,大大较少了资源的需求。
具体实施方式
为了使本发明的目的、技术方案及优点更加清楚明白,以下结合实施例,对本发明进行进一步详细说明。应当理解,此处所描述的具体实施例仅仅用以解释本发明,并不用于限定本发明。
实施例一
一种自适应方向的网格划分方法,包括将三维模型导入,各层数据有单独的名字和材料设定,识别出激励源,包括集中激励源和波端口激励源,以激励源为初始的数据端口,将所有的相连接的导体的材料识别出来,识别出当前的x,y轴的最大最小值。
根据上述实施例可知:本发明,自动识别图形中关键线的倾斜较多,所占比,自适应的调整整体的倾斜角度,使整体的格点划分明显的减少,自动旋转,格点的划分减少50%,精度保持不变,运算的时间和内存使用量,只是原来的30%。
实施例二
以x轴的最小值为起点,以格点划分的最小单位为补偿,以x轴的最大值为终点,计算出x轴需要划分的坐标,以y轴的最小值为起点,以格点划分的最小单位为补偿,以y轴的最大值为终点,计算出 y轴需要划分的坐标,从x,y的最小值为左下角坐标,分别计算出的坐标为右上角坐标,对每个划分出来的区域,进行导体材料的识别,判断中导体是否是矩形,三角形,多边形,若图形是矩形,不做处理,若图形是三角形,判断三角形是否为直角三角,若是,记录非直角的角度以及直角点坐标和对应的区域的左下角和右上角坐标,比较记录的两个角度,保留较小的值,统计上面记录的角度,若中数量有绝对多数的存在,按其对应的角度,整体旋转所有的导体和介质,统计上面记录的角度,若没有角度度占比超过30%,不做任何处理,将分别的计算倾斜度,分别单独计算,总体的提升在30%,精度保持不变。
以上显示和描述了本发明的基本原理、主要特征和本发明的优点。本行业的技术人员应该了解,本发明不受上述实施例的限制,上述实施例和说明书中描述的只是本发明的原理,在不脱离本发明精神和范围的前提下本发明还会有各种变化和改进,这些变化和改进都落入要求保护的本发明的范围内。本发明要求的保护范围由所附的权利要求书及其等同物界定。

Claims (4)

1.一种自适应方向的网格划分方法,其特征在于,包括将三维模型导入,各层数据有单独的名字和材料设定,识别出激励源,包括集中激励源和波端口激励源,以激励源为初始的数据端口,将所有的相连接的导体的材料识别出来,识别出当前的x,y轴的最大最小值。
2.根据权利要求1所述的一种自适应方向的网格划分方法,其特征在于,以x轴的最小值为起点,以格点划分的最小单位为补偿,以x轴的最大值为终点,计算出x轴需要划分的坐标。
3.根据权利要求2所述的一种自适应方向的网格划分方法,其特征在于,以y轴的最小值为起点,以格点划分的最小单位为补偿,以y轴的最大值为终点,计算出y轴需要划分的坐标。
4.根据权利要求3所述的一种自适应方向的网格划分方法,其特征在于,从x,y的最小值为左下角坐标,分别计算出的坐标为右上角坐标,对每个划分出来的区域,进行导体材料的识别,判断中导体是否是矩形,三角形,多边形,若图形是矩形,不做处理,若图形是三角形,判断三角形是否为直角三角,若是,记录非直角的角度以及直角点坐标和对应的区域的左下角和右上角坐标,比较记录的两个角度,保留较小的值,统计上面记录的角度,若中数量有绝对多数的存在,按其对应的角度,整体旋转所有的导体和介质,统计上面记录的角度,若没有角度度占比超过30%,不做任何处理。
CN202110809363.XA 2021-07-17 2021-07-17 一种自适应方向的网格划分方法 Active CN115640711B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110809363.XA CN115640711B (zh) 2021-07-17 2021-07-17 一种自适应方向的网格划分方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110809363.XA CN115640711B (zh) 2021-07-17 2021-07-17 一种自适应方向的网格划分方法

Publications (2)

Publication Number Publication Date
CN115640711A true CN115640711A (zh) 2023-01-24
CN115640711B CN115640711B (zh) 2024-04-19

Family

ID=84939655

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110809363.XA Active CN115640711B (zh) 2021-07-17 2021-07-17 一种自适应方向的网格划分方法

Country Status (1)

Country Link
CN (1) CN115640711B (zh)

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4465896A (en) * 1982-11-29 1984-08-14 Westinghouse Electric Corp. Filamentary tensioned insulating support for a high voltage conductor and method of making same
DE10111626A1 (de) * 2001-03-10 2002-09-19 Marc Brueggen Ein adaptives System zur effizienten blinden Systemidentifikation bei deterministischen Quellsignalen
US20060066613A1 (en) * 2004-09-27 2006-03-30 Elshishiny Hisham E E Method and system for partitioning the surface of a three dimentional digital object model in order to map a texture
CN101133424A (zh) * 2004-12-13 2008-02-27 丁系统有限责任公司 探测绝对坐标的系统和方法
US20080232717A1 (en) * 2007-03-22 2008-09-25 Fujitsu Limited Image recognition device and image rotating method
US20100027377A1 (en) * 2006-02-24 2010-02-04 Hannes Georges Zuercher Locating oil or gas actively by exciting a porous oil and gas saturated system to give off its characteristic resonance response, with optional differentiation of oil, gas and water
US20110229011A1 (en) * 2010-03-18 2011-09-22 Ricoh Company, Ltd Identification method of data point distribution area on coordinate plane and recording medium
US20110251832A1 (en) * 2010-04-13 2011-10-13 CST-Computer Simulation Technology AG Method, device and computer program product for determining an electromagnetic near-field of a field excitation source of an electrical system
JP2012108468A (ja) * 2010-10-25 2012-06-07 Asahi Kasei E-Materials Corp ワイヤグリッド偏光板
CN103403842A (zh) * 2011-08-09 2013-11-20 松下电器产业株式会社 氮化物半导体层生长用结构、层叠结构、氮化物系半导体元件及光源以及它们的制造方法
KR20160015545A (ko) * 2014-07-31 2016-02-15 두산중공업 주식회사 보일러 내화재의 건조방법 및 건조장치
CN106450599A (zh) * 2016-07-27 2017-02-22 电子科技大学 一种集成薄膜型窄带带阻滤波器及其设计方法
CN107944214A (zh) * 2017-11-27 2018-04-20 河北工业大学 笛卡尔坐标系下各向异性完全匹配层截断边界的实现方法
CN108520148A (zh) * 2018-04-11 2018-09-11 张桂芳 一种用于光伏隔离变压器涡流分析
CN109241587A (zh) * 2018-08-14 2019-01-18 宁德师范学院 一种笼型感应电机涡流场的仿真分析方法及系统
CN111209249A (zh) * 2020-01-10 2020-05-29 中山大学 一种时域有限差分法硬件加速器架构及其实现方法
CN112395735A (zh) * 2020-09-23 2021-02-23 核工业西南物理研究院 一种rebco导体载流效率的仿真方法

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4465896A (en) * 1982-11-29 1984-08-14 Westinghouse Electric Corp. Filamentary tensioned insulating support for a high voltage conductor and method of making same
DE10111626A1 (de) * 2001-03-10 2002-09-19 Marc Brueggen Ein adaptives System zur effizienten blinden Systemidentifikation bei deterministischen Quellsignalen
US20060066613A1 (en) * 2004-09-27 2006-03-30 Elshishiny Hisham E E Method and system for partitioning the surface of a three dimentional digital object model in order to map a texture
CN101133424A (zh) * 2004-12-13 2008-02-27 丁系统有限责任公司 探测绝对坐标的系统和方法
US20100027377A1 (en) * 2006-02-24 2010-02-04 Hannes Georges Zuercher Locating oil or gas actively by exciting a porous oil and gas saturated system to give off its characteristic resonance response, with optional differentiation of oil, gas and water
US20080232717A1 (en) * 2007-03-22 2008-09-25 Fujitsu Limited Image recognition device and image rotating method
US20110229011A1 (en) * 2010-03-18 2011-09-22 Ricoh Company, Ltd Identification method of data point distribution area on coordinate plane and recording medium
US20110251832A1 (en) * 2010-04-13 2011-10-13 CST-Computer Simulation Technology AG Method, device and computer program product for determining an electromagnetic near-field of a field excitation source of an electrical system
JP2012108468A (ja) * 2010-10-25 2012-06-07 Asahi Kasei E-Materials Corp ワイヤグリッド偏光板
CN103403842A (zh) * 2011-08-09 2013-11-20 松下电器产业株式会社 氮化物半导体层生长用结构、层叠结构、氮化物系半导体元件及光源以及它们的制造方法
KR20160015545A (ko) * 2014-07-31 2016-02-15 두산중공업 주식회사 보일러 내화재의 건조방법 및 건조장치
CN106450599A (zh) * 2016-07-27 2017-02-22 电子科技大学 一种集成薄膜型窄带带阻滤波器及其设计方法
CN107944214A (zh) * 2017-11-27 2018-04-20 河北工业大学 笛卡尔坐标系下各向异性完全匹配层截断边界的实现方法
CN108520148A (zh) * 2018-04-11 2018-09-11 张桂芳 一种用于光伏隔离变压器涡流分析
CN109241587A (zh) * 2018-08-14 2019-01-18 宁德师范学院 一种笼型感应电机涡流场的仿真分析方法及系统
CN111209249A (zh) * 2020-01-10 2020-05-29 中山大学 一种时域有限差分法硬件加速器架构及其实现方法
CN112395735A (zh) * 2020-09-23 2021-02-23 核工业西南物理研究院 一种rebco导体载流效率的仿真方法

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
XIUJUAN WANG 等: "A Non-Destructive Testing Method for Fault Detection of Substation Grounding Grids", MDPI, 31 December 2019 (2019-12-31) *
毛剑波;杨明武;梁华国;姜万顺;刘金现;张猛;: "三维FDTD建模软件的开发及应用", 微波学报, no. 02, 15 April 2010 (2010-04-15) *
碗超凡: "快速响应的电控液晶太赫兹微带阵列天线研究", 中国优秀硕士论文库 信息科技辑, 15 February 2021 (2021-02-15) *

Also Published As

Publication number Publication date
CN115640711B (zh) 2024-04-19

Similar Documents

Publication Publication Date Title
CN106640721B (zh) 一种改善服务器噪声的风扇控制方法及系统
CN111445566B (zh) 一种信息处理方法、装置及计算机可读存储介质
CN110941325B (zh) 处理器的调频方法及装置、计算设备
US20200183833A1 (en) Virtual space memory bandwidth reduction
CN111241643B (zh) 多边形柜体的加工方法、装置及电子设备
CN114330200B (zh) 针对超大规模电路设计分割的数据处理系统
CN110465815A (zh) 齿形代号识别方法、装置及终端设备
CN116227209A (zh) 一种点云数据多维线性差值方法、终端设备及存储介质
CN113791822B (zh) 多内存通道的内存存取装置、方法和数据处理设备
CN115640711A (zh) 一种自适应方向的网格划分方法
Sanny et al. Energy-efficient median filter on FPGA
CN117115261B (zh) 一种基于薄型晶圆片的刀轮切割定位方法及系统
CN112486245A (zh) 可重构阵列时钟门控控制方法、装置、设备及介质
EP3599554B1 (en) Improving read performance on a sata storage device behind a host bus adapter
CN114997096B (zh) 半导体量子比特版图的布图构建方法、系统、介质及设备
CN106598203B (zh) 一种数据密集环境下的单芯片多处理器系统的电源管理方法
JP5958395B2 (ja) コンピュータシステム
CN111045959B (zh) 一种基于存储优化的复杂算法变量映射方法
CN113836859A (zh) 一种工业图形计算机辅助制造智能拼板方法
CN109003245A (zh) 坐标处理方法、装置及电子设备
EP3495947B1 (en) Operation device and method of operating same
CN113361226B (zh) 一种减小版图文件大小的方法及电子设备
WO2022194182A1 (zh) 发光器件的光学补偿方法及装置、补偿设备
CN108363736B (zh) 一种线实体的存储方法、装置及存储系统
CN109979565B (zh) 一种基于cuda的剂量计算优化方法及装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Address after: 518000 Room 201, building A, No. 1, Qian Wan Road, Qianhai Shenzhen Hong Kong cooperation zone, Shenzhen, Guangdong (Shenzhen Qianhai business secretary Co., Ltd.)

Applicant after: Xinruiwei (Shanghai) Electronic Technology Co.,Ltd.

Address before: 518000 Room 201, building A, No. 1, Qian Wan Road, Qianhai Shenzhen Hong Kong cooperation zone, Shenzhen, Guangdong (Shenzhen Qianhai business secretary Co., Ltd.)

Applicant before: Shenzhen Xinrui Microelectronics Co.,Ltd.

CB02 Change of applicant information
CB03 Change of inventor or designer information

Inventor after: Luan Zhiyu

Inventor after: Guo Ru

Inventor after: Xu Gang

Inventor after: Wu Yinzhi

Inventor before: Luan Zhiyu

CB03 Change of inventor or designer information
CB02 Change of applicant information

Address after: 200120 building C, No. 888, Huanhu West 2nd Road, Lingang New Area, China (Shanghai) pilot Free Trade Zone, Pudong New Area, Shanghai

Applicant after: Xinruiwei (Shanghai) Electronic Technology Co.,Ltd.

Address before: 518000 Room 201, building A, No. 1, Qian Wan Road, Qianhai Shenzhen Hong Kong cooperation zone, Shenzhen, Guangdong (Shenzhen Qianhai business secretary Co., Ltd.)

Applicant before: Xinruiwei (Shanghai) Electronic Technology Co.,Ltd.

CB02 Change of applicant information
GR01 Patent grant
GR01 Patent grant