CN115332038A - 晶圆用静电吸盘的清洁方法 - Google Patents

晶圆用静电吸盘的清洁方法 Download PDF

Info

Publication number
CN115332038A
CN115332038A CN202211033435.7A CN202211033435A CN115332038A CN 115332038 A CN115332038 A CN 115332038A CN 202211033435 A CN202211033435 A CN 202211033435A CN 115332038 A CN115332038 A CN 115332038A
Authority
CN
China
Prior art keywords
electrostatic chuck
wafer
cleaning
control wafer
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211033435.7A
Other languages
English (en)
Inventor
刘纵曙
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GTA Semiconductor Co Ltd
Original Assignee
GTA Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GTA Semiconductor Co Ltd filed Critical GTA Semiconductor Co Ltd
Priority to CN202211033435.7A priority Critical patent/CN115332038A/zh
Publication of CN115332038A publication Critical patent/CN115332038A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/3288Maintenance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供了一种晶圆用静电吸盘的清洁方法,首先在静电吸盘上覆盖一片含有氧化物膜层的控片,然后在不向静电吸盘供应直流电压和冷却气体的条件下,射频激发注入工艺腔中的清洁气体以生成等离子体,等离子体能够将静电吸盘上的金属沉积物转化为气态,最后将气态的金属沉积物排出工艺腔。该清洁方法易于操作,清洁效率高、效果好,避免静电吸盘上的沉积物引起的宕机发生,有利于提高晶圆刻蚀的良率。

Description

晶圆用静电吸盘的清洁方法
技术领域
本说明书涉及半导体技术领域,具体涉及一种晶圆用静电吸盘的清洁方法。
背景技术
在半导体的生产过程中,用于吸附晶圆的静电吸盘会在刻蚀时附着金属沉积物。例如在钨刻蚀过程,会同时生成二硫化钨(WS2)副产物,由于二硫化钨不易挥发,会附着在静电吸盘的侧壁以及上表面的边缘,并随着使用时间的增长而越积越厚。当副产物沉积到一定的厚度以后,静电吸盘将难以吸附住晶圆,工艺背压升高,晶圆位置容易发生偏移,将会导致刻蚀工艺过程中机台宕机报警;同时由于晶圆被副产物垫高,从而会影响刻蚀速率,导致晶圆的低良率发生。
发明内容
有鉴于此,本说明书实施例提供一种晶圆用静电吸盘的清洁方法,用于定期清洁吸附晶圆用的静电吸盘,易于操作,清洁效率高、效果好,避免静电吸盘清洁原因引起的宕机发生,有利于提高晶圆刻蚀的良率。
本说明书实施例提供以下技术方案:
一种晶圆用静电吸盘的清洁方法,包括以下步骤:
在静电吸盘上覆盖一片含有氧化物膜层的控片;
在不向所述静电吸盘供应直流电压和冷却气体的条件下,射频激发注入工艺腔中的清洁气体以生成等离子体,所述等离子体用于将所述静电吸盘上的金属沉积物转化为气态;
将气态的金属沉积物排出所述工艺腔。
在上述晶圆用静电吸盘的清洁方法中,通过向静电吸盘放置一块含有氧化物膜层的控片,在等离子体轰击时,能够保护静电吸盘的上表面,避免该吸附表面损伤;同时,由于在清洁过程中不向静电吸盘供应直流电压和冷却气体,控片不会被静电吸盘吸附,等离子体不仅可以将静电吸盘侧壁的金属沉积物转化为气态,而且等离子体还可以进入控片和静电吸盘之间的缝隙,将静电吸盘上表面的边缘的金属沉积物转化为气态,最后跟随清洁气体排出工艺腔,清洁效率高、效果好,易于控制和操作。
本说明书实施例还提供一种方案,在将所述控片覆盖于所述静电吸盘之前,所述晶圆用静电吸盘的清洁方法还包括:
将所述工艺腔抽取真空。
本说明书实施例还提供一种方案,射频激发所述清洁气体的时长为20~30秒。
本说明书实施例还提供一种方案,所述氧化物膜层覆盖所述控片朝向和/或远离所述静电吸盘一侧的表面。
本说明书实施例还提供一种方案,所述清洁气体包括六氟化硫和氯气中的至少一种。
本说明书实施例还提供一种方案,所述控片为圆形,且所述控片的直径不小于所述静电吸盘的直径。
本说明书实施例还提供一种方案,所述射频激发的频率为13.56MHz或27Mhz。
本说明书实施例还提供一种方案,所述金属沉积物包括二硫化钨。
本说明书实施例还提供一种方案,在进行75~125次钨刻蚀后,清洁所述静电吸盘。
与现有技术相比,本说明书实施例采用的上述至少一个技术方案能够达到的有益效果至少包括:本发明提供的晶圆用静电吸盘的清洁方法,静电吸盘上覆盖有一块含有氧化物膜层的控片,在等离子体轰击时,控片上的氧化层能够保护静电吸盘上用于吸附晶圆的上表面,避免该吸附表面在清洁过程中发生损伤,此外,该控片只是放置在静电吸盘的上表面,在清洁过程中,静电吸盘不加载直流电压,也不向控片背面提供冷却气体,控片即不会被吸附也不会自由移动,且保留与静电吸盘边缘处的接触,因此,射频激发的等离子体不仅能够气化静电吸盘侧壁上的金属沉积物,还能够沿静电吸盘边缘处的缝隙进入控片和静电吸盘之间,气化静电吸盘边缘位置的金属沉积物,从而全面的、有效的去除静电吸盘各处的金属沉积物。上述清洁方法的操作步骤简单,清洁效果好,清洁后的静电吸盘能够在刻蚀工艺中更好的吸附晶圆,避免宕机发生,提高晶圆刻蚀的良率。
附图说明
为了更清楚地说明本申请实施例的技术方案,下面将对实施例中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本申请的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其它的附图。
图1是钨刻蚀过程中静电吸盘形成金属沉积物的示意图;
图2是本发明一个实施例中去除静电吸盘上的金属沉积物的示意图;
其中,1、工艺腔,2、静电吸盘,21、金属沉积物,3、控片,4、工艺气体,5、射频装置,6、控片,7、清洁气体。
具体实施方式
下面结合附图对本申请实施例进行详细描述。
以下通过特定的具体实例说明本申请的实施方式,本领域技术人员可由本说明书所揭露的内容轻易地了解本申请的其他优点与功效。显然,所描述的实施例仅仅是本申请一部分实施例,而不是全部的实施例。本申请还可以通过另外不同的具体实施方式加以实施或应用,本说明书中的各项细节也可以基于不同观点与应用,在没有背离本申请的精神下进行各种修饰或改变。需说明的是,在不冲突的情况下,以下实施例及实施例中的特征可以相互组合。基于本申请中的实施例,本领域普通技术人员在没有作出创造性劳动前提下所获得的所有其他实施例,都属于本申请保护的范围。
需要说明的是,下文描述在所附权利要求书的范围内的实施例的各种方面。应显而易见,本文中所描述的方面可体现于广泛多种形式中,且本文中所描述的任何特定结构及/或功能仅为说明性的。基于本申请,所属领域的技术人员应了解,本文中所描述的一个方面可与任何其它方面独立地实施,且可以各种方式组合这些方面中的两者或两者以上。举例来说,可使用本文中所阐述的任何数目和方面来实施设备及/或实践方法。另外,可使用除了本文中所阐述的方面中的一或多者之外的其它结构及/或功能性实施此设备及/或实践此方法。
还需要说明的是,以下实施例中所提供的图示仅以示意方式说明本申请的基本构想,图式中仅显示与本申请中有关的组件而非按照实际实施时的组件数目、形状及尺寸绘制,其实际实施时各组件的型态、数量及比例可为一种随意的改变,且其组件布局型态也可能更为复杂。
需要理解的是,“部件A与部件B的连接”是指部件A直接与部件B接触连接,或者部件A通过其他部件与部件B进行间接连接。本说明书的示例实施例中所描述的“上”、“下”、“内”、“外”、“侧”等方位词是以附图所示的角度来进行描述的,不应理解为对本说明书的示例实施例的限定。
另外,在以下描述中,提供具体细节是为了便于透彻理解实例。然而,所属领域的技术人员将理解,可在没有这些特定细节的情况下实践所述方面。
如图1所示,参考背景技术中所述,在刻蚀工艺过程中,工艺气体4通过工艺腔1内壁的通孔进入工艺腔1中,并在射频装置5的激发下形成等离子体,等离子体带有高能能量用于刻蚀晶圆3。晶圆3被吸附和固定在静电吸盘2上,随着刻蚀的进行,会在静电吸盘2的侧壁以及上表面的边缘位置附着刻蚀过程中形成的副产物,例如在钨刻蚀过程中生成的二硫化物,二硫化钨附着在静电吸盘2上,沉积位置如静电吸盘2上的金属沉积物21的位置所示。随着刻蚀工艺时间的增加,更多的副产物会在上述位置沉积,从而对刻蚀工艺带来不利影响,增加宕机发生率,影响产品的良率。
为了解决上述问题,发明人通过仔细的研究和反复的探索,提出一种晶圆用静电吸盘的清洁方法。发明人首先使用等离子体轰击静电吸盘上的金属沉积物,使其转化为气态,并随清洁气体一同排出工艺腔。但是,发明人发现,等离子体在去除金属沉积物的过程中,也同时轰击了静电吸盘上未附着有沉积物的表面,形成了损伤,尤其是对用于承载和固定晶圆片的上表面造成了不必要的损伤。发明人又提出使用一块控片遮挡静电吸盘的上表面,并发现表面具有氧化物膜层的控片的遮挡保护效果为最佳,究其原因,氧化物膜层有效的阻挡了等离子体轰击,从而对静电吸盘上表面未附着沉积物的位置起到了保护作用,并且,氧化物膜使得控片的性质保持稳定,该控片可以被重复使用于清洁过程中。此外,为了去除静电吸盘上表面边缘位置的沉积物,发明人还提出,不能将控片吸附在静电吸盘上,使得等离子体能够进入控片下方与沉积物之间的空隙之中,从而达到气化去除沉积物的目的;而且,也不能向静电吸盘提供冷却气体,以避免在控片下方形成背压,防止控片被气体吹动二移位。结合上述各项操作,发明人总结该静电吸盘的清洁方法为:步骤一,传送一片带氧化物膜层的控片到工艺腔中;步骤二,控制机台,不向静电吸盘加载直流电压和背面冷却氦气;步骤三,射频激发清洁气体生成等离子体,对静电吸盘进行等离子清洁,并排出气体。从而将被气化的金属沉积物从静电吸盘上去除并排除工艺腔,以起到清洁静电吸盘的功效。
以下结合附图,说明本申请各实施例提供的技术方案。
如图2所示的晶圆用静电吸盘的清洁方法,包括以下步骤:
步骤S1,在静电吸盘2上覆盖一片含有氧化物膜层的控片6;
需要说明的是,氧化物膜层的类别不限。
还需要说明的是,此处控片6覆盖静电吸盘2,是指控片6大于静电吸盘2并能够遮蔽静电吸盘2,通常情况下,控片6的尺寸略大于静电吸盘2即可。此外,控片2也可以使用含有氧化物膜层的废旧晶圆片。
步骤S2,在不向所述静电吸盘2供应直流电压和冷却气体的条件下,射频激发注入工艺腔1中的清洁气体7以生成等离子体,该等离子体用于将所述静电吸盘2上的金属沉积物(图中未示出)转化为气态;
具体的,清洁气体7通过连通工艺腔1内壁的管路被输送进入工艺腔1中,并在射频装置5的激发下生成等离子体,等离子体轰击静电吸盘2上的金属沉积物,并将金属沉积物转化为气态。
需要说明的是,冷却气体包括氦气(He)为代表的各类用于刻蚀工艺的冷却晶圆的气体,在本步骤中,不向静电吸盘2提供任何冷却气体,也就是不会在控片6的背面提供气体,防止控片6在下方气压的作用下移动。此外,也不向静电吸盘2提供直流电压,避免控片6被吸附,避免密闭控片6下表面与静电吸盘2上表面边缘位置处的接触缝隙,使得等离子体无法作用于缝隙内部的金属沉积物,影响清洗效果。
还需要说明的是,机台的控制程序或操作菜单中若已预设有等离子体清洁程序,可以通过直接运行程序或通过在工艺菜单上设置的方式,执行等离子体清洁。
步骤S3,将气态的金属沉积物排出所述工艺腔。
需要说明的是,工艺腔1中设置有排气管路(图中未示出),该排气管路连接排气泵,以排出反应后的清洁气体以及气态的金属沉积物。
上述晶圆用静电吸盘的清洁方法,在等离子体轰击去除金属沉积物时,含有氧化物膜层的控片能够保护静电吸盘的上表面,避免该吸附表面损伤;同时,由于控片被放置覆盖于静电吸盘之上,且不向静电吸盘供应直流电压和冷却气体,控片和氧化物膜层上方保留有空隙,等离子体不仅可以接触被覆盖在控片之下的金属沉积物,也能够接触静电吸盘侧面的金属沉积物,并将它们转化为气态,跟随清洁气体排出工艺腔。使上述清洁方法,设备正常运行时间从20小时左右提升到100小时,缺陷率降低90%以上,并且清洁效率高、效果好,易于控制和操作。
在一些实施方案中,在步骤S1之前,上述的晶圆用静电吸盘的清洁方法还包括:
步骤S0,将工艺腔1抽取真空。
具体的,如图1和图2所示的工艺腔1还连通有抽真空管路(图中未示出),抽真空管路通过真空装置,如真空泵排出工艺腔1中的气体。
在一些实施方案中,工艺腔1的旁边还设置有晶圆传送腔(图中未示出),工艺腔1抽取真空时,晶圆传送腔也抽取真空,然后通过机械臂将晶圆传送腔中的控片6放置在静电吸盘2上。
在上述方案中,通过抽取真空,可以更快的排出工艺腔1的空气,提高清洁气体7的进气效率,缩短清洁过程的时长。
在一些实施方案中,在将工艺腔1抽取真空后,实施如前述的晶圆用静电吸盘的清洁方法的步骤的过程中,控制射频设备5的工作时间,使得射频激发所述清洁气体的时长为20~30秒。
在一些实施方案中,控片6上的氧化物膜层可以仅覆盖控片6朝向静电吸盘2一侧的表面;也可以仅覆盖控片6远离静电吸盘2一侧的表面;还可以同时覆盖控片6朝向和远离静电吸盘2的表面,即覆盖控片6上下两侧的表面。
在上述方案中,并不限制氧化物膜层的所处位置,只要氧化物膜层覆盖在控片6的至少一侧表面上,并通过控片6遮盖在静电吸盘2的上表面,以保护静电吸盘2的上表面。
在一些实施方案中,含有氧化物膜层的控片可以重复使用。
在一些实施方案中,清洁气体7为六氟化硫(SF6)。
在一些实施方式中,清洁气体7包括六氟化硫(SF6)和氯气(Cl2),在形成等离子体的过程中,通入工艺腔1的气体为SF6,在清洁过程快要结束前,适当通入一定量的Cl2清洗工艺腔1内部以及控片6和静电吸盘2的表面。
在其他一些实施方式中,清洁气体7为氯气(Cl2)。
在一些实施方案中,控片6的形状为圆形,并且控片的直径不小于静电吸盘的直径。
需要说明的是,控片6的形状并不限于圆形,也可以是多变形或不规则图形,只要控片6能够覆盖静电吸盘2的上表面即可,并且保证其上的氧化物膜层能够覆盖静电吸盘2的上表面。
在一些实施方案中,射频激发的频率为13.56MHz或27Mhz。
在上述方案中,射频激发的频率为常见的13.56MHz或27Mhz,是射频设备通常配备有的频率,有利于推广上述的清洁方法。
在一些实施方案中,晶圆用静电吸盘的清洁方法用于去除二硫化钨,即用于钨刻蚀工艺中形成的副产物二硫化钨的去除。
在上述方案中,通过将不易挥发的二硫化钨在等离子体的作用下转化为气态,方便地排出工艺腔1,清洁效果好,保障后续钨刻蚀的进行,防止宕机,提高晶圆刻蚀的良率。
在一些实施方案中,在进行75~125次钨刻蚀后,可以采用前述任意一种方案的步骤清洁静电吸盘2。通过定期清洁静电吸盘2,即能保证刻蚀作业的连续性,还能保证作业工程中静电吸盘2的洁净度。
本说明书中的各个实施例均采用递进的方式描述,各个实施例之间相同相似的部分互相参见即可,每个实施例侧重说明的都是与其他实施例的不同之处。
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到的变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以权利要求的保护范围为准。

Claims (9)

1.一种晶圆用静电吸盘的清洁方法,其特征在于,包括以下步骤:
在静电吸盘上覆盖一片含有氧化物膜层的控片;
在不向所述静电吸盘供应直流电压和冷却气体的条件下,射频激发注入工艺腔中的清洁气体以生成等离子体,所述等离子体用于将所述静电吸盘上的金属沉积物转化为气态;
将气态的金属沉积物排出所述工艺腔。
2.根据权利要求1所述的晶圆用静电吸盘的清洁方法,其特征在于,在将所述控片覆盖于所述静电吸盘之前,所述晶圆用静电吸盘的清洁方法还包括:
将所述工艺腔抽取真空。
3.根据权利要求2所述的晶圆用静电吸盘的清洁方法,其特征在于,射频激发所述清洁气体的时长为20~30秒。
4.根据权利要求1所述的晶圆用静电吸盘的清洁方法,其特征在于,所述氧化物膜层覆盖所述控片朝向和/或远离所述静电吸盘一侧的表面。
5.根据权利要求1所述的晶圆用静电吸盘的清洁方法,其特征在于,所述清洁气体包括六氟化硫和氯气中的至少一种。
6.根据权利要求1所述的晶圆用静电吸盘的清洁方法,其特征在于,所述控片为圆形,且所述控片的直径不小于所述静电吸盘的直径。
7.根据权利要求1所述的晶圆用静电吸盘的清洁方法,其特征在于,所述射频激发的频率为13.56MHz或27Mhz。
8.根据权利要求1所述的晶圆用静电吸盘的清洁方法,其特征在于,所述金属沉积物包括二硫化钨。
9.根据权利要求8所述的晶圆用静电吸盘的清洁方法,其特征在于,在进行75~125次钨刻蚀后,清洁所述静电吸盘。
CN202211033435.7A 2022-08-26 2022-08-26 晶圆用静电吸盘的清洁方法 Pending CN115332038A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211033435.7A CN115332038A (zh) 2022-08-26 2022-08-26 晶圆用静电吸盘的清洁方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211033435.7A CN115332038A (zh) 2022-08-26 2022-08-26 晶圆用静电吸盘的清洁方法

Publications (1)

Publication Number Publication Date
CN115332038A true CN115332038A (zh) 2022-11-11

Family

ID=83928575

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211033435.7A Pending CN115332038A (zh) 2022-08-26 2022-08-26 晶圆用静电吸盘的清洁方法

Country Status (1)

Country Link
CN (1) CN115332038A (zh)

Similar Documents

Publication Publication Date Title
JP6373150B2 (ja) 基板処理システム及び基板処理方法
US11127568B2 (en) Plasma etching apparatus
CN112259457B (zh) 等离子体蚀刻方法、等离子体蚀刻装置和基板载置台
KR100656214B1 (ko) 플라즈마 처리 방법
KR102289801B1 (ko) 파티클 발생 억제 방법 및 진공 장치
KR102035585B1 (ko) 플라즈마 처리 방법
EP2080817B1 (en) Method and apparatus for chamber cleaning by in-situ plasma excitation
JP2019197903A (ja) 処理装置
TW201448031A (zh) 電漿蝕刻方法及電漿蝕刻裝置
US6545245B2 (en) Method for dry cleaning metal etching chamber
JP4755963B2 (ja) 半導体装置の製造方法
US7604750B2 (en) Method for fabricating semiconductor device
KR100272123B1 (ko) 텅스텐 화학기상증착 반응실에서의 식각 방법
JP4037154B2 (ja) プラズマ処理方法
CN115332038A (zh) 晶圆用静电吸盘的清洁方法
JP4754465B2 (ja) プラズマ処理装置およびそのクリーニング方法
US6329294B1 (en) Method for removing photoresist mask used for etching of metal layer and other etching by-products
JP2009021577A (ja) アッシング方法およびアッシング装置
KR100672696B1 (ko) 플라즈마를 이용한 반도체 소자의 세정장치 및 방법
JP6938672B2 (ja) プラズマ処理装置
JPH06283484A (ja) プラズマ装置のクリーニング方法
KR20230011032A (ko) 기판처리장치 클리닝방법 및 이를 포함하는 기판처리방법
JPH04186615A (ja) 半導体製造装置
JP2007251034A (ja) プラズマ処理方法
KR20010001770A (ko) 플라즈마 화학기상증착 챔버의 세정방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination