CN114901858A - 沉积低粗糙度金刚石膜 - Google Patents

沉积低粗糙度金刚石膜 Download PDF

Info

Publication number
CN114901858A
CN114901858A CN202180003449.1A CN202180003449A CN114901858A CN 114901858 A CN114901858 A CN 114901858A CN 202180003449 A CN202180003449 A CN 202180003449A CN 114901858 A CN114901858 A CN 114901858A
Authority
CN
China
Prior art keywords
gas
vol
range
substrate
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180003449.1A
Other languages
English (en)
Inventor
维克内什·萨穆加纳坦
陈仲欣
谷继腾
埃斯瓦拉南德·文卡塔苏布拉曼尼亚
罗建平
阿布海杰特·巴苏·马利克
约翰·苏迪约诺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National University of Singapore
Applied Materials Inc
Original Assignee
National University of Singapore
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National University of Singapore, Applied Materials Inc filed Critical National University of Singapore
Publication of CN114901858A publication Critical patent/CN114901858A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/274Diamond only using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/276Diamond only using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/279Diamond only control of diamond crystallography
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

描述了沉积金刚石层的方法,该方法可用于集成电路的制造。方法包括处理基板,其中纳米晶金刚石沉积在基板上,其中处理方法产生具有高硬度的纳米晶金刚石硬掩模。

Description

沉积低粗糙度金刚石膜
技术领域
本公开内容的实施方式涉及沉积纳米晶金刚石(nanocrystalline diamond)膜的方法。更具体地,本公开内容的实施方式涉及在制造电子装置,尤其是集成电路(IC)期间纳米晶金刚石膜的沉积。
背景技术
随着半导体工业引入具有更高性能和更强大功能的新一代集成电路(IC),形成这些IC的元件的密度增加,同时各个部件或元件之间的大小、尺寸和间距被减小。虽然在过去,这种减小仅限于使用光刻限定结构的能力,但具有以微米或纳米测量的尺寸的装置几何形状已经产生了新的限制因素,诸如金属元素的电导率、在元素之间使用的绝缘材料的介电常数、或3D-NAND或DRAM工艺中的挑战。这些限制可以通过更耐用和更高硬度的硬掩模来解决。
在3D-NAND中降低每比特成本和增加芯片密度的直接方法是添加更多层;但是具有更高耐用性和更高硬度的单层会减少处理时间和成本。传统上,使用具有高蚀刻选择性、高硬度和高密度的非常高质量的硬掩模膜。当前的硬掩模膜包括纯或掺杂的等离子体增强化学气相沉积(PECVD)非晶碳(aC:H)基膜,这些膜基于高硬度和模量特性、膜透明度以及狭缝蚀刻后易于去除。然而,PECVD非晶碳硬掩模膜存在斜面(bevel)处分层/剥离问题(下游蚀刻工艺中的主要问题),膜越厚越不透明(光对准问题),以及导致柱状条纹、一侧弯曲、和柱状扭曲的不良形态。
纳米晶金刚石被称为高硬度材料,可用作半导体装置处理中的硬掩模。纳米晶金刚石硬掩模膜虽然具有高硬度和模量,但具有高表面粗糙度,这会导致半导体处理光刻期间的衍射。降低这种粗糙度可改进光刻工艺和半导体装置处理方法的质量。因此,需要具有高硬度和模量但具有低表面粗糙度的硬掩模。
发明内容
在一个实施方式中,一种在基板上沉积金刚石层的方法,所述方法包括:在基板处理腔室中的气体混合物中产生脉冲等离子体,所述气体混合物包括包含H2的第一气体、包含CO2的第二气体、选自由CH4、C2H2和C2H4组成的组中的第三气体以及包含惰性气体的第四气体;和在所述基板上沉积纳米晶金刚石层,所述纳米晶金刚石层具有厚度、粗糙度、硬度和模量。
在其他实施方式中,一种方法包括在基板的表面上沉积金刚石层,所述方法包括使用微波等离子体增强化学气相沉积工艺沉积具有厚度、粗糙度、硬度和模量的纳米晶金刚石层,其中所述粗糙度小于15nm rms,并且所述基板的所述表面在使用微波等离子体增强化学气相沉积工艺形成的纳米晶金刚石层之下不包括纳米晶金刚石层。
其他实施方式涉及一种包括指令的非暂时性计算机可读介质,当由基板处理腔室的控制器执行所述指令时,通过以下方法引起基板处理腔室在基板上沉积金刚石层,所述方法包括:在所述基板处理腔室中的气体混合物中产生脉冲微波等离子体,所述气体混合物包括包含在从10vol.%至90vol.%(例如,10sccm至96sccm)的范围内的H2的第一气体、包含CO2的第二气体、选自由CH4、C2H2和C2H4组成的组中的第三气体以及在从10sccm至90sccm的范围内的包含惰性气体的第四气体,所述惰性气体选自由氦气(He)、氮气(N2)、氖气(Ne)、氩气(Ar)和它们的组合组成的组,所述第三气体和所述第四气体合计从2vol.%至90vol.%(例如,2sccm至10sccm)的范围内;和在所述基板上沉积纳米晶金刚石层。
附图说明
为了可详细地理解本公开内容的上述特征的方式,可参考实施方式来得到以上简要地概述的本公开内容的更具体的描述,其中一些实施方式示出在附图中。然而,需注意,附图仅示出了本公开内容的典型实施方式,并且因此不应视为对其范围的限制,因为本公开内容可允许其他等效实施方式。本文描述的实施方式通过示例而非限制的方式在附图中示出,其中相似的附图标记指示相似的元件。
图1A图示根据一个或多个实施方式的基板的截面图;
图1B图示根据一个或多个实施方式的基板的截面图;
图1C图示根据一个或多个实施方式的基板的截面图;
图1D图示根据一个或多个实施方式的基板的截面图;
图2图示根据一个或多个实施方式的基板处理腔室的截面图;和
图3图示根据一个或多个实施方式的方法的流程图。
具体实施方式
在描述本公开内容的若干示例性实施方式之前,应当理解,本公开内容不限于以下描述中阐述的构造或工艺步骤的细节。本公开内容能够有其他实施方式并且能够以各种方式实践或实施。
如本文所用的“基板”是指在制造工艺中在上面执行膜处理的任何基板或形成在基板上的材料表面。例如,可以在上面执行处理的基板表面取决于应用包括以下材料,诸如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂氧化硅、非晶硅、掺杂硅、锗、砷化镓、氮化镓、玻璃、蓝宝石和任何其他材料,诸如金属、金属氮化物、金属合金和其他导电材料。基板包括但不限于半导体晶片。基板可暴露于预处理工艺以抛光、蚀刻、还原、氧化、羟基化、退火和/或烘烤基板表面。除了直接在基板本身的表面上进行膜处理外,在本公开内容中,还可以对形成在基板上的底层执行任何披露的膜处理步骤,如下文更详细披露的,术语“基板表面”旨在包括上下文所指示的底层。作为膜/层或部分膜/层已经沉积到基板表面上的示例,新沉积的膜/层的暴露表面成为基板表面。
如本说明书和所附权利要求中所使用的,术语“前体”、“反应物”、“反应气体”和类似术语可互换使用以指代可与基板表面反应的任何气态物种。
如本文所用,短语“纳米晶金刚石”是指通常生长在诸如硅的基板上的金刚石的固体膜。在一个或多个实施方式中,纳米结晶度是金刚石生长中增强的再成核反应的结果,其中金刚石晶体的生长由于诸如自由基物种的量、温度和压力之类的周围环境的波动而中断。在一个或多个实施方式中,纳米晶金刚石层主要由纳米球状或纳米柱状的小金刚石晶体以及通常分布在周围晶体之间的位置或聚集在晶界中的非晶碳组成。纳米晶金刚石因其化学惰性、光学透明性和良好的机械性能而被用作半导体应用中的硬掩模材料。
在一个或多个实施方式中,微波等离子体增强化学气相沉积(MPECVD)用于沉积纳米晶金刚石层以解决提供呈现低粗糙度和高硬度/模量的纳米晶金刚石层的问题。在MPECVD工艺中,将烃源、诸如已夹带在载气中的气相烃或液相烃蒸气引入MPECVD腔室。然后在腔室中产生或形成等离子体以产生激发的CH自由基。激发的CH-自由基化学结合到位于腔室中的基板的表面,在上面形成所需的纳米晶金刚石层。可以使用包括微波等离子体源的任何合适的薄膜沉积系统来执行本文关于MPECVD工艺描述的实施方式。合适系统的示例包括可以使用
Figure BDA0003364124550000041
处理腔室的
Figure BDA0003364124550000042
系统、PRECISION
Figure BDA0003364124550000043
系统、
Figure BDA0003364124550000044
系统、
Figure BDA0003364124550000045
GTTM系统、
Figure BDA0003364124550000046
XP PrecisionTM系统、
Figure BDA0003364124550000048
SETM系统、
Figure BDA0003364124550000047
处理腔室、以及Mesa TM处理腔室,所有这些都可以从加利福尼亚州圣克拉拉市的应用材料公司商购获得。其他能够执行MPECVD工艺的工具也可以适用于从本文描述的实施方式中受益。此外,可以使用任何能够进行本文描述的MPECVD工艺的系统。本文描述的任何设备描述都是说明性的,不应被看作或解释为限制本文描述的实施方式的范围。
使用碳基的硬掩模层的装置制造商需要满足以下要求:(1)在底层材料的干式蚀刻期间硬掩模的高选择性;(2)低膜粗糙度;(3)低膜应力;和(4)膜剥离性。如本文所用,术语“干式蚀刻”通常是指材料不会因浸入化学溶液而溶解的蚀刻工艺,并且包括诸如等离子蚀刻、反应离子蚀刻、溅射蚀刻和气相蚀刻之类的方法。
在一个或多个实施方式中,纳米晶金刚石层形成在基板上。一个或多个实施方式的工艺有利地产生具有高密度、高硬度、高蚀刻选择性、低应力和优异导热性的纳米晶金刚石层。
硬掩模在半导体处理中用作蚀刻终止层。可灰化硬掩模具有一种化学成分,一旦它们达到目的,就可以通过称为灰化的技术将其去除。可灰化硬掩模通常由碳和氢以及微量的一种或多种掺杂剂(例如,氮、氟、硼、硅)组成。在典型的应用中,在蚀刻之后,硬掩模已达到其目的并从底层去除。这通常至少部分地通过灰化完成,也称为“等离子灰化”或“干式剥离(dry stripping)”。具有要灰化的硬掩模的基板(通常是部分制造的半导体晶片)在真空下被放入腔室中,引入氧气并经受射频功率,从而产生氧自由基(等离子体)。自由基与硬掩模反应,将其氧化成水、一氧化碳和二氧化碳。在一些情况下,硬掩模的完全去除可通过在灰化之后进行附加的湿式或干式蚀刻工艺来实现,例如当可灰化硬掩模留下任何不能通过单独灰化去除的残留物时。
硬掩模层通常用于窄和/或深接触蚀刻应用中,其中光刻胶可能不够厚以掩蔽底层。这在临界尺寸缩小时尤其适用。
V-NAND或3D-NAND结构用于闪存应用中。V-NAND装置是大量单元以块布置的垂直堆叠的NAND结构。如本文所用,术语“3D-NAND”是指一种电子(固态)非易失性计算机存储存储器,其中存储单元堆叠成多层。3D-NAND存储器通常包括包含浮栅晶体管的多个存储单元。传统上,3D-NAND存储单元包括多个NAND存储结构,这些结构在位线周围以三维布置。
3D-NAND技术中的一个重要步骤是狭缝蚀刻。随着每个技术节点中层数的增加,为了控制狭缝蚀刻轮廓,硬掩模膜的厚度必须成比例地增加以承受高纵横比蚀刻轮廓。目前,使用非晶碳(aC:H)膜,因为其硬度高,且在狭缝蚀刻后容易剥离。然而,非晶碳硬掩模膜在斜面处有分层和不良形态,导致柱状条纹。
在一个或多个实施方式中,纳米晶金刚石有利地用作代替非晶碳的硬掩模。纳米晶金刚石硬掩模膜提供高硬度和高模量,但会导致高水平的表面粗糙度。因此,在一个或多个实施方式中,提供了一种处理基板的方法,其中将纳米晶金刚石用作硬掩模,其中处理方法产生光滑的表面。
一个或多个实施方式的处理方法有利地保持纳米晶金刚石硬掩模膜的硬度和模量同时保持低表面粗糙度。由于纳米晶金刚石硬掩模膜具有高硬度、高模量和改善的表面粗糙度,因此该膜可用作硬掩模以克服非晶碳基膜面临的挑战。
在一个或多个实施方式中,为了实现更大的蚀刻选择性,纳米晶金刚石层的密度和杨氏模量被改进。实现更大蚀刻选择性和改进杨氏模量的主要挑战之一是这种膜的高压缩应力,由于产生的高晶片弯曲而使其不适用于应用。因此,需要具有高密度和模量(例如,更高的sp3含量)、高蚀刻选择性以及低应力(例如,<500MPa)的纳米晶金刚石膜。
本文所描述的实施方式包括制造具有高密度(例如,>1.8g/cc)、高杨氏弹性模量(例如,>150GPa)和低应力(例如,<-500MPa)的纳米晶金刚石硬掩模膜的改进方法。在一个或多个实施方式中,杨氏模量在室温、或环境温度、或在约22℃至约25℃范围内的温度下测量。在一个或多个实施方式中,纳米晶金刚石膜的杨氏模量可以大于250GPa。在其他实施方式中,纳米晶金刚石膜的杨氏模量大于300GPa、大于325GPa或大于350GPa。
在一个或多个实施方式中,所使用的处理腔室可以是具有等离子体源(例如远程、微波、电容耦合等离子体(CCP)或电感耦合等离子体(ICP))的任何CVD处理腔室,例如上述处理腔室之一。在一些实施方式中,下面描述的流量(flow rate)和其他处理参数是针对300mm基板的。应当理解,在不背离本文披露的实施方式的情况下,这些参数可以基于所处理的基板的尺寸和所使用的腔室类型进行调整。在具体实施方式中,等离子体源是微波等离子体源以提供微波等离子体增强化学气相沉积腔室。
如本文所用,“基板表面”是指可在上面执行膜处理的任何基板或形成在基板上的材料表面。例如,可以在上面执行处理的基板表面包括以下材料,诸如硅、氧化硅、氮化硅、掺杂硅、锗、砷化镓、氮化镓、玻璃、蓝宝石和任何其他材料,诸如金属、金属氮化物、金属合金和其他导电材料。基板表面还可以包括介电材料,诸如二氧化硅和碳掺杂的氧化硅。基板可具有各种尺寸,诸如200mm、300mm或其他直径的晶片,以及矩形或方形窗格(pane)。
然后可以通过等离子体激活沉积气体,在具体实施方式中,通过微波等离子体激活沉积气体,以形成激活的沉积气体。沉积气体可以通过使用功率源形成等离子体来激活。可以使用能够将气体激活成活性物种并维持活性物种的等离子体的任何功率源。例如,可以使用基于射频(RF)、直流(DC)或微波(MW)的功率放电技术。功率源产生源等离子体功率,所述源等离子体功率被施加到具有等离子体源(例如远程、微波、CCP或ICP)的CVD处理腔室以产生和维持沉积气体的等离子体。在使用RF功率作为源等离子体功率的实施方式中,源等离子体功率可以从约2MHz至约170MHz的频率下和在500W和12,000W之间的功率电平下传送。其他实施方式包括在约2,000W至约12,000W下传送源等离子体功率。所施加的功率可根据被处理基板的尺寸进行调整。在一个或多个实施方式中,微波等离子体以约2,000W至约12,000W范围内的功率作为连续波施加。
基于CVD腔室中的压力以及其他因素,离子化物种的形成将被最小化而自由基形成被最大化。不受理论的束缚,据信纳米晶金刚石层应主要是sp3键而不是sp2键。此外,据信通过在层的沉积期间相对于离子化物种的数量增加自由基物种的数量,可以实现更多的sp3键。离子化物种是高能量的,比自由基需要更多的运动空间。一旦被激活,在第一容积中产生的被激活的沉积气体然后被输送通过具有第二压力的第二容积。第二容积可以是第二腔室或处理容积与具有等离子体源的CVD腔室之间的另一个受限区域。在一个示例中,第二容积是具有等离子体源的CVD腔室与处理容积之间的连接。
然后可以激活沉积气体以产生激活的沉积气体。沉积气体可以通过使用功率源形成等离子体来激活。可以使用能够将气体激活成活性物种并维持活性物种的等离子体的任何功率源。功率源产生源等离子体功率,所述源等离子体功率被施加到CVD等离子体腔室以产生和维持沉积气体的等离子体。在使用MW发生器作为源等离子体功率的实施方式中,源等离子体功率可以从约2MHz至约170MHz的频率下和在500W和12,000W之间的功率电平下传送。其他实施方式包括从约2,000W至约12,000W下传送源等离子体功率。所施加的功率可根据被处理基板的尺寸进行调整。
在具体实施方式中,本文所描述的工艺可用于在基板上形成纳米晶金刚石层。图1A-1D图示在集成电路制造序列的不同阶段的基板102的示意性截面图,结合纳米晶金刚石层作为硬掩模。在图1A-图1D中,沉积的纳米晶金刚石层108具有厚度T1、高模量(E>250GPa)、低粗糙度、和硬度。在一个或多个实施方式中,第一纳米晶金刚石层108具有在约250nm至约650nm范围内的厚度T1。在一个或多个实施方式中,如通过原子力显微镜(atomicforce microscopy,AFM)测量的纳米晶金刚石层108的粗糙度小于25nm。
图1A图示装置100的截面图。在一个或多个实施方式中,装置100可以是NAND装置。装置100包括基板102、多个装置层104、106、形成在多个装置层104、106上的纳米晶金刚石层108。
在一个或多个实施方式中,基板102可以是本领域已知的任何半导体基板,诸如单晶硅、IV-IV族化合物(诸如硅-锗(Si-Ge)或硅-锗-碳(Si-Ge-C))、III-V族化合物、II-VI族化合物、这些基板之上的外延层、或任何其他半导体或非半导体材料(诸如氧化硅、玻璃、塑料、金属或陶瓷基板)。在一个或多个实施方式中,基板102可包括制造在其上的集成电路,诸如用于存储器装置(未示出)的驱动器电路。
在一个或多个实施方式中,多个装置层104、106可以形成在基板102的表面之上。多个装置层104、106可以是形成3D垂直NAND结构的部件的沉积层。部件可由多个装置层(例如,电介质或离散电荷存储段)的全部或部分形成。介电部分可以独立地选自任何一种或多种相同或不同的电绝缘材料,诸如氧化硅、氮化硅、氮氧化硅或其他高k绝缘材料。在一个实施方式中,该结构可以包括以交替方式沉积的氧化硅/氮化硅对。这些对的总高度可以在
Figure BDA0003364124550000081
Figure BDA0003364124550000082
之间。这些对的数量可以大于10对,诸如32对、64对或更多。
在一些实施方式中,抗反射涂层110位于纳米晶金刚石层108上,并且光刻胶112位于抗反射涂层110上。在一些实施方式中,抗反射涂层110是介电抗反射涂层涂层(DARC)。参考图1B,抗反射涂层110被图案化以形成暴露纳米晶金刚石层108的顶表面的部分的开口113。
参考图1C和图1D,装置100包括沟道114。沟道114形成为穿过纳米晶金刚石层108和多个装置层104、106。沟道114可以实质上垂直于基板102的顶表面。例如,沟道114可以具有柱状。沟道114可以实质上垂直于基板102的顶表面延伸。在一些实施方式中,沟道114可以是填充特征。在一些其他实施方式中,沟道114可以是中空的。在这样的实施方式中,可以形成绝缘填充材料(未示出)以填充被沟道114围绕的中空部分。绝缘填充材料可包括任何电绝缘材料,诸如氧化硅、氮化硅、氮氧化硅或其他高k绝缘材料。
参考图1D,在一个或多个实施方式中,在形成沟道114之后,可以去除抗反射涂层110。任何合适的半导体材料都可以用于沟道114,例如硅、锗、硅锗或其他化合物半导体材料,诸如III-V族、II-VI族、或导电或半导体氧化物,或其他材料。半导体材料可以是非晶、多晶或单晶。半导体沟道材料可以通过任何合适的沉积方法形成。例如,在一个实施方式中,半导体沟道材料通过低压化学气相沉积(LPCVD)沉积。在其他实施方式中,半导体沟道材料可以是通过重结晶最初沉积的非晶半导体材料而形成的重结晶多晶半导体材料。
本文描述的方法可以在如图2所示的基板处理腔室200中执行,图2示出包括基板支撑件210的基板处理腔室200,基板支撑件210可为基座,诸如旋转基座。提供至少一个处理笼输入件204以将一种或多种处理气体输入到处理腔室内部容积202。等离子体功率源206将功率输入到腔室中,所述功率在处理腔室200的内部容积202中产生等离子体214。在具体实施方式中,等离子体功率源206是微波等离子体功率源。气体通过泵出口208离开腔室。
现在参考图3,在基板上沉积金刚石层的方法300包括在302处将如本文所描述的基板放置在基板处理腔室中,例如等离子体增强化学气相沉积腔室。在304处,所述方法包括使第一、第二、第三和第四气体流入基板处理腔室的内部容积以提供气体混合物。在306处,产生等离子体。产生等离子体可包括在基板处理腔室中的气体混合物中产生脉冲等离子体。在一个或多个实施方式中,气体混合物包括包含H2的第一气体、包含CO2的第二气体、选自由CH4、C2H2和C2H4组成的组的第三气体、以及包含惰性气体的第四气体。在308处,所述方法包括在基板上沉积纳米晶金刚石层,所述纳米晶金刚石层具有厚度、粗糙度、硬度和模量。
在一个或多个实施方式中,惰性气体选自由氦气(He)、氮气(N2)、氖气(Ne)、氩气(Ar)和它们的组合组成的组。在一个具体实施方式中,气体混合物包括在从10体积百分比(vol.%)至90vol.%(例如10sccm至96sccm)的范围内的H2、合计从2vol.%至10vol.%(例如,2sccm至10sccm)的范围内的第三气体和第四气体、以及在从10vol.%至90vol.%(例如,10sccm至90sccm)的范围内的氩气。在另一个具体实施方式中,气体混合物包括在从20至80vol.%(例如20sccm至80sccm)的范围内的H2、合计从3至8vol.%(例如,3sccm至8sccm)的范围内的第三气体和第四气体、以及在从20-80vol.%(例如,20sccm至80sccm)的范围内的氩气。在另一个具体实施方式中,气体混合物包括在从30-70vol.%(例如,30sccm至70sccm)的范围内的H2、合计从4至6vol.%(例如,4sccm至6sccm)的范围内的第三气体和第四气体、以及在从30至70vol.%(例如,30sccm至70sccm)的范围内的氩气。
在上面描述的任何实施方式中,在基板处理腔室中的气体混合物中产生脉冲等离子体是使用峰值功率在从2,000W至12,000W的范围内的微波等离子体发生的,所述微波等离子体在从10Hz至300Hz范围内的频率下并且从峰值功率的10%至90%的范围内被脉冲。在替代实施方式中,在基板处理腔室中的气体混合物中产生脉冲等离子体是使用峰值功率在从3,000W至9,000W的范围内的微波等离子体发生的,所述微波等离子体在从40Hz至270Hz范围内的频率下并且从峰值功率的25%-80%的范围内被脉冲。
在上述任一实施方式中,基板处理腔室中的气体混合物在从0.1托至1.0托的范围内的压力下。在替代实施方式中,基板处理腔室中的气体混合物在从0.2托至0.8托的范围内的压力下。
在上述任一实施方式中,基板处理腔室中的气体混合物在从450℃至600℃的范围内的温度下。在替代实施方式中,基板处理腔室中的气体混合物在从500℃至550℃的范围内的温度下。
在一个或多个实施方式中,所述方法有利地形成纳米晶金刚石层,其中纳米晶金刚石层的粗糙度小于25nm rms、小于24nm rms、小于23rms、小于22rms、小于21nm rms、小于20rms,小于19rms、小于18rms、小于17rms、小于16rms、小于15nm rms、小于14nm rms、小于13nm rms、小于12nm rms、小于10nm rms、小于9nm rms且大于0.5nm rms。
在具体实施方式中,纳米晶金刚石层形成在不包括底层纳米晶金刚石层的基板表面上。在一些实施方式中,通过等离子体增强CVD工艺形成的纳米晶金刚石层是单层,或者该单层不形成在底层纳米晶金刚石层上。
基板处理腔室可由控制器控制。本公开内容提供了本文描述的方法通常可以作为软件程序存储在存储器中,当由控制器或处理器执行时,所述软件程序使得处理腔室执行本公开内容的处理。软件程序还可以由远离由处理器控制的硬件的第二控制器或处理器(未示出)存储和/或执行。本公开内容的一些或全部方法也可以在硬件中执行。因此,处理可以以软件实现并使用计算机系统、以硬件例如专用集成电路或其他类型的硬件实现、或以软件和硬件的组合来执行。当由控制器或处理器执行时,软件程序将通用计算机转换为控制腔室操作的专用计算机(控制器),从而执行本文所描述的方法。
控制器或处理器可以包括包含指令的非暂时性计算机可读介质,当由基板处理腔室的控制器执行所述指令时,通过以下方法引起基板处理腔室在基板上沉积金刚石层,所述方法包括:在基板处理腔室中的气体混合物中产生脉冲微波等离子体,所述气体混合物包括包含在从10至90vol.%(例如,10sccm至96sccm)的范围内的H2的第一气体、包含CO2的第二气体、选自由CH4、C2H2和C2H4组成的组中的第三气体、以及在从10vol.%至90vol.%(例如,10sccm至90sccm)的范围内的包含惰性气体的第四气体,所述惰性气体选自由氦气(He)、氮气(N2)、氖气(Ne)、氩气(Ar)和它们的组合组成的组,所述第三气体和所述第四气体合计从2至90vol.%(例如,2sccm至10sccm)的范围内;和在基板上沉积纳米晶金刚石层。
在上述说明书中,已经参考本公开内容的具体示例性实施方式描述了本公开内容的实施方式。很明显,在不背离如以下权利要求中阐述的本公开内容的实施方式的更广泛的精神和范围的情况下,可以对其进行各种修改。因此,说明书和附图被认为是说明性的而不是限制性的。
在整个说明书中对“一个实施方式”、“某些实施方式”、“一个或多个实施方式”或“一实施方式”的提及意味着结合实施方式描述的具体特征、结构、材料或特性包括在本公开内容的至少一个实施方式中。因此,诸如“在一个或多个实施方式中”、“在某些实施方式中”、“在一个实施方式中”或“在一实施方式中”之类的短语在贯穿本说明书的各个地方的出现不一定是指本公开内容的相同实施方式。此外,具体特征、结构、材料或特性可以在一个或多个实施方式中以任何合适的方式组合。
尽管已经参考具体实施方式描述了本文的公开内容,但是应当理解,这些实施方式仅是对本公开内容的原理和应用的说明。对本领域技术人员显而易见的是,在不背离本公开内容的精神和范围的情况下,可以对本公开内容的方法和设备进行各种修改和变化。因此,本公开内容旨在包括在所附权利要求及其等同物的范围内的修改和变化。

Claims (20)

1.一种在基板上沉积金刚石层的方法,所述方法包括:
在基板处理腔室中的气体混合物中产生脉冲等离子体,所述气体混合物包括包含H2的第一气体、包含CO2的第二气体、选自由CH4、C2H2和C2H4组成的组中的第三气体以及包含惰性气体的第四气体;和
在所述基板上沉积纳米晶金刚石层,所述纳米晶金刚石层具有厚度、粗糙度、硬度和模量。
2.根据权利要求1所述的方法,其中所述惰性气体选自由氦气(He)、氮气(N2)、氖气(Ne)、氩气(Ar)和它们组合组成的组。
3.根据权利要求1所述的方法,其中所述气体混合物包括:
在从10vol.%至96vol.%的范围内的H2
合计从2vol.%至10vol.%的范围内的所述第三气体和所述第四气体;和
在从10vol.%至90vol.%的范围内的氩气。
4.根据权利要求1所述的方法,其中所述气体混合物包括:
在从20vol.%至80vol.%的范围内的H2
合计从3vol.%至8vol.%的范围内的所述第三气体和所述第四气体;和
在从20vol.%至80vol.%的范围内的氩气。
5.根据权利要求1所述的方法,其中所述气体混合物包括:
在从30vol.%至70vol.%的范围内的H2
合计从4vol.%至6vol.%的范围内的所述第三气体和所述第四气体;和
在从30vol.%至70vol.%的范围内的氩气。
6.根据权利要求3所述的方法,其中在所述基板处理腔室中的所述气体混合物中产生脉冲等离子体是使用峰值功率在从2,000W至12,000W的范围内的微波等离子体发生的,所述微波等离子体在从10Hz至300Hz范围内的频率下并且从峰值功率的10%至90%的范围内被脉冲。
7.根据权利要求1所述的方法,其中在所述基板处理腔室中的所述气体混合物中产生脉冲等离子体是使用峰值功率在从3kW至9kW的范围内的微波等离子体发生的,所述微波等离子体在从40Hz至270Hz范围内的频率下并且从峰值功率的25%-80%的范围内被脉冲。
8.根据权利要求6所述的方法,其中所述基板处理腔室中的所述气体混合物在从0.1托至1.0托的范围内的压力下。
9.根据权利要求1所述的方法,其中所述基板处理腔室中的所述气体混合物在从0.2托至0.8托的范围内的压力下。
10.根据权利要求8所述的方法,其中所述基板处理腔室中的所述气体混合物在从450℃至600℃的范围内的温度下。
11.根据权利要求1所述的方法,其中所述基板处理腔室中的所述气体混合物在从500℃至550℃的范围内的温度下。
12.根据权利要求1所述的方法,其中所述纳米晶金刚石层的粗糙度小于25nm rms。
13.根据权利要求1所述的方法,其中所述纳米晶金刚石层的粗糙度小于10nm rms。
14.根据权利要求12所述的方法,其中所述纳米晶金刚石层包括单层。
15.一种在基板的表面上沉积金刚石膜的方法,所述方法包括使用微波等离子体增强化学气相沉积工艺沉积具有厚度、粗糙度、硬度和模量的纳米晶金刚石层,其中所述粗糙度小于10nm rms,并且所述基板的所述表面在使用所述微波等离子体增强化学气相沉积工艺形成的所述纳米晶金刚石层之下不包括纳米晶金刚石层。
16.根据权利要求15所述的方法,其中所述粗糙度小于10nm rms。
17.根据权利要求15所述的方法,其中沉积所述纳米晶金刚石层包括在基板处理腔室中的气体混合物中产生脉冲微波等离子体,所述气体混合物包括包含H2的第一气体、包含CO2的第二气体、选自由CH4、C2H2和C2H4组成的组中的第三气体、以及包含惰性气体的第四气体,所述惰性气体选自由氦气(He)、氮气(N2)、氖气(Ne)、氩气(Ar)和它们的组合组成的组。
18.根据权利要求17所述的方法,其中所述气体混合物包括
在从10vol.%至96vol.%的范围内的H2
合计从2vol.%至10vol.%的范围内的所述第三气体和所述第四气体;和
在从10vol.%至90vol.%的范围内的氩气。
19.根据权利要求18所述的方法,其中在所述基板处理腔室中的所述气体混合物中产生所述微波脉冲等离子体是在从2,000W至12,000W的范围内的峰值功率下发生的,所述脉冲微波等离子体在从10Hz至300Hz范围内的频率下并且从峰值功率的10%至90%的范围内被脉冲。
20.一种包括指令的非暂时性计算机可读介质,当由基板处理腔室的控制器执行所述指令时,通过以下方法引起基板处理腔室在基板上沉积金刚石层,所述方法包括:在所述基板处理腔室中的气体混合物中产生脉冲微波等离子体,所述气体混合物包括包含在从10vol.%至96vol.%的范围内的H2的第一气体、包含CO2的第二气体、选自由CH4、C2H2和C2H4组成的组中的第三气体以及在从10vol.%至90vol.%的范围内的包含惰性气体的第四气体,所述惰性气体选自由氦气(He)、氮气(N2)、氖气(Ne)、氩气(Ar)和它们的组合组成的组,所述第三气体和所述第四气体合计从2vol.%至10vol.%的范围内;和在所述基板上沉积纳米晶金刚石层。
CN202180003449.1A 2020-10-23 2021-10-20 沉积低粗糙度金刚石膜 Pending CN114901858A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/078,474 US20220127721A1 (en) 2020-10-23 2020-10-23 Depositing Low Roughness Diamond Films
US17/078,474 2020-10-23
PCT/US2021/055732 WO2022087054A1 (en) 2020-10-23 2021-10-20 Depositing low roughness diamond films

Publications (1)

Publication Number Publication Date
CN114901858A true CN114901858A (zh) 2022-08-12

Family

ID=81256910

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180003449.1A Pending CN114901858A (zh) 2020-10-23 2021-10-20 沉积低粗糙度金刚石膜

Country Status (4)

Country Link
US (1) US20220127721A1 (zh)
JP (1) JP7441244B2 (zh)
CN (1) CN114901858A (zh)
WO (1) WO2022087054A1 (zh)

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275798A (en) * 1986-07-11 1994-01-04 Kyocera Corporation Method for producing diamond films
US5308661A (en) * 1993-03-03 1994-05-03 The Regents Of The University Of California Pretreatment process for forming a smooth surface diamond film on a carbon-coated substrate
US5616373A (en) * 1990-09-14 1997-04-01 Balzers Aktiengesellschaft Plasma CVD method for producing a diamond coating
DE102004004177A1 (de) * 2004-01-28 2005-09-01 AxynTeC Dünnschichttechnik GmbH Verfahren zur Herstellung dünner Schichten
US20060153994A1 (en) * 2003-01-10 2006-07-13 Centre National De La Recherche Scientifique-Cnrs High-speed diamond growth using a microwave plasma in pulsed mode
CN101294274A (zh) * 2008-05-19 2008-10-29 牡丹江师范学院 低成本生长高品质纳米金刚石膜的方法
US20150093908A1 (en) * 2013-09-30 2015-04-02 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency rf power
US20160053366A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high sp3 containing layer
US20160064500A1 (en) * 2014-09-03 2016-03-03 Applied Materials, Inc. Nanocrystaline diamond carbon film for 3d nand hardmask application
JP2017055118A (ja) * 2015-09-10 2017-03-16 国立研究開発法人産業技術総合研究所 マイクロ波プラズマcvd装置、それを用いたダイヤモンドの合成方法及び合成されたダイヤモンド

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0624896A (ja) * 1992-07-09 1994-02-01 Sumitomo Electric Ind Ltd ダイヤモンド合成方法
JP3669138B2 (ja) * 1998-03-05 2005-07-06 日新電機株式会社 プラズマcvd法、プラズマcvd装置及び電極
EP1649955B1 (en) 2003-07-31 2013-08-14 Sumitomo Electric Industries, Ltd. Diamond film coated tool and process for producing the same
JP4639334B2 (ja) 2005-03-11 2011-02-23 独立行政法人物質・材料研究機構 ダイヤモンド膜、その製造方法、電気化学素子、及びその製造方法
FR2940326B1 (fr) 2008-12-19 2011-03-25 Centre Nat Rech Scient Procede de fabrication de materiaux composites diamantes

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275798A (en) * 1986-07-11 1994-01-04 Kyocera Corporation Method for producing diamond films
US5616373A (en) * 1990-09-14 1997-04-01 Balzers Aktiengesellschaft Plasma CVD method for producing a diamond coating
US5308661A (en) * 1993-03-03 1994-05-03 The Regents Of The University Of California Pretreatment process for forming a smooth surface diamond film on a carbon-coated substrate
US20060153994A1 (en) * 2003-01-10 2006-07-13 Centre National De La Recherche Scientifique-Cnrs High-speed diamond growth using a microwave plasma in pulsed mode
DE102004004177A1 (de) * 2004-01-28 2005-09-01 AxynTeC Dünnschichttechnik GmbH Verfahren zur Herstellung dünner Schichten
CN101294274A (zh) * 2008-05-19 2008-10-29 牡丹江师范学院 低成本生长高品质纳米金刚石膜的方法
US20150093908A1 (en) * 2013-09-30 2015-04-02 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency rf power
US20160053366A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high sp3 containing layer
US20160064500A1 (en) * 2014-09-03 2016-03-03 Applied Materials, Inc. Nanocrystaline diamond carbon film for 3d nand hardmask application
JP2017055118A (ja) * 2015-09-10 2017-03-16 国立研究開発法人産業技術総合研究所 マイクロ波プラズマcvd装置、それを用いたダイヤモンドの合成方法及び合成されたダイヤモンド

Also Published As

Publication number Publication date
JP7441244B2 (ja) 2024-02-29
US20220127721A1 (en) 2022-04-28
JP2023501762A (ja) 2023-01-19
WO2022087054A1 (en) 2022-04-28

Similar Documents

Publication Publication Date Title
US10954129B2 (en) Diamond-like carbon as mandrel
KR101821800B1 (ko) 3d nand 하드마스크 애플리케이션을 위한 나노결정질 다이아몬드 탄소 필름
TWI749165B (zh) 用於硬遮罩應用之硼摻雜碳化鎢
TW200913069A (en) Methods for low temperature oxidation of a semiconductor device
JP2023113700A (ja) 炭化タングステン膜の接着性及び欠陥を改善する技法
US11894230B2 (en) Tribological properties of diamond films
US10312137B2 (en) Hardmask layer for 3D NAND staircase structure in semiconductor applications
US10128086B1 (en) Silicon pretreatment for nitride removal
CN114901858A (zh) 沉积低粗糙度金刚石膜
US11495454B2 (en) Deposition of low-stress boron-containing layers
WO2022046411A1 (en) Diffusion barriers for germanium
CN118103958A (zh) 使用含硅氢氟烃的蚀刻方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination