CN114203507A - 蚀刻方法及等离子体处理装置 - Google Patents

蚀刻方法及等离子体处理装置 Download PDF

Info

Publication number
CN114203507A
CN114203507A CN202111046522.1A CN202111046522A CN114203507A CN 114203507 A CN114203507 A CN 114203507A CN 202111046522 A CN202111046522 A CN 202111046522A CN 114203507 A CN114203507 A CN 114203507A
Authority
CN
China
Prior art keywords
film
gas
chamber
etching
protective film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111046522.1A
Other languages
English (en)
Inventor
田中康基
永井龙
大类贵俊
须田隆太郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN114203507A publication Critical patent/CN114203507A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明所公开的蚀刻方法包括在腔室内的表面上形成保护膜的工序(a)。蚀刻方法进一步包括在腔室内使用氟化氢对基板的蚀刻膜进行蚀刻的工序(b)。基板包括蚀刻膜及设置于该蚀刻膜上的掩模。保护膜由与掩模的材料相同种类的材料形成。

Description

蚀刻方法及等离子体处理装置
技术领域
本发明的示例性实施方式涉及一种蚀刻方法及等离子体处理装置。
背景技术
等离子体处理装置用于蚀刻等等离子体处理。等离子体处理装置具备腔室。腔室内的表面暴露于等离子体处理中所使用的反应物种中,并通过该反应物种进行削减。为了保护腔室内的表面免受反应物种的影响,使用在对基板进行等离子体处理之前在腔室内的表面上形成保护膜的技术。日本特开2016-76625号公报、日本特开2009-188257号公报及美国专利第6071573号说明书中公开有这种技术。
发明内容
本发明提供一种保护腔室内的表面免受反应物种的影响的技术。
在一示例性实施方式中,提供一种蚀刻方法。蚀刻方法包括在腔室内的表面上形成保护膜的工序(a)。蚀刻方法进一步包括在腔室内使用氟化氢对基板的蚀刻膜(etchfilm)进行蚀刻的工序(b)。基板包括蚀刻膜及设置于该蚀刻膜上的掩模。保护膜由与掩模的材料相同种类的材料形成。
根据一示例性实施方式,能够保护腔室内的表面免受反应物种的影响。
附图说明
图1是一示例性实施方式的蚀刻方法的流程图。
图2是作为一例的基板的局部放大剖视图。
图3是概略地表示一示例性实施方式的等离子体处理装置的图。
图4是表示一示例性实施方式的在等离子体处理装置的腔室内的表面上形成有保护膜的状态的图。
图5是作为进行蚀刻之后的状态的一例的基板的局部放大剖视图。
图6是表示在一示例性实施方式的等离子体处理装置中进行蚀刻之后的腔室的状态的图。
图7是表示在一示例性实施方式的等离子体处理装置中进行干洗之后的腔室的状态的图。
具体实施方式
以下,对各种示例性实施方式进行说明。
在一示例性实施方式中,提供一种蚀刻方法。蚀刻方法包括在腔室内的表面上形成保护膜的工序(a)。蚀刻方法进一步包括在腔室内使用氟化氢对基板的蚀刻膜进行蚀刻的工序(b)。基板包括蚀刻膜及设置于该蚀刻膜上的掩模。保护膜由与掩模的材料相同种类的材料形成。
在上述实施方式中,在使用氟化氢对蚀刻膜进行蚀刻之前,在腔室内的表面上形成保护膜。保护膜由与掩模的材料相同种类的材料形成,因此难以被蚀刻。因此,根据上述实施方式,能够更有效地保护腔室内的表面免受反应物种的影响。
在一示例性实施方式中,氟化氢可以由包含含氢气体及含氟气体或者包含氟化氢的处理气体生成。
在一示例性实施方式中,蚀刻膜可以含有硅,并且掩模及保护膜可以含有碳。或者,蚀刻膜可以含有金属。
在一示例性实施方式中,掩模可以由非晶质碳膜形成。
在一示例性实施方式中,蚀刻膜可以包括硅氧化膜、多晶硅膜、硅氮化膜或包括硅氧化膜及硅氮化膜的多层膜。
在一示例性实施方式中,保护膜可以使用含有烃的气体而形成。
在一示例性实施方式中,掩模可以由含金属膜形成,并且保护膜可以含有金属。
在一示例性实施方式中,掩模及保护膜可以由多晶硅或氧化硅形成。
在一示例性实施方式中,蚀刻方法可以进一步包括工序(c),在工序(b)之后,进行干洗,以将沉积于保护膜上的反应产物与保护膜的至少一部分一起去除。
在一示例性实施方式中,干洗可以以在保护膜覆盖了腔室内的表面的状态下局部残留该保护膜的方式进行。在本实施方式中,在工序(c)之后,可以对另一个基板进一步进行工序(b)。
在一示例性实施方式中,在工序(b)之后,进一步进行工序(a),并且可以对另一个基板进行工序(b)。
在另一示例性实施方式中,提供一种等离子体处理装置。等离子体处理装置具备腔室、气体供给部、等离子体生成部及控制部。气体供给部构成为向腔室内供给气体。等离子体生成部构成为在腔室内由气体生成等离子体。控制部构成为控制气体供给部及等离子体生成部。为了在腔室内的表面上形成保护膜,控制部控制气体供给部,以将成膜气体供给至腔室内。为了在腔室内使用氟化氢对基板的蚀刻膜进行蚀刻,控制部控制气体供给部及等离子体生成部,以由蚀刻气体生成等离子体。成膜气体包括前体,所述前体用于由与设置于基板的蚀刻膜上的掩模的材料相同种类的材料形成保护膜。
以下,参考附图对各种示例性实施方式进行详细说明。另外,在各附图中,对相同或相等的部分标注相同的符号。
图1是一示例性实施方式的蚀刻方法的流程图。图1所示的蚀刻方法(以下,称为“方法MT”)为了对基板的蚀刻膜(etch film)进行蚀刻而执行。
图2是作为一例的基板的局部放大剖视图。方法MT可以适用于图2所示的基板W。基板W具有膜EF及掩模MK。基板W可以进一步具有基底区域UR。膜EF可以设置于基底区域UR上。
膜EF为在方法MT中被蚀刻的蚀刻膜。膜EF例如为含硅膜。含硅膜可以为单层膜或多层膜。单层膜例如为硅氧化膜、硅氮化膜、多晶硅膜或含硅低介电常数膜。多层膜由硅氧化膜、硅氮化膜及多晶硅膜中的两个以上形成。多层膜可以为包括硅氧化膜及硅氮化膜的层叠膜。膜EF可以由其他材料形成。例如,膜EF可以由金属形成。
掩模MK设置于膜EF上。只要膜EF相对于掩模MK选择性地被蚀刻,则掩模MK可以由任意材料形成。掩模MK例如由如光致抗蚀膜、非晶质碳膜或旋涂碳膜的有机膜、含硅膜或含金属膜形成。含硅膜为多晶硅膜或硅氧化膜。含金属膜由钛、氮化钛、碳化钛、氧化钛、钨、碳化钨、钌、氧化钌、钼、碳化钼等形成。掩模MK具有转印于膜EF上的图案。即,掩模MK设置有开口OP。
在方法MT中,使用等离子体处理装置。图3是概略地表示一示例性实施方式的等离子体处理装置的图。图3所示的等离子体处理装置1为电容耦合型等离子体处理装置。
等离子体处理装置1具备腔室10。腔室10在其内部提供有内部空间10s。腔室10的中心轴线为沿铅直方向延伸的轴线AX。在一实施方式中,腔室10包括腔室主体12。腔室主体12具有大致圆筒形状。内部空间10s被提供于腔室主体12中。腔室主体12例如由铝构成。腔室主体12被电接地。在腔室主体12的内壁面上设置有具有耐腐蚀性的膜。具有耐腐蚀性的膜可以为由氧化铝、氧化钇等陶瓷形成的膜。
腔室主体12在其侧壁上提供通道12p。当在内部空间10s与腔室10的外部之间搬运基板W时,基板W通过通道12p。通道12p能够通过闸阀12g来开闭。闸阀12g沿腔室主体12的侧壁设置。
等离子体处理装置1进一步具备基板支承器16。基板支承器16构成为在腔室10内支承基板W。基板W可以具有大致圆盘形状。基板支承器16可以通过支承体15来支承。支承体15从腔室主体12的底部向上方延伸。支承体15具有大致圆筒形状。支承体15由石英等绝缘材料形成。
基板支承器16可以包括下部电极18及静电卡盘20。基板支承器16可以进一步包括电极板19。电极板19由铝等导电性材料形成。电极板19具有大致圆盘形状,其中心轴线为轴线AX。下部电极18设置于电极板19上。下部电极18由铝等导电性材料形成。下部电极18具有大致圆盘形状,其中心轴线为轴线AX。下部电极18与电极板19电连接。
下部电极18在其内部提供流路18f。流路18f为热交换介质(例如制冷剂)用流路。流路18f经由配管23a接收来自供给装置(例如,冷却器单元)的热交换介质。该供给装置设置于腔室10的外部。供给至流路18f的热交换介质流过流路18f,并经由配管23b返回至供给装置。热交换介质的供给装置构成等离子体处理装置1的温度调节机构。
静电卡盘20设置于下部电极18上。基板W载置于静电卡盘20的上表面上。静电卡盘20具有主体及电极。静电卡盘20的主体由电介质形成。静电卡盘20及其主体分别具有大致圆盘形状,其中心轴线为轴线AX。静电卡盘20的电极为膜状电极,并且设置于静电卡盘20的主体内。静电卡盘20的电极经由开关与直流电源连接。若来自直流电源的电压被施加到静电卡盘20的电极,则在静电卡盘20与基板W之间产生静电引力。通过所产生的静电引力,基板W被吸引到静电卡盘20并被静电卡盘20保持。
基板支承器16可以进一步支承配置于基板支承器16上的边缘环ER。边缘环ER可以由硅、碳化硅或石英形成。基板W配置于静电卡盘20上且被边缘环ER包围的区域内。
等离子体处理装置1可以进一步具备气体供给管路25。气体供给管路25将来自气体供给机构的传热气体(例如,He气体)供给至静电卡盘20的上表面与基板W的背面(下表面)之间的间隙。
等离子体处理装置1可以进一步具备筒状部28及绝缘部29。筒状部28从腔室主体12的底部向上方延伸。筒状部28沿支承体15的外周延伸。筒状部28由导电材料形成,并且具有大致圆筒形状。筒状部28被电接地。绝缘部29设置于筒状部28上。绝缘部29由具有绝缘性的材料形成。绝缘部29例如由石英等陶瓷形成。绝缘部29具有大致圆筒形状。绝缘部29沿电极板19的外周、下部电极18的外周及静电卡盘20的外周延伸。
等离子体处理装置1进一步具备上部电极30。上部电极30设置于基板支承器16的上方。上部电极30经由部件32支承于腔室主体12的上部。部件32由具有绝缘性的材料形成。上部电极30和部件32封闭腔室主体12的上部开口。
上部电极30可以包括顶板34及支承体36。顶板34的下表面为内部空间10s侧的下表面,并且划分内部空间10s。顶板34可以由焦耳热少的低电阻的导电体或半导体形成。在一实施方式中,顶板34由硅形成。顶板34提供多个气体孔34a。多个气体孔34a向顶板34的板厚方向贯穿顶板34。
支承体36装卸自如地支承顶板34。支承体36由铝等导电性材料形成。支承体36在其内部提供气体扩散室36a。支承体36进一步提供多个气体孔36b。多个气体孔36b从气体扩散室36a向下方延伸。多个气体孔36b分别与多个气体孔34a连通。支承体36进一步提供气体导入口36c。气体导入口36c与气体扩散室36a连接。在气体导入口36c上连接有气体供给管38。
在气体供给管38上经由阀组41、流量控制器组42及阀组43连接有气体源组40。由气体源组40、阀组41、流量控制器组42及阀组43构成气体供给部GS。气体源组40包括多个气体源。气体源组40的多个气体源包括在方法MT中所使用的多个气体源。阀组41及阀组43分别包括多个开闭阀。流量控制器组42包括多个流量控制器。流量控制器组42的多个流量控制器分别为质流控制器或压力控制式的流量控制器。气体源组40的多个气体源分别经由与阀组41对应的开闭阀、与流量控制器组42对应的流量控制器及与阀组43对应的开闭阀而连接于气体供给管38。
等离子体处理装置1可以进一步具备屏蔽件46。屏蔽件46沿腔室主体12的内壁面装卸自如地设置。屏蔽件46防止等离子体处理的副产物附着于腔室主体12上。关于屏蔽件46,例如通过在由铝形成的部件的表面上形成具有耐腐蚀性的膜而构成。具有耐腐蚀性的膜可以为由氧化钇等陶瓷形成的膜。
等离子体处理装置1可以进一步具备挡板部件48。挡板部件48设置于围绕基板支承器16的部件(例如,筒状部28)与屏蔽件46之间。关于挡板部件48,例如通过在由铝形成的部件的表面上形成具有耐腐蚀性的膜而构成。具有耐腐蚀性的膜可以为由氧化钇等陶瓷形成的膜。挡板部件48设置有多个贯穿孔。在挡板部件48的下方且腔室主体12的底部设置有排气口。在排气口上经由排气管52连接有排气装置50。排气装置50具有压力调节阀及涡轮分子泵等真空泵。
等离子体处理装置1进一步具备高频电源61及偏置电源62。高频电源61构成为产生高频功率(以下,称为“高频功率HF”)。高频功率HF具有适于生成等离子体的频率。高频功率HF的频率例如为27MHz以上且100MHz以下。高频电源61经由匹配器61m与下部电极18连接。匹配器61m具有用于使高频电源61的负载侧(下部电极18侧)的阻抗与高频电源61的输出阻抗匹配的电路。在一实施方式中,高频电源61可以构成等离子体生成部。另外,高频电源61可以经由匹配器61m与上部电极30连接。
偏置电源62构成为向下部电极18提供电偏置EB。电偏置EB具有适于将离子引入基板W的频率。电偏置EB的频率例如为100kHz以上且40.68MHz以下。在与高频功率HF一起使用电偏置EB的情况下,电偏置EB具有低于高频功率HF的频率的频率。
在一实施方式中,电偏置EB可以为高频偏置功率(以下,称为“高频功率LF”)。在本实施方式中,偏置电源62经由匹配器62m与下部电极18连接。匹配器62m具有用于使偏置电源62的负载侧(下部电极18侧)的阻抗与偏置电源62的输出阻抗匹配的电路。另外,等离子体处理装置1可以构成为仅使用高频功率LF来生成等离子体。在这种情况下,偏置电源62构成一实施方式的等离子体生成部。在这种情况下,等离子体处理装置1可以不具备高频电源61及匹配器61m。
在一实施方式中,电偏置EB可以为负的直流电压的脉冲。在本实施方式中,负的直流电压的脉冲周期性地施加到下部电极18。
等离子体处理装置1进一步具备控制部80。控制部80为具备处理器、存储装置、输入装置、显示装置等的计算机,并且控制等离子体处理装置1的各部。具体而言,控制部80执行存储于存储装置中的控制程序,并根据存储于该存储装置中的工序数据来控制等离子体处理装置1的各部。通过基于控制部80的控制,在等离子体处理装置1中执行由工序数据指定的工艺。关于方法MT,可以通过由控制部80控制等离子体处理装置1的各部以在等离子体处理装置1中执行。
再次参考图1对方法MT进行详细说明。以下,以使用等离子体处理装置1将方法MT适用于图2所示的基板W的情况为例,对方法MT进行说明。在以下说明中,进一步参考图4~图7。图4是表示一示例性实施方式的在等离子体处理装置的腔室内的表面上形成有保护膜的状态的图。图5是作为进行蚀刻之后的状态的一例的基板的局部放大剖视图。图6是表示在一示例性实施方式的等离子体处理装置中进行蚀刻之后的腔室的状态的图。图7是表示在一示例性实施方式的等离子体处理装置中进行干洗之后的腔室的状态的图。
如图1所示,方法MT在工序STa中开始。在工序STa中,如图4所示,保护膜PF形成于腔室10内的表面上。腔室10内的表面为如下表面:若在腔室10内的表面上没有形成保护膜PF,则在后述工序STb的蚀刻中所产生的反应产物可以附着的表面。在一例中,腔室10内的表面包括上部电极30的下表面(即,顶板34的下表面)、屏蔽件46的表面、绝缘部29的表面及边缘环ER的表面。如图4所示,工序STa可以在基板支承器16上载置有虚拟基板DW的状态下执行。
保护膜PF由与掩模MK的材料相同种类的材料形成。在掩模MK由有机膜形成的情况下,保护膜PF由含碳物质形成。在掩模MK由含硅膜形成的情况下,保护膜PF由含硅物质(例如,多晶硅、氧化硅或包含碳的含硅膜)形成。在掩模MK由含金属膜形成的情况下,保护膜PF由含金属物质形成。含金属物质包含钛、氮化钛、碳化钛、氧化钛、钨、碳化钨、钌、氧化钌、钼、碳化钼等中的任一种。
含碳物质制的保护膜PF在腔室10内使用成膜气体而形成。成膜气体包含含碳气体。成膜气体可以为不含氟的气体。含碳气体包括CO、CO2、COS及烃中的一个以上作为前体。烃为CH4、C2H2、C3H6等。含碳物质制的保护膜PF可以通过CVD(化学气相沉积)法或MLD(分子层沉积)法而形成。CVD法可以为等离子体辅助CVD法或热CVD法。MLD法可以为等离子体辅助MLD法或热MLD法。在MLD法中,可以通过混合两种以上的气体而形成具有脲键的保护膜。
在工序STa中,为了形成含碳物质制的保护膜PF,控制部80控制气体供给部GS,以将成膜气体供给至腔室10内。控制部80控制排气装置50,以将腔室10内的气体的压力设定为指定的压力。控制部80控制等离子体生成部,以在腔室10内生成成膜气体的等离子体。在等离子体处理装置1中,控制部80控制高频电源61和/或偏置电源62,以供给高频功率HF和/或电偏置EB。
含硅物质制的保护膜PF使用CVD法或ALD(原子层沉积)法而形成。CVD法可以为等离子体辅助CVD法或热CVD法。ALD法可以为等离子体辅助ALD法或热ALD法。在工序STa中,为了形成含硅物质制的保护膜PF,控制部80控制气体供给部GS,以将包含含硅物质作为前体的成膜气体供给至腔室10内。在形成含硅物质制的保护膜PF的情况下,成膜气体例如包含SiH4、SiCl4、Si2Cl6或SiF4。成膜气体可以进一步包含氩等稀有气体。在形成氧化硅制的保护膜PF的情况下,可以进一步使用与前体进行反应的包含氧的含氧气体。
含金属物质制的保护膜PF使用化学气相沉积法、有机金属气相沉积(MOCVD)法或原子层沉积(ALD)法而形成。在使用这些成膜法的情况下,包含含金属物质作为前体的成膜气体被供给至腔室10内。成膜气体例如包含WF6、TiCl4、C8H24N4Ti、RuCl3或MoCl5。在工序STa中,为了形成含金属物质制的保护膜PF,控制部80控制气体供给部GS,以将成膜气体供给至腔室10内。
在保护膜PF由钨形成的情况下,成膜气体可以包含WF6。成膜气体被供给至腔室10内,以使作为前体的WF6吸附于腔室10内的表面上。WF6通过来自在腔室10内生成的含氢气体(例如,H2气体)的等离子体的化学物种进行改性。其结果,钨制的保护膜PF形成于腔室10内的表面上。或者,钨制的保护膜PF可以通过使用了包含WF6的成膜气体的等离子体辅助CVD法或热CVD法而形成。
在保护膜PF由钛形成的情况下,成膜气体例如包含TiCl4及H2,并且保护膜PF通过等离子体辅助CVD法或热CVD法而形成。在保护膜PF由氮化钛形成的情况下,成膜气体例如包含TiCl4及N2,并且保护膜PF通过等离子体辅助CVD法或热CVD法而形成。或者,在保护膜PF由氮化钛形成的情况下,成膜气体例如包含Ti[N(CH3)C2H5]4,并且保护膜PF通过MOCVD法而形成。
在方法MT中,接着将基板W载置于基板支承器16上。然后,执行工序STb。在工序STb中,如图5所示,对膜EF进行蚀刻。通过工序STb中的膜EF的蚀刻来增加开口OP的深度。在工序STb中,膜EF可以被蚀刻至露出基底区域UR的状态。
在工序STb中,膜EF在腔室10内使用氟化氢进行蚀刻。为了生成氟化氢,在工序STb中,向腔室10内供给蚀刻气体,并在腔室10内由蚀刻气体生成等离子体。
蚀刻气体可以包含氟化氢(HF)。或者,蚀刻气体可以包含作为氟源的含氟气体及作为氢源的含氢气体。蚀刻气体可以包含H2、CH4、CH2F2、CH3F、CHF3、H2O、HF、HCl、HBr、HI等中的一个以上作为氢源。蚀刻气体可以包含CF4、C4F8、C4F6、C3F8、C5F8、SF6、NF3、XeF2、PF3,PF5、CF3I、C2F5I、C3F7I、IF5、IF7、WF6、HF、SiF4等中的一个以上作为氟源。
蚀刻气体可以进一步包含一个以上的含磷分子。一个以上的含磷分子可以包含如十氧化四磷(P4O10)、八氧化四磷(P4O8)、六氧化四磷(P4O6)的氧化物。十氧化四磷有时称为五氧化二磷(P2O5)。一个以上的含磷分子可以包含如三氟化磷(PF3)、五氟化磷(PF5)、三氯化磷(PCl3)、五氯化磷(PCl5)、三溴化磷(PBr3)、五溴化磷(PBr5)、碘化磷(PI3)的卤化物。一个以上的含磷分子可以包含如氟化磷酰(POF3)、氯化磷酰(POCl3)、溴化磷酰(POBr3)的卤化磷酰。一个以上的含磷分子可以包含膦(PH3)、磷化钙(Ca3P2等)、磷酸(H3PO4)、磷酸钠(Na3PO4)、六氟磷酸(HPF6)等。一个以上的含磷分子可以包含氟膦类(HxPFy)。其中,x与y之和为3或5。作为氟膦类,例示出HPF2、H2PF3
在一例中,蚀刻气体可以为包含氟化氢气体、如C4F8气体的氟碳化物气体及含磷气体的混合气体。含磷气体包含上述一个以上的含磷分子。
在工序STb中,为了对膜EF进行蚀刻,控制部80控制气体供给部GS,以将蚀刻气体供给至腔室10内。控制部80控制排气装置50,以将腔室10内的气体的压力设定为指定的压力。控制部80控制等离子体生成部,以在腔室10内生成蚀刻气体的等离子体。在等离子体处理装置1中,控制部80控制高频电源61和/或偏置电源62,以供给高频功率HF和/或电偏置EB。
当进行工序STb的蚀刻时,生成反应产物RP。如图6所示,反应产物RP附着于腔室10内的表面上。
在方法MT中,在执行工序STb之后,从腔室10的内部搬出基板W。然后,执行工序STc。在工序STc中,进行腔室10的干洗。工序STc的干洗可以在基板支承器16上载置有虚拟基板或者没有载置虚拟基板的状态下执行。
在工序STc中,清洁气体被供给至腔室10内,并由清洁气体生成等离子体。通过来自所生成的清洁气体的化学物种,反应产物RP与保护膜PF的至少一部分一起被去除。如图7所示,工序STc的干洗可以完全去除保护膜PF。工序STc的干洗可以以在保护膜PF覆盖了腔室10内的表面的状态下局部残留保护膜PF的方式进行。
在保护膜PF为含碳物质制的情况下,含氧气体(例如,O2气体)被用作清洁气体。在保护膜PF为含硅物质制的情况下,含卤素气体被用作清洁气体。在保护膜PF为含金属物质制的情况下,清洁气体包含NF3、Cl2、BCl3、SiCl4、O2、CH3OH、C2H5OH等。
在工序STc中,为了进行干洗,控制部80控制气体供给部GS,以将清洁气体供给至腔室10内。控制部80控制排气装置50,以将腔室10内的气体的压力设定为指定的压力。控制部80控制等离子体生成部,以在腔室10内生成清洁气体的等离子体。在等离子体处理装置1中,控制部80控制高频电源61和/或偏置电源62,以供给高频功率HF和/或电偏置EB。
方法MT可以进一步包括工序STJ。在工序STJ中,判定是否满足停止条件。在存在要进一步进行蚀刻的基板的情况下,不满足停止条件。另一方面,在完成对所有基板的蚀刻的情况下,满足停止条件。
在工序STJ中,若判定为不满足停止条件,则为了对另一个基板W进行蚀刻而继续进行处理。在通过工序STc中的干洗去除保护膜PF而露出腔室10内的表面的情况下,再次执行工序STa。之后,将另一个基板W载置于基板支承器16上,并且执行工序STb。或者,在工序STc中的干洗之后保护膜PF以覆盖腔室10内的表面的状态被残留的情况下,将另一个基板W载置于基板支承器16上并执行工序STb而不进行工序STa。在工序STJ中,若判定为满足停止条件,则方法MT结束。
在方法MT中,在使用氟化氢对膜EF进行蚀刻之前,在腔室10内的表面上形成保护膜PF。保护膜PF由与掩模MK的材料相同种类的材料形成,因此难以被蚀刻。因此,根据方法MT,能够更有效地保护腔室10内的表面免受反应物种的影响。其结果,抑制由工序STc的蚀刻而引起的腔室10内的表面的腐蚀。因此,抑制步骤(工序STc)的经时变化。并且,根据方法MT,通过保护膜PF保护腔室10内的表面,因此可以获得腔室10内的表面的耐溅射效果,并且抑制构成腔室10内的表面的材料的粒子飞散。
以上,对各种示例性实施方式进行了说明,但是并不限定于上述示例性实施方式,可以进行各种追加、省略、替换及变更。并且,能够组合不同的实施方式中的要件来形成其他实施方式。
例如,在方法MT的执行中所使用的等离子体处理装置可以为与等离子体处理装置1不同的电容耦合型等离子体处理装置。在方法MT的执行中所使用的等离子体处理装置可以为与电容耦合型不同类型的等离子体处理装置。这种等离子体处理装置例如为感应耦合型等离子体处理装置、电子回旋共振(ECR)等离子体处理装置或通过微波等表面波而由气体生成等离子体的等离子体处理装置。
根据以上说明可以理解,在本说明书中出于说明的目的对本发明的各种实施方式进行了说明,在不脱离本发明的范围及要旨的情况下可以进行各种变更。因此,并不限定于本说明书中所公开的各种实施方式,真正的范围和要旨由所附的权利要求书来表示。

Claims (12)

1.一种蚀刻方法,其包括:
(a)在腔室内的表面上形成保护膜的工序,及
(b)在所述腔室内使用氟化氢对基板的蚀刻膜进行蚀刻的工序;
所述基板包括所述蚀刻膜及设置于该蚀刻膜上的掩模,
所述保护膜由与所述掩模的材料相同种类的材料形成。
2.根据权利要求1所述的蚀刻方法,其中,
所述氟化氢由包含含氢气体及含氟气体或者包含氟化氢的处理气体生成。
3.根据权利要求1或2所述的蚀刻方法,其中,
所述蚀刻膜含有硅,
所述掩模及所述保护膜含有碳。
4.根据权利要求3所述的蚀刻方法,其中,
所述掩模由非晶质碳膜形成。
5.根据权利要求3或4所述的蚀刻方法,其中,
所述蚀刻膜包括硅氧化膜、多晶硅膜、硅氮化膜或包括硅氧化膜及硅氮化膜的多层膜。
6.根据权利要求3至5中任一项所述的蚀刻方法,其中,
所述保护膜使用含有烃的气体而形成。
7.根据权利要求1或2所述的蚀刻方法,其中,
所述掩模由含金属膜形成,
所述保护膜含有金属。
8.根据权利要求1或2所述的蚀刻方法,其中,
所述掩模及所述保护膜由多晶硅或氧化硅形成。
9.根据权利要求1至8中任一项所述的蚀刻方法,其进一步包括(c),在所述(b)之后,进行干洗以将沉积于所述保护膜上的反应产物与所述保护膜的至少一部分一起去除的工序。
10.根据权利要求9所述的蚀刻方法,其中,
所述干洗以在所述保护膜覆盖了所述腔室内的所述表面的状态下局部残留该保护膜的方式进行,
在所述(c)之后,对另一个基板进一步进行所述(b)。
11.根据权利要求9所述的蚀刻方法,其中,
在所述(b)之后,进一步进行所述(a),并且对另一个基板进行所述(b)。
12.一种等离子体处理装置,其具备:
腔室,
气体供给部,该气体供给部构成为向所述腔室内供给气体,
等离子体生成部,该等离子体生成部构成为在所述腔室内由气体生成等离子体,及
控制部,该控制部构成为控制所述气体供给部及所述等离子体生成部;
所述控制部进行如下控制:为了在所述腔室内的表面上形成保护膜而控制气体供给部,以将成膜气体供给至所述腔室内,并且为了在所述腔室内使用氟化氢对基板的蚀刻膜进行蚀刻而控制所述气体供给部及所述等离子体生成部,以由蚀刻气体生成等离子体,
所述成膜气体包括前体,所述前体用于由与设置于所述基板的所述蚀刻膜上的掩模的材料相同种类的材料形成所述保护膜。
CN202111046522.1A 2020-09-18 2021-09-06 蚀刻方法及等离子体处理装置 Pending CN114203507A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020157282A JP7374058B2 (ja) 2020-09-18 2020-09-18 エッチング方法及びプラズマ処理装置
JP2020-157282 2020-09-18

Publications (1)

Publication Number Publication Date
CN114203507A true CN114203507A (zh) 2022-03-18

Family

ID=80645993

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111046522.1A Pending CN114203507A (zh) 2020-09-18 2021-09-06 蚀刻方法及等离子体处理装置

Country Status (5)

Country Link
US (1) US20220093367A1 (zh)
JP (2) JP7374058B2 (zh)
KR (1) KR20220038273A (zh)
CN (1) CN114203507A (zh)
TW (1) TW202213506A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2024035044A (ja) * 2022-08-30 2024-03-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61166030A (ja) * 1985-01-17 1986-07-26 Matsushita Electronics Corp レジストエツチ速度抑制方法
US5486235A (en) * 1993-08-09 1996-01-23 Applied Materials, Inc. Plasma dry cleaning of semiconductor processing chambers
EP0648858A1 (en) * 1993-10-15 1995-04-19 Applied Materials, Inc. Methods of coating plasma etch chambers and apparatus for plasma etching workpieces
JP3720777B2 (ja) 2002-02-20 2005-11-30 株式会社日立ハイテクノロジーズ プラズマ処理装置用保護膜の取付け方法
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
JP2007005381A (ja) 2005-06-21 2007-01-11 Matsushita Electric Ind Co Ltd プラズマエッチング方法、及びプラズマエッチング装置
JP5450187B2 (ja) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
JP6632426B2 (ja) 2016-02-29 2020-01-22 東京エレクトロン株式会社 プラズマ処理装置及びプリコート処理方法
JP6630649B2 (ja) 2016-09-16 2020-01-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP7222940B2 (ja) 2019-02-18 2023-02-15 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置

Also Published As

Publication number Publication date
KR20220038273A (ko) 2022-03-28
US20220093367A1 (en) 2022-03-24
TW202213506A (zh) 2022-04-01
JP2022051034A (ja) 2022-03-31
JP2023182828A (ja) 2023-12-26
JP7374058B2 (ja) 2023-11-06

Similar Documents

Publication Publication Date Title
CN105390389B (zh) 高深宽比结构中的触点清洁
WO2021090516A1 (ja) エッチング方法
US11355350B2 (en) Etching method, substrate processing apparatus, and substrate processing system
US20210343539A1 (en) Substrate processing method and plasma processing apparatus
CN112786440A (zh) 蚀刻方法及等离子体处理装置
TW202133261A (zh) 基板處理方法及電漿處理裝置
JP2023182828A (ja) プラズマ処理装置
KR20220150845A (ko) 기판 처리 방법 및 플라즈마 처리 장치
US20230170189A1 (en) Etching method and plasma processing apparatus
US20220262645A1 (en) Etching method, substrate processing apparatus, and substrate processing system
US20210233778A1 (en) Etching method, substrate processing apparatus, and substrate processing system
US20210159085A1 (en) Substrate processing method and plasma processing apparatus
JP2021118347A (ja) エッチング方法、基板処理装置、及び基板処理システム
JP7343461B2 (ja) エッチング方法及びプラズマ処理装置
TW202245051A (zh) 基板處理方法及基板處理裝置
WO2022234640A1 (ja) 基板処理方法及び基板処理装置
WO2024043166A1 (ja) プラズマ処理装置及び基板処理システム
TW202245056A (zh) 基板處理方法及基板處理裝置
CN115692190A (zh) 等离子体处理方法、等离子体处理装置以及系统
CN112838002A (zh) 基板处理方法及等离子体处理装置
CN112786441A (zh) 蚀刻方法及等离子体处理装置
TW202303748A (zh) 基板處理裝置及基板處理方法
TW202414579A (zh) 電漿處理裝置及基板處理系統
JP2022077710A (ja) エッチング方法
TW202244984A (zh) 蝕刻方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination