CN114188272A - 半导体元件的制备方法 - Google Patents

半导体元件的制备方法 Download PDF

Info

Publication number
CN114188272A
CN114188272A CN202110832180.XA CN202110832180A CN114188272A CN 114188272 A CN114188272 A CN 114188272A CN 202110832180 A CN202110832180 A CN 202110832180A CN 114188272 A CN114188272 A CN 114188272A
Authority
CN
China
Prior art keywords
metal plug
lower metal
dielectric
silicide portion
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110832180.XA
Other languages
English (en)
Inventor
卢立翰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanya Technology Corp
Original Assignee
Nanya Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corp filed Critical Nanya Technology Corp
Publication of CN114188272A publication Critical patent/CN114188272A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7687Thin films associated with contacts of capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/50Peripheral circuit region structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

本公开提供一种半导体元件的制备方法。该制备方法包括在一半导体基底上形成一第一介电层,形成一阻挡层以及贯穿该第一介电层并且设置在一单元区中的一第一下金属插塞,该第一下金属插塞被该阻挡层所包围,在该第一介电层、该阻挡层以及该第一下金属插塞上沉积一硅层,在形成该硅层之后执行一自对准硅化物制程以在该第一下金属插塞上形成一内硅化物部分以及在该阻挡层上形成一外硅化物部分,该内硅化物部分被该外硅化物部分所包围,并且形成一凹陷在该内硅化物部分上。

Description

半导体元件的制备方法
技术领域
本申请案主张2020年9月14日申请的美国正式申请案第17/020,083号的优先权及益处,该美国正式申请案的内容以全文引用的方式并入本文中。
本公开是关于一种半导体元件的制备方法。特别是有关于一种具有复合接合焊垫的半导体元件的制备方法。
背景技术
对于许多现代应用,半导体元件是不可或缺的。随着电子科技的进步,半导体元件的尺寸变得越来越小,于此同时提供较佳的功能以及包含较大的集成电路数量。由于半导体元件的规格小型化,实现不同功能的半导体元件的不同型态与尺寸规模,是整合(integrated)并封装(packaged)在一单一模块中。再者,许多制造步骤执行于各式不同型态的半导体元件的整合(integration)。
然而,半导体元件的制造以及整合涉及许多复杂的步骤及操作。半导体元件的制造以及整合复杂度的增加可能导致例如互连结构中的未对准(misalignment)的缺陷。因此,需要持续地改进半导体元件的结构以及制程。
上文的「先前技术」说明仅是提供背景技术,并未承认上文的「先前技术」说明揭示本公开的标的,不构成本公开的先前技术,且上文的「先前技术」的任何说明均不应作为本案的任一部分。
发明内容
本公开的一实施例提供一种半导体元件的制备方法。该制备方法包括:在一半导体基底上形成一第一介电层;形成一阻挡层以及贯穿该第一介电层并且设置在一单元区中的一第一下金属插塞,该第一下金属插塞被该阻挡层所包围;在该第一介电层、该阻挡层以及该第一下金属插塞上沉积一硅层;在形成该硅层之后执行一自对准硅化物(salicide)制程以在该第一下金属插塞上形成一内硅化物部分以及在该阻挡层上形成一外硅化物部分,该内硅化物部分被该外硅化物部分所包围,并且形成一凹陷在该内硅化物部分上。
在一个实施例中,形成该阻挡层以及该第一下金属插塞包括:蚀刻该第一介电层以在该单元区中形成一第一开口,以及形成衬在该第一开口中的一阻挡材料。形成该阻挡层以及该第一下金属插塞还包括在该阻挡材料上形成一第一金属材料,以及平坦化该第一金属材料以及该阻挡材料以在该第一开口中形成一第一下金属插塞以及该阻挡层。在一实施例中,该制备方法还包括在硅层沉积之前部分去除该第一介电层以曝露该阻挡层的一上侧壁。在一实施例中,在执行该自对准硅化物制程之前,该阻挡层的该上侧壁被该硅层所覆盖。
在一实例中,该制备方法还包括蚀刻该第一介电层,以在一外围电路区中形成一第二开口,其中该第二开口曝露该半导体基底的一顶面。此外,该制备方法包括形成衬在该第二开口并且覆盖该半导体基底的该顶面的一第二金属材料,以及在该第二金属材料上形成一介电材料,以及平坦化该第二金属材料及该介电材料以形成一第二下金属插塞以及被该第二下金属插塞所包围的一介电柱。在一实施例中,该制备方法还包括在该第一介电层上形成一第二介电层,形成贯穿该第二介电层并且接触该内硅化物部分以及该外硅化物部分的一第一上金属插塞,以及形成一第二上金属插塞贯穿该第二介电层并且接触该第二下金属插塞以及该介电柱。在一实施例中,该制备方法还包括在形成该介电材料之前部分去除该第二金属材料以曝露该半导体基底的部分顶面。
在一实施例中,该阻挡层包含钛材料,以及该外硅化物部分包含钛硅化物。
在一实例中,该第一下金属插塞以及该阻挡层是由该第一介电层的一顶面突出,以及该阻挡层的一上侧壁是被该外硅化物部分所覆盖。
在一实例中,该内硅化物部分的该顶面是直接接触该第一上金属插塞的一底面。
在一实例中,该外硅化物部分的该顶面是直接接触该第一上金属插塞的一侧壁。
在一实例中,该第一上金属插塞的一侧壁被该外硅化物部分所部分覆盖。
在一实例中,该介电柱是通过该第二下金属插塞而隔开该半导体基底。
在一实例中,该介电柱是直接接触该半导体基底。
本公开提供一种半导体元件的制备方法的多个实施例。在一些实施例中,该半导体元件包括一下金属插塞以及设置在该半导体基底上的一阻挡层,其中该下金属插塞被该阻挡层所包围。该半导体元件还包括设置在该下金属插塞上的一内硅化物部分以及设置在该阻挡层上的一外硅化物部分。该内硅化物部分以及该外硅化物部分构成一复合接合焊垫,是以增加一上金属插塞在接合时的接合面积。因此,可以降低接触电阻,以及防止并且减少下金属插塞与上金属插塞之间未对准的问题。因此,是可以提高整体元件性能,以及提高半导体元件的良率。
上文已相当广泛地概述本公开的技术特征及优点,而使下文的本公开详细描述得以获得较佳了解。构成本公开的权利要求标的的其它技术特征及优点将描述于下文。本公开所属技术领域中具有通常知识者应了解,可相当容易地利用下文揭示的概念与特定实施例可作为修改或设计其它结构或制程而实现与本公开相同的目的。本公开所属技术领域中具有通常知识者亦应了解,这类等效建构无法脱离后附的权利要求所界定的本公开的精神和范围。
附图说明
参阅实施方式与权利要求合并考量图式时,可得以更全面了解本申请案的揭示内容,图式中相同的元件符号是指相同的元件。
图1例示本公开一些实施例的一种半导体元件的剖视示意图。
图2例示本公开一些实施例的一种修改的半导体元件的剖视示意图。
图3例示本公开一些实施例的一种半导体元件的制备方法的流程示意图。
图4例示本公开一些实施例的在该半导体元件的形成期间部分地去除一第一介电层以在单元区中形成一开口的中间阶段的剖视示意图。
图5例示本公开一些实施例的在该半导体元件的形成期间在单元区的该开口中形成一阻挡材料以及一金属材料的中间阶段的剖视示意图。
图6例示本公开一些实施例的在该半导体元件的形成期间在单元区的该开口中形成该阻挡层以及该下金属插塞的中间阶段的剖视示意图。
图7例示本公开一些实施例的在该半导体元件的形成期间部分地去除该第一介电层的中间阶段的剖视示意图。
图8例示本公开一些实施例的在该半导体元件的形成期间沉积一硅层的中间阶段的剖视示意图。
图9例示本公开一些实施例的在该半导体元件的形成期间形成一内硅化物部分以及一外硅化物部分的中间阶段的剖视示意图。
图10例示本公开一些实施例的在该半导体元件的形成期间部分地去除该第一介电层以在外围电路区中形成一开口的中间阶段的剖视示意图。
图11例示本公开一些实施例的在该半导体元件的形成期间形成衬在外围电路区的该开口的一金属材料的中间阶段的剖视示意图。
图12例示本公开一些实施例的在该半导体元件的形成期间用介电材料填充外围电路区的该开口的中间阶段的剖视示意图。
图13例示本公开一些实施例的在该半导体元件的形成期间在外围电路区的该开口中形成一下金属插塞以及一介电柱的中间阶段的剖视示意图。
图14例示本公开一些实施例的在该半导体元件的形成期间在外围电路区的该开口中形成一下金属插塞以及一介电柱的中间阶段的顶视示意图。图13为沿图14中的剖线I-I’的剖视示意图。
图15例示本公开一些实施例的在该半导体元件的形成期间在单元区的该下金属插塞上形成一开口的中间阶段的剖视示意图。
图16例示本公开一些实施例的在该半导体元件的形成期间在单元区的该下金属插塞上形成一上金属插塞的中间阶段的剖视示意图。
图17例示本公开一些实施例的在该半导体元件的形成期间在外围电路区的该下金属插塞上形成一开口的中间阶段的剖视示意图。
图18例示本公开一些实施例的在一种修改的半导体元件的形成期间部分地去除外围电路区的开口中的一金属材料的中间阶段的剖视示意图。
图19例示本公开一些实施例的在该修改的半导体元件的形成期间用一介电材料填充外围电路区的开口的中间阶段的剖视图。
图20例示本公开一些实施例的在该修改的半导体元件的形成期间在外围电路区的开口中形成一下金属插塞以及一介电柱的中间阶段的剖视示意图。
图21例示本公开一些实施例的包括记忆胞阵列的例示性集成电路的部分示意图。
其中,附图标记说明如下:
10:制备方法
30:记忆胞
31:场效应晶体管(FET)
33:电容器
35:漏极
37:源极
39:栅极
100:半导体元件
101:半导体基底
101T:顶面
103:第一介电层
103T1:顶面
103T2:顶面
105:图案化遮罩
113:阻挡材料
115:金属材料
117:阻挡层
117S:上侧壁
117T:顶面
119:下金属插塞
119T:顶面
121:硅层
123:外硅化物部分
123T:顶面
125:内硅化物部分
125T:顶面
133:图案化遮罩
140:开口
143:金属材料
145:介电材料
147:下金属插塞
147T:顶面
149:介电柱
149T:顶面
151:第二介电层
153:图案化遮罩
160:开口
163:上金属插塞
163S:侧壁
165:图案化遮罩
170:开口
173:上金属插塞
200:修改的半导体元件
243:金属间隙子
245:介电材料
247:下金属插塞
247T:顶面
249:介电柱
249T:顶面
1000:存储器元件
A:单元区
B:外围电路区
BL:位线
S11:步骤
S13:步骤
S15:步骤
S17:步骤
S19:步骤
S21:步骤
S23:步骤
WL:字线
具体实施方式
本公开的以下说明伴随并入且组成说明书的一部分的图式,说明本公开的实施例,然而本公开并不受限于该实施例。此外,以下的实施例可适当整合以下实施例以完成另一实施例。
「一实施例」、「实施例」、「例示实施例」、「其他实施例」、「另一实施例」等是指本公开所描述的实施例可包括特定特征、结构或是特性,然而并非每一实施例必须包括该特定特征、结构或是特性。再者,重复使用「在实施例中」一语并非必须指相同实施例,然而可为相同实施例。
为了使得本公开可被完全理解,以下说明提供详细的步骤与结构。显然,本公开的实施不会限制该技艺中的技术人士已知的特定细节。此外,已知的结构与步骤不再详述,以免不必要地限制本公开。本公开的较佳实施例详述如下。然而,除了详细说明的外,本公开亦可广泛实施于其他实施例中。本公开的范围不限于详细说明的内容,而是由权利要求定义。
应当理解,以下公开内容提供用于实作本发明的不同特征的诸多不同的实施例或实例。以下阐述组件及排列形式的具体实施例或实例以简化本公开内容。当然,该些仅为实例且不旨在进行限制。举例而言,元件的尺寸并非仅限于所公开范围或值,而是可相依于制程条件及/或装置的所期望性质。此外,以下说明中将第一特征形成于第二特征「之上」或第二特征「上」可包括其中第一特征及第二特征被形成为直接接触的实施例,且亦可包括其中第一特征与第二特征之间可形成有附加特征、进而使得所述第一特征与所述第二特征可能不直接接触的实施例。为简洁及清晰起见,可按不同比例任意绘制各种特征。在附图中,为简化起见,可省略一些层/特征。
此外,为易于说明,本文中可能使用例如「之下(beneath)」、「下面(below)」、「下部的(lower)」、「上方(above)」、「上部的(upper)」等空间相对关系用语来阐述图中所示的一个元件或特征与另一(其他)元件或特征的关系。所述空间相对关系用语旨在除图中所绘示的取向外亦囊括元件在使用或操作中的不同取向。所述装置可具有其他取向(旋转90度或处于其他取向)且本文中所用的空间相对关系描述语可同样相应地进行解释。
图1例示本公开一些实施例的一种半导体元件100的剖视示意图。如图1所示,半导体元件100包括半导体基底101,设置在半导体元件100上的第一介电层103,设置在第一介电层103上的图案化遮罩133,以及设置在图案化遮罩133上的第二介电层151。
在一些实施例中,一隔离结构(未示出)设置在半导体基底101中,以及主动区(未示出)是由该隔离结构所定义。每个主动区可以包括源极/漏极区。在一些实施例中,半导体元件100包括单元区A以及邻近单元区A的外围电路区B。单元区A在此也称为图案密集区,以及外围电路区B也称为图案松散区。
在单元区A中,半导体元件100包括阻挡层117以及设置在第一介电层103中的下金属插塞119(也称为第一下金属插塞)。在一些实施例中,阻挡层117以及下金属插塞119贯穿第一介电层103。在一些实施例中,阻挡层117以及下金属插塞119是由第一介电层103突出。具体地,阻挡层117的上部以及下金属插塞119的上部经设置在图案化遮罩133中。此外,在一些实施例中,阻挡层117包围下金属插塞119。具体地,下金属插塞119是由阻挡层117而隔开第一介电层103。
半导体元件100还包括设置在图案化遮罩133中的内硅化物部分125以及外硅化物部分123。在一些实施例中,内硅化物部分125设置在下金属插塞119上,以及外硅化物部分123设置在阻挡层117上。应当理解,依据一些实施例,外硅化物部分123的顶面123T高于内硅化物部分125的顶面125T。在一些实施例中,外硅化物部分123的顶面123T是外硅化物部分123的顶面,内硅化物部分125的顶面125T是内硅化物部分125的顶面。
在单元区A中,半导体元件100还包括设置在第二介电层151中的上金属插塞163(也称为第一上金属插塞)。在一些实施例中,上金属插塞163是直接接触内硅化物部分125以及外硅化物部分123。应当理解,依据一些实施例,上金属插塞163的侧壁163S被外硅化物部分123部分地覆盖。在一些实施例中,上金属插塞163是通过内硅化物部分125以及外硅化物部分123电连接下金属插塞119。
在外围电路区B中,半导体元件100包括设置在第一介电层103以及图案化遮罩133中的下金属插塞147(也称为第二下金属插塞)以及介电柱149。在一些实施例中,介电柱149被下金属插塞147所包围。具体地,介电柱149是通过下金属插塞147而隔开第一介电层103。
半导体元件100还包括设置在外围电路区B中的上金属插塞173(也称为第二上金属插塞)。在一些实施例中,上金属插塞173设置在第二介电层151中。在一些实施例中,上金属插塞173是直接接触下金属插塞147以及介电柱149。此外,依据一些实施例,上金属插塞173电连接到下金属插塞147。
内硅化物部分125以及外硅化物部分123可以构成半导体元件100的单元区A中的一复合接合焊垫。在一些实施例中,半导体元件100是一动态随机存取存储器(DRAM),以及该复合接合焊垫做为DRAM的位线(BL)的接合焊垫或存储节点的接合焊垫。由于复合接合焊垫增加上金属插塞163的接合面积,因此可以降低接触电阻,以及防止并且减少下金属插塞119以及上金属插塞163之间未对准的问题。因此,是可以提高整体元件性能,以及提高半导体元件的良率。
图2例示本公开一些实施例的一种修改的半导体元件200的剖视示意图,其为半导体元件100的替代的实施例。出于一致性与清晰性的原因,在图1以及图2中出现的相似部件将被标记为相同标志。
类似于半导体元件100,修改后的半导体元件200包括单元区A以及外围电路区B。不同的处在于修改后的半导体元件200的外围电路区B中的介电柱249直接接触半导体基底101。换言之,代替形成杯状的金属结构(例如图1的下金属插塞147),在修改的半导体元件200中形成一间隙子状的金属结构(例如下金属插塞247)。
类似于半导体元件100,修改的半导体元件200的内部硅化物部分125以及外部硅化物部分123可以构成单元区A中的复合接合焊垫。在一些实施例中,修改的半导体元件200是一动态随机存取存储器(DRAM),以及该复合接合焊垫做为DRAM的位线(BL)的接合焊垫或存储节点的接合焊垫。
图3例示本公开一些实施例的一种半导体元件(包括半导体元件100以及修改的半导体元件200)的制备方法10的流程示意图,并且制备方法10包括步骤S11,S13,S15,S17,S19,S21,以及S23。图3中的步骤S11到S23结合以下各图详细说明。
图4到图13以及图15到图17例示本公开一些实施例的形成半导体元件100的一中间阶段的剖视示意图,以及图14例示本公开一些实施例的形成半导体元件100的一中间阶段的顶视示意图。如图4所示,提供半导体基底101。半导体基底101可以例如是硅晶圆的半导体晶圆。
此外或另外,半导体基底101可以包括是元素半导体材料,化合物半导体材料,及/或合金半导体材料。元素半导体材料可以例如包括是但不限于晶体硅,多晶硅,非晶硅,锗,及/或金刚石。化合物半导体材料可以例如包括是但不限于碳化硅,砷化镓,磷化镓,磷化铟,砷化铟,及/或锑化铟。合金半导体材料可以例如包括是但不限于锗化硅,磷砷化镓,砷化铟铝,砷化铝镓,砷化铟镓,磷化铟镓及/或磷砷化铟镓。
在一些实施例中,半导体基底101包括外延层。举例来说,半导体基底101具有覆盖块状(bulk)半导体的一外延层。在一些实施例中,半导体基底101是一绝缘体上覆半导体基底,其可以具有一基底、一埋入式氧化物层以及一半导体层,该埋入式氧化物层是位在该基底上,该半导体层是位在该埋入式氧化物层上,而该绝缘体上覆半导体基底是例如一绝缘体上覆硅(SOI)基底、一绝缘体上覆硅锗(SGOI)基底,或绝缘体上覆锗(GOI)基底。绝缘体上覆半导体基底可使用植氧分离(separation by implantation of oxygen,SIMOX)技术,晶圆接合(wafer bonding),及或其他适用的方法进行制造。
仍请参考图4,依据一些实施例,第一介电层103形成在半导体基底101上,以及图案化遮罩105形成在第一介电层103上。对应的步骤是绘示在如图3所示的制备方法10中的步骤S11。在一些实施例中,第一介电层103包含氧化硅,氮化硅,氮氧化硅,或其他适用的介电材料。此外,第一介电层103的制备技术可以是化学气相沉积(CVD)制程,物理气相沉积(PVD)制程,原子层沉积(ALD)制程,旋涂制程,或其他适用的制程。
在一些实施例中,以图案化遮罩105为遮罩蚀刻第一介电层103,以在单元区A中形成贯穿第一介电层103的开口110。换言之,单元区A中的半导体基底101的部分顶面101T被开口110所曝露。开口110可以是一湿蚀刻制程,一干蚀刻制程,或其组合制程所形成。如上所述,隔离结构以及源极/漏极区可以是在半导体基底101中形成。在此情况下,源极/漏极区中的一个可以被开口110所曝露。
接着,如图5例示,依据一些实施例,在开口110内形成阻挡材料113,以及在阻挡材料113上形成金属材料115并且填充开口110的剩余部分。在一些实施例中,阻挡材料113形成以覆盖开口110所曝露的部分半导体基底101的顶面101T,开口110的侧壁,以及图案化遮罩105的顶面(若图案化遮罩105在开口110形成之后去除,阻挡材料113可以形成以覆盖第一介电层103的顶面)。
在一些实施例中,阻挡材料113包含钛材料,例如钛(Ti),或氮化钛(TiN)。然而,可以替代地使用其他材料,例如钽(Ta),氮化钽(TaN),钴钨(CoW),或其组合。此外,阻挡材料113可以是一CVD制程,一PVD制程,一ALD制程,一金属有机化学气相沉积(MOCVD)制程,一溅镀制程,一电镀制程,或其他适用制程所形成。
在一些实施例中,金属材料115包含钨(W),铝(Al),铜(Cu),钛(Ti),钽(Ta),其组合物,或其他适用的金属材料。此外,金属材料115的制作技术可以是CVD制程,PVD制程,ALD制程,MOCVD制程,溅镀制程,电镀制程,或其他适用的制程。在一些实施例中,阻挡材料113以及金属材料115包含不同的材料。
随后,如本公开图6例示的一些实施例,对金属材料115以及阻挡材料113执行一平坦化制程,以在单元区A的开口110(参见图4)中形成下金属插塞119以及阻挡层117。对应的步骤是绘示在如图3所示的制备方法10中的步骤S13。该平坦化制程可以包括是一化学机械平坦化(CMP)制程,其去除图案化遮罩105以及第一介电层103上的阻挡材料113以及金属材料115的多余部分。
在一些实施例中,阻挡层117覆盖下金属插塞119的侧壁以及底面。在一些实施例中,下金属插塞119的顶面119T,阻挡层117的顶面117T及第一介电层103的顶面103T1实质上彼此共面。在本公开的上下文中,词语“实质上”是指优选地至少90%,更优选地95%,甚至更优选地98%,以及最优选地99%。
接着,如图7例示,依据一些实施例,部分去除第一介电层103以曝露阻挡层117的上侧壁117S。对应的步骤是绘示在如图3所示的制备方法10中的步骤S15。在一些实施例中,部分去除第一介电层103以形成图7中降低的顶面103T2。在一些实施例中,阻挡层117的顶面117T以及下金属插塞119的顶面119T高于第一介电层103的顶面103T2。
在一些实施例中,是通过一回蚀制程以部分去除第一介电层103。在一些实施例中,第一介电层103是通过一平坦化制程例如CMP制程而部分地去除。在一些实施例中,在部分去除第一介电层103之后,阻挡层117以及下金属插塞119由第一介电层103突出。在一些实施例中,在部分去除第一介电层103之后,阻挡层117的顶面117T以及下金属插塞119的顶面119T仍然实质上共面。
在部分去除第一介电层103之后,如图8例示,依据一些实施例,硅层121共形地沉积在第一介电层103上,阻挡层117上,以及下金属插塞119上并且与其直接接触。对应的步骤是绘示在如图3所示的制备方法10中的步骤S17。在一些实施例中,第一介电层103的顶面103T2,阻挡层117的上侧壁117S,以及顶面117T及下金属插塞119的顶面119T被硅层121所覆盖。在一些实施例中,硅层121是一CVD制程,一PVD制程,一ALD制程,一旋涂制程,或其他适用的制程所形成。
在沉积硅层121之后,如图9例示,依据一些实施例,执行一自对准硅化物(salicide)制程以在下金属插塞119上形成内硅化物部分125以及在阻挡层117上形成外硅化物部分123。对应的步骤是绘示在如图3所示的制备方法10中的步骤S19。
在本实施例中,自对准硅化物制程的执行是在任何曝露的金属基面上生长硅化物。更详细地,在例示的实施例中,内硅化物部分125以及外硅化物部分123是阻挡层117以及下金属插塞119与硅层121反应,退火,以及蚀刻之后以去除未反应的部分所形成。参考图8以及图9,依据一些实施例,内硅化物部分125生长在下金属插塞119的顶面119T上,以及外硅化物部分123生长在阻挡层117的顶面117T以及上侧壁117S上。
此外,阻挡层117以及下金属插塞119的材料被选择为使得阻挡层117表现出比下金属插塞119更大的硅化率。因此,外硅化物部分123比内硅化物部分125生长得更快。在一些实施例中,在自对准硅化物制程之后,外硅化物部分123的顶面123T大于内硅化物部分125的顶面125T。在一些实施例中,阻挡材料113包含钛材料,外硅化物部分123包含钛的硅化物。
在一些实施例中,内硅化物部分125被外硅化物部分123所包围,以及凹陷130形成在内硅化物部分125上并且被外硅化物部分123所包围。此外,依据一些实施例,外硅化物部分123直接接触第一介电层103的顶面103T2。由于内硅化物部分125以及外硅化物部分123是由自对准硅化物(salicide)制程所形成,因此可以降低相关成本。
接着,如图10例示,依据一些实施例,在第一介电层103上形成图案化遮罩133,并且图案化遮罩133覆盖内硅化物部125以及外硅化物部123。在一些实施例中,以图案化遮罩133为遮罩蚀刻第一介电层103,形成贯穿外围电路区B中的第一介电层103的开口140。换言之,外围电路区B中的半导体基底101的顶面101T的一部分被开口140所曝露。开口140可以是通过一湿蚀刻制程,一干蚀刻制程,或其组合制程所形成。
随后,如图11例示,依据一些实施例,形成衬在开口140内的金属材料143。在一些实施例中,金属材料143形成以覆盖半导体基底101的顶面101T被开口140所曝露的部分,开口140的侧壁以及图案化遮罩133的顶面。在一些实施例中,金属材料143包含钨(W),铝(Al),铜(Cu),钛(Ti),钽(Ta),其组合物,或其他适用的金属材料。此外,金属材料143的制作技术可以是CVD制程,PVD制程,ALD制程,MOCVD制程,溅镀制程,或其他适用制程。
然后,如图12例示,依据一些实施例,在金属材料143上形成介电材料145并且填充开口140的剩余部分。在一些实施例中,介电材料145包含氧化硅,氮化硅,氮氧化硅,或其他适用的介电材料。此外,介电材料145的制作技术可以是CVD制程,PVD制程,ALD制程,旋涂制程,或其他适用的制程。
在形成介电材料145之后,如图13以及图14例示,依据一些实施例,对介电材料145以及金属材料143进行一平坦化制程,以在外围电路区的开口140(参见图10)中形成下金属插塞147以及介电柱149。图13为沿着图14的剖线I-I’的剖视示意图。如图14例示,依据一些实施例,由顶视图看,下金属插塞147以及介电柱149是环形。对应的步骤是绘示在如图3所示的制备方法10中的步骤S21。
在一些实施例中,执行该平坦化制程直到曝露单元区A中的外硅化物部分123。该平坦化制程可以包括是一CMP制程,其去除外硅化物部分123上的介电材料145,金属材料143以及图案化遮罩133的多余部分。在一些实施例中,外部硅化物部分123可以被轻微蚀刻。此情况下,外硅化物部分123的最上顶面仍然高于内硅化物部分125的顶面125T,而且部分图案化遮罩133保留在内硅化物部分125上。
在一些实施例中,在外围电路区B中,下金属插塞147覆盖介电柱149的侧壁以及底面。意即,下金属插塞147是填充有介电柱149的杯状金属结构。在一些实施例中,下金属插塞147的顶面147T以及介电柱149的顶面149T实质上共面。
接着,如图15例示,依据一些实施例,在图案化遮罩133的剩余部分上形成第二介电层151,以及在第二介电层151上形成另一图案化遮罩153。在一些实施例中,以图案化遮罩153为遮罩刻蚀第二介电层151,以在单元区A中形成贯穿第二介电层151的开口160。
在一些实施例中,内部硅化物部分125上的图案化遮罩133的部分被去除,使得内部硅化物部分125的顶面125T被开口160所曝露。此外,在制备开口160的该蚀刻制程期间可以是轻蚀刻外硅化物部分123。开口160可以是一湿蚀刻制程,一干蚀刻制程,或其组合制程所形成。在形成开口160之后,可以去除图案化遮罩153。
随后,如图16例示,依据一些实施例,在单元区A的开口160(见图15)中形成上金属插塞163而且直接接触内硅化物部分125以及外硅化物部分123。在一些实施例中,上金属插塞163包含钨(W),铝(Al),铜(Cu),钛(Ti),钽(Ta),其组合物,或其他适用的金属材料。上金属插塞163的制作技术可以是沉积制程或平坦化制程。该沉积制程包含CVD制程,PVD制程,ALD制程,MOCVD制程,溅镀制程,电镀制程,或其他适用的制程。该平坦化制程包括CMP制程。
在形成上金属插塞163之后,如图17例示,依据一些实施例,在第二介电层151上形成图案化遮罩165。在一些实施例中,以图案化遮罩165为遮罩蚀刻第二介电层151,形成贯穿外围电路区B中的第二介电层151的开口170。在一些实施例中,介电柱149的顶面149T以及下金属插塞147的顶面147T被开口170所曝露。开口170可以是一湿蚀刻制程,一干蚀刻制程,或其组合制程所形成。在形成开口170之后,可以去除图案化遮罩165。
然后,请返回参考图1,依据一些实施例,上金属插塞173在外围电路区B的开口170(参见图17)中形成,并且直接接触介电柱149以及下金属插塞147。形成外围电路区B的上金属插塞173的一些材料以及制程是类似于或相同于形成单元区A的上金属插塞163的材料以及制程,其细节在此不再详述。对应的步骤是绘示在如图3所示的制备方法10中的步骤S23。
在其他一些实施例中,单元区A的上金属插塞163以及外围电路区B的上金属插塞173是同时形成。在上金属插塞163以及173形成之后,得到半导体元件100。
图18到图20例示本公开一些实施例的形成修改的半导体元件200的一中间阶段的剖视示意图。在形成金属材料143之后(即继图11的步骤之后),如图18例示,依据一些实施例,在金属材料143上执行一蚀刻制程以曝露出半导体基底101的部分顶面101T。在一些实施例中,在金属材料143执行一非等向性蚀刻制程以在所有位置垂直去除等量的金属材料143,在开口140的侧壁上留下金属间隙子243(即金属材料143的垂直部分)。在一些实施例中,该蚀刻制程是一干蚀刻制程。
接着,如图19例示,依据一些实施例,在图案化遮罩133以及金属间隙壁243上形成介电材料245,而且以介电材料245填充开口140的剩余部分。形成介电材料245的一些材料以及制程类似于或相同于图12的形成介电材料145的材料以及制程,其细节在此不再详述。
在形成介电材料245之后,如图20例示,依据一些实施例,对介电材料245以及金属间隙子243进行一平坦化制程,以在外围电路区的开口140(参见图18)中形成下金属插塞247以及介电柱249B。在一些实施例中,执行该平坦化制程直到曝露单元区A的外硅化物部分123。该平坦化制程可以包括是一CMP制程,其去除外硅化物部分123上的介电材料245以及金属材料143及图案化遮罩133的多余部分。在该平坦化制程之后,下金属插塞247是一间隙子形状。
在一些实施例中,在外围电路区B中,下金属插塞247覆盖介电柱249的侧壁,以及介电柱249的底面直接接触半导体基底101。在一些实施例中,下金属插塞247的顶面247T以及介电柱249的顶面249T实质上共面。
接着,请返回到图2,在图20例示的结构上形成第二介电层151,以及上金属插塞163以及173形成在第二介电层151中。用于形成修改的半导体元件200的第二介电层151,上金属插塞163及173的一些材料以及制程是类似于或相同于用于形成半导体元件100的第二介电层151,上金属插塞163及173的材料以及制程,其细节在此不再详述。在上金属插塞163以及上金属插塞173形成之后,得到修改的半导体元件200。
图21例示本公开一些实施例的包括记忆胞30阵列的例示性集成电路(例如存储器元件1000)的部分示意图。在一些实施例中,存储设备1000包括一DRAM。在一些实施例中,存储设备1000包括多个记忆胞30,该多个记忆胞30是以网路图案布置而且包括多个行(row)以及列(column)。记忆胞30的数量可以依据系统的要求以及制备的技术而变化。
在一些实施例中,每个记忆胞30包括一存取元件以及一存储元件。该存取设备经配置以提供对该存储设备的存取控制。特别地,依据一些实施例,存取装置是一场效应晶体管(FET)31以及存储装置是一电容器33。在每个记忆胞30中,FET31包括漏极35以及源极37及栅极39。电容器33的一端电连接到FET31的源极37,而电容器33的另一端可电连接到地。此外,在每个记忆胞30中,FET31的栅极39电连接到字线WL,而FET31的漏极35电连接到位线BL。
上述提到的FET31是源极37端电连接电容器33,以及是漏极35端电连接位线BL。然而,在读取以及写入的操作期间,FET31可以是漏极电连接电容器33,以及可以是源极电连接位线BL。意即,FET31的任一端子可以是源极或漏极,取决于施加到FET31源极,漏极以及栅极的电压的控制方式。
由字线WL控制栅极39的电压,可以在场效应晶体管FET31两端产生一电压电位,使得电荷可以从漏极35流到电容器33。因此,存储在电容器33中的电荷可以被解释为记忆胞30中的一个二进制的数值。举例来说,存储在电容器33中的高于一临界电压的正电荷可以被解释为二进制的数值"1"。如果电容器33中的正电荷低该临界电压,则二进制值的数值"0"被称为存储在记忆胞30中。
位线BL经配置以从存储器单元30读取数据以及从存储器单元30写入数据。字线WL经配置以致能FET31来存取记忆胞30的特定行。因此,存储设备1000还包括外围电路区,其可以包括一地址缓冲器以及一列解码器及一行解码器。该列解码器以及该行解码器因应于在读取,写入,以及刷新的操作期间所提供的地址缓冲器的一地址信号而选择性地存取记忆胞30。该地址信号通常由一外部控制器提供,例如一微处理器,或另一种类型的存储器控制器。
请返回参考图1以及图2,在半导体元件100以及半导体元件200的单元区A中形成复合接合焊垫(意即内硅化物部分125以及外硅化物部分123)。单元区域A可以是存储器元件1000中的记忆胞30的区域中的任何一个,而外围电路区域B可以是存储器元件中的地址缓冲器,行解码器,或列解码器的区域中的任何一个。
本公开提供一种半导体元件100以及半导体元件200的实施例。在单元区A中,下金属插塞119以及阻挡层117设置在半导体基底101上,并且下金属插塞119被阻挡层117所包围。此外,内硅化物部分125设置在下金属插塞119上,以及外硅化物部分123设置在阻挡层117上。该内硅化物部分125以及该外硅化物部分123形成一复合接合焊垫,是以增加一上金属插塞163在接合时的接合面积。因此,可以降低接触电阻,以及防止并且减少下金属插塞119与上金属插塞183之间未对准的问题。因此,是可以提高整体元件性能,以及提高半导体元件的良率。此外,由于复合接合焊垫是通过自对准硅化物制程所形成的,因此可以降低相关成本。
本公开的一实施例提供一种半导体元件的制备方法。该制备方法包括:在一半导体基底上形成一第一介电层。该制备方法还包括形成一阻挡层以及贯穿该第一介电层并且设置在一单元区中的一第一下金属插塞。该第一下金属插塞被该阻挡层所包围。该方法还包括在该第一介电层,该阻挡层,以及该第一下金属插塞上沉积一硅层。另外,该制备方法包括在该硅层形成之后执行一自对准硅化物(salicide)制程以在该第一下金属插塞上形成一内硅化物部分以及在该阻挡层上形成一外硅化物部分。该内硅化物部分被该外硅化物部分所包围,并且形成一凹陷在该内硅化物部分上。
本公开的实施例具有一些有利特征。通过在下金属插塞上形成一复合接合焊盘,增加后续接合上金属插塞的接合区域。因此,是可以提高整体元件性能,以及提高半导体元件的良率。此外,由于复合接合焊垫是通过自对准硅化物制程所形成的,因此可以降低相关成本。
虽然已详述本公开及其优点,然而应理解可进行各种变化、取代与替代而不脱离权利要求所定义的本公开的精神与范围。例如,可用不同的方法实施上述的许多制程,并且以其他制程或其组合替代上述的许多制程。
再者,本申请案的范围并不受限于说明书中所述的制程、机械、制造、物质组成物、手段、方法与步骤的特定实施例。该技艺的技术人士可自本公开的揭示内容理解可根据本公开而使用与本文所述的对应实施例具有相同功能或是达到实质上相同结果的现存或是未来发展的制程、机械、制造、物质组成物、手段、方法、或步骤。据此,此等制程、机械、制造、物质组成物、手段、方法、或步骤是包括于本申请案的权利要求内。

Claims (14)

1.一种半导体元件的制备方法,包括:
在一半导体基底上形成一第一介电层;
形成一阻挡层以及贯穿该第一介电层并且设置在一单元区中的一第一下金属插塞,其中该第一下金属插塞被该阻挡层所包围;
在该第一介电层,该阻挡层,以及该第一下金属插塞上沉积一硅层;以及
在形成该硅层之后执行一自对准硅化物制程以在该第一下金属插塞上形成一内硅化物部分以及在该阻挡层上形成一外硅化物部分,其中该内硅化物部分被该外硅化物部分所包围,并且形成一凹陷在该内硅化物部分上。
2.如权利要求1所述的制备方法,其中形成该阻挡层以及该第一下金属插塞包括:
蚀刻该第一介电层以在该单元区中形成一第一开口;
形成衬在该第一开口内的一阻挡材料;
在该阻挡材料上形成一第一金属材料;以及
平坦化该第一金属材料以及该阻挡材料以在该第一开口中形成一第一下金属插塞。
3.如权利要求1所述的制备方法,还包括:
在该硅层沉积之前,部分去除该第一介电层以曝露该阻挡层的一上侧壁。
4.如权利要求3所述的制备方法,其中在该自对准硅化物制程执行之前,该阻挡层的该上侧壁被该硅层所覆盖。
5.如权利要求1所述的制备方法,还包括:
蚀刻该第一介电层,以在一外围电路区中形成一第二开口,其中该第二开口曝露该半导体基底的一顶面;
形成衬于该第二开口以及覆盖该半导体基底的该顶面的一第二金属材料;
在该第二金属材料上形成一介电材料;以及
平坦化该第二金属材料以及该介电材料以形成一第二下金属插塞以及被该第二下金属插塞所包围的一介电柱。
6.如权利要求5所述的制备方法,还包括:
在该第一介电层上形成一第二介电层;
形成贯穿该第二介电层并且接触该内硅化物部分以及该外硅化物部分的一第一上金属插塞;以及
形成贯穿该第二介电层并且接触该第二下金属插塞以及该介电柱的一第二上金属插塞。
7.如权利要求5所述的制备方法,还包括:
在该介电材料形成之前,部分去除该第二金属材料以曝露该半导体基底的部分顶面。
8.如权利要求1所述的制备方法,其中该阻挡层包含钛材料,以及该外硅化物部分包含钛硅化物。
9.如权利要求1所述的制备方法,其中该第一下金属插塞以及该阻挡层是由该第一介电层的一顶面突出,以及该阻挡层的一上侧壁是被该外硅化物部分所覆盖。
10.如权利要求6所述的制备方法,其中该内硅化物部分的顶面是直接接触该第一上金属插塞的一底面。
11.如权利要求6所述的制备方法,其中该外硅化物部分的顶面是直接接触该第一上金属插塞的一侧壁。
12.如权利要求6所述的制备方法,其中该第一上金属插塞的一侧壁被该外硅化物部分所部分覆盖。
13.如权利要求5所述的制备方法,其中该介电柱是通过该第二下金属插塞而隔开该半导体基底。
14.如权利要求1所述的制备方法,其中该介电柱是直接接触该半导体基底。
CN202110832180.XA 2020-09-14 2021-07-22 半导体元件的制备方法 Pending CN114188272A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/020,083 2020-09-14
US17/020,083 US11232984B1 (en) 2020-09-14 2020-09-14 Method for preparing semiconductor device with composite landing pad

Publications (1)

Publication Number Publication Date
CN114188272A true CN114188272A (zh) 2022-03-15

Family

ID=79689794

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110832180.XA Pending CN114188272A (zh) 2020-09-14 2021-07-22 半导体元件的制备方法

Country Status (3)

Country Link
US (1) US11232984B1 (zh)
CN (1) CN114188272A (zh)
TW (1) TWI779670B (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153517A (en) * 1999-03-12 2000-11-28 Taiwan Semiconductor Manufacturing Company Low resistance poly landing pad
US6218271B1 (en) * 1999-10-08 2001-04-17 United Microelectronics Corp. Method of forming a landing pad on the drain and source of a MOS transistor
JP3626058B2 (ja) * 2000-01-25 2005-03-02 Necエレクトロニクス株式会社 半導体装置の製造方法
JP2011222769A (ja) * 2010-04-09 2011-11-04 Renesas Electronics Corp 半導体装置
TWI571914B (zh) * 2013-05-07 2017-02-21 聯華電子股份有限公司 半導體元件及其製作方法

Also Published As

Publication number Publication date
US11232984B1 (en) 2022-01-25
TW202211369A (zh) 2022-03-16
TWI779670B (zh) 2022-10-01

Similar Documents

Publication Publication Date Title
KR20210137123A (ko) 후면 소스 콘택트를 가지는 3차원 메모리 디바이스
CN113540119A (zh) 具有气隙结构的半导体元件结构及其制备方法
US11647632B2 (en) Three-dimensional memory devices with supporting structure for staircase region
US20210375915A1 (en) Three-dimensional memory devices
US11587876B2 (en) Method for preparing semiconductor device with composite landing pad
US11677008B2 (en) Method for preparing semiconductor device with T-shaped buried gate electrode
CN114464594A (zh) 半导体元件结构及其制备方法
CN113972210A (zh) 半导体元件及其制备方法
US11824004B2 (en) Method for fabricating semiconductor device structure with manganese-containing conductive plug
TWI779903B (zh) 具有含錳互連結構的半導體元件結構及其製備方法
US12014986B2 (en) Method for preparing semiconductor device structure with conductive plugs of different aspect ratios and manganese-containing lining layer
TWI779670B (zh) 具有複合接合焊墊之半導體元件的製備方法
CN114078820A (zh) 半导体元件及其制备方法
US20230140534A1 (en) Semiconductor device structure with stacked conductive plugs and method for preparing the same
US20230178473A1 (en) Semiconductor device structure with stacked conductive plugs and method for preparing the same
US20230141895A1 (en) Method for preparing semiconductor device structure with silicide portion between conductive plugs
US20230146713A1 (en) Semiconductor device structure with silicide portion between conductive plugs
US20230260830A1 (en) Semiconductor device with composite conductive features and method for preparing the same
TWI817325B (zh) 在多個導電栓塞之間具有矽化物部的半導體元件結構及其製備方法
US20240055261A1 (en) Semiconductor structure with air gap in pattern-dense region and method of manufacturing the same
CN112786596B (zh) 具有磊晶结构的半导体元件及其形成方法
CN115274544A (zh) 具有多衬垫的半导体元件结构及其制备方法
CN117673084A (zh) 具有埋入式栅极结构的半导体元件及其制备方法
CN115346940A (zh) 半导体元件及其制备方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination