CN113628957B - 图案化方法及半导体结构 - Google Patents

图案化方法及半导体结构 Download PDF

Info

Publication number
CN113628957B
CN113628957B CN202110898137.3A CN202110898137A CN113628957B CN 113628957 B CN113628957 B CN 113628957B CN 202110898137 A CN202110898137 A CN 202110898137A CN 113628957 B CN113628957 B CN 113628957B
Authority
CN
China
Prior art keywords
layer
pattern
forming
mask
pattern transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110898137.3A
Other languages
English (en)
Other versions
CN113628957A (zh
Inventor
曹新满
刘忠明
张家云
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN202110898137.3A priority Critical patent/CN113628957B/zh
Publication of CN113628957A publication Critical patent/CN113628957A/zh
Application granted granted Critical
Publication of CN113628957B publication Critical patent/CN113628957B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明公开一种图案化方法及半导体结构,图案化方法包括:提供衬底;在衬底上形成目标刻蚀层;在目标刻蚀层上形成具有第一图案的图形转移层;在图形转移层内形成第二图案,其中,第二图案的边界与第一图案的边界不重合;以图形转移层为掩膜,刻蚀目标刻蚀层。

Description

图案化方法及半导体结构
技术领域
本发明涉及半导体制造技术领域,具体而言,涉及一种图案化方法及半导体结构。
背景技术
动态随机存取存储器(dynamic random access memory,DRAM)包含由多个存储单元(memory cell)构成的存储区(array area),以及控制电路所在的周边电路区(peripheral area)。随着半导体器件尺寸的不断减小,为了提高器件的集成度,提出了自对准双层图案化工艺(self-aligned-doubled patterning,SADP)。
然而,相关技术中的自对准双层图案化工艺形成的图形的边界处的尺寸过小,容易成为微粒缺陷。
发明内容
本发明实施例提供一种图案化方法及半导体结构,以解决上述存在的图形的边界处的尺寸过小,容易成为微粒缺陷的问题。
本发明实施例的图案化方法,包括:
提供衬底;
在所述衬底上形成目标刻蚀层;
在所述目标刻蚀层上形成具有第一图案的图形转移层;
在所述图形转移层内形成第二图案,其中,所述第二图案的边界与所述第一图案的边界不重合;
以所述图形转移层为掩膜,刻蚀所述目标刻蚀层。
根据本发明的一些实施方式,所述第二图案的边界位于所述第一图案的边界以内。
根据本发明的一些实施方式,所述第二图案的边界与所述第一图案的边界之间的距离小于或等于50nm。
根据本发明的一些实施方式,所述第一图案包括多条间隔设置且沿第一方向延伸的第一条状结构,所述第二图案包括多条间隔设置且沿第二方向延伸的第二条状结构;其中,所述第二方向与所述第一方向不同。
根据本发明的一些实施方式,在所述目标刻蚀层上形成具有第一图案的图形转移层,包括:
在所述图形转移层的顶面形成多个间隔设置且沿第一方向延伸的第一掩膜条;
在所述图形转移层的顶面以及所述第一掩膜条的顶面和侧面形成第一侧墙层;
在第一侧墙层的表面形成第一牺牲层;
刻蚀所述第一掩膜条和所述第一牺牲层之间的所述第一侧墙层,并以刻蚀后的图案为掩膜,刻蚀所述图形转移层。
根据本发明的一些实施方式,在所述图形转移层的顶面形成多个间隔设置且沿第一方向延伸的第一掩膜条,包括:
在所述图形转移层的顶面由下至上依次形成第二牺牲层、第一介质层和第一光刻胶层;
以所述第一光刻胶层为掩膜,刻蚀所述第二牺牲层和所述第一介质层;
其中,所述第一光刻胶层具有第一开口,所述第一开口的位置对应于相邻的所述第一掩膜条之间的间隙。
根据本发明的一些实施方式,所述第一牺牲层和所述第二牺牲层的材料均包括氧化硅、磷硅玻璃或硼磷硅玻璃。
根据本发明的一些实施方式,在所述图形转移层内形成第二图案,包括:
在所述图形转移层上由下至上依次形成第三牺牲层和中间层;
在所述中间层的顶面形成多个间隔设置且沿第二方向延伸的第二掩膜条;
在所述中间层的顶面和所述第二掩膜条的顶面和侧面形成第二侧墙层;
在所述第二侧墙层的表面形成第四牺牲层;
刻蚀所述第二掩膜条和所述第四牺牲层之间的所述第二侧墙层,并以刻蚀后的图案为掩膜依次刻蚀所述中间层、所述第三牺牲层和所述图形转移层。
根据本发明的一些实施方式,在所述中间层的顶面形成多个间隔设置且沿第二方向延伸的第二掩膜条,包括:
在所述中间层的顶面由下至上依次形成第五牺牲层、第二介质层和第二光刻胶层;
以所述第二光刻胶层为掩膜,刻蚀所述第二介质层和所述第五牺牲层;
其中,所述述第二光刻胶层具有第二开口,所述第二开口的位置对应于相邻的所述第二掩膜条之间的间隙。
根据本发明的一些实施方式,所述第三牺牲层、所述第四牺牲层和所述第五牺牲层的材料均包括氧化硅、磷硅玻璃或硼磷硅玻璃。
根据本发明的一些实施方式,在所述目标刻蚀层上形成具有第一图案的图形转移层之前,所述方法还包括:
在所述目标刻蚀层的顶面由下至上依次形成第一材料层和第二材料层;
所述第一材料层材料包括多晶硅,第二材料层的材料包括氮氧化硅。
根据本发明的一些实施方式,所述目标刻蚀层的材料包括钨。
根据本发明的一些实施方式,所述方法还包括:
去除所述目标刻蚀层的图案的边缘区域。
根据本发明的一些实施方式,所述图形转移层的材料包括氧化硅。
本发明实施例的半导体结构,采用上述任一所述的图案化方法制作而成。
上述发明中的一个实施例具有如下优点或有益效果:
本发明实施例的图案化方法,通过采用第一图案的边界和第二图案的边界不重合的技术手段,有效解决了相关技术中存在的图形的边界处的尺寸过小,容易成为微粒缺陷的问题。
附图说明
通过参照附图详细描述其示例实施方式,本发明的上述和其它特征及优点将变得更加明显。
图1示出的是现有技术中的第一掩膜版的俯视结构示意图。
图2示出的是现有技术中的半导体结构形成第一掩膜条的俯视结构示意图。
图3示出的是现有技术中的半导体结构形成第一图案的俯视结构示意图。
图4示出的是现有技术中的第二掩膜版的俯视结构示意图。
图5示出的是现有技术中的半导体结构形成第二掩膜条的俯视结构示意图。
图6示出的是现有技术中的半导体结构形成第二图案的俯视结构示意图。
图7示出的是图6中J处的局部放大图。
图8示出的是本发明实施例的半导体结构形成第一图案和第二图案的俯视结构示意图。
图9示出的是图8中K处的局部放大图。
图10A示出的是本发明实施例的半导体结构的图形转移层去除第一图案的边缘区域的俯视结构示意图。
图10B示出的是本发明实施例的半导体结构在图形转移层去除第一图案的边缘区域基础上,形成第二图案的俯视结构示意图。
图11至图20示出的是本发明实施例的图案化的不同工艺阶段的剖视示意图。
其中,附图标记说明如下:
100、衬底 110、第一材料层
120、第二材料层 200a、第一图案
200b、第二图案 210、目标刻蚀层
220、图形转移层 221、第一条状结构
222、第二条状结构 230、第一掩膜条
231、第二牺牲层 232、第一介质层
233、第一光刻胶层 233a、第一开口
234、第一侧墙层 241、第一牺牲层
242、第三牺牲层 243、中间层
244、第四牺牲层 250、第二掩膜条
251、第五牺牲层 252、第二介质层
253、第二光刻胶层 253a、第二开口
254、第二侧墙层 261、第一掩膜版
262、第二掩膜版 D1、第一方向
D2、第二方向
具体实施方式
现在将参考附图更全面地描述示例实施方式。然而,示例实施方式能够以多种形式实施,且不应被理解为限于在此阐述的实施方式;相反,提供这些实施方式使得本发明将全面和完整,并将示例实施方式的构思全面地传达给本领域的技术人员。图中相同的附图标记表示相同或类似的结构,因而将省略它们的详细描述。
如图1和图2所示,图1示出的是现有技术中的第一掩膜版的俯视结构示意图,图2示出的是现有技术中的半导体结构形成第一掩膜条的俯视结构示意图。以第一掩膜版261为掩膜,刻蚀半导体结构,以在半导体结构上形成具有多个第一掩膜条230的图案。多个第一掩膜条230相互间隔设置,且沿着第一方向D1延伸。由图2可以看出,图案的边缘的形貌具有圆弧形结构。
如图3所示,图3示出的是现有技术中的半导体结构形成第一图案的俯视结构示意图。采用自对准双层图案化工艺(SADP),在形成具有多个第一掩膜条230的图案之后,在半导体结构上形成第一图案200a。
如图4和图5所示,图4示出的是现有技术中的第二掩膜版的俯视结构示意图。图5示出的是现有技术中的半导体结构形成第二掩膜条的俯视结构示意图。以第二掩膜版262为掩膜,继续刻蚀半导体结构,以在半导体结构上形成具有多个第二掩膜条250的图案。多个第二掩膜条250相互间隔设置,且沿着第二方向D2延伸。其中,第一方向D1与第二方向D2不相同。由图5可以看出,图案的边缘的形貌具有圆弧形结构。
如图6所示,图6示出的是现有技术中的半导体结构形成第二图案的俯视结构示意图。采用自对准双层图案化工艺(SADP),在形成具有多个第二掩膜条250的图案之后,在半导体结构上形成第二图案200b。
请继续参阅图6,第一图案200a和第二图案200b共同转移至图形转移层220上,且第一图案200a的边界和第二图案200b的边界重合。
结合图7所示,图7示出的是图6中J处的局部放大图。由于第一图案200a的边界和第二图案200b的边界在图形转移层220内重合,故先形成的第一图案200a会被后形成的第二图案200b切割,导致第一图案200a的条状图案的末端在第二图案200b的切割下,尺寸变得很小,如图7中的A处。这些尺寸较小的微粒,在后续的清洗工艺中,在离心力的作用下容易被甩出,形成微粒缺陷。
如图8和图9所示,图8示出的是本发明实施例的半导体结构形成第一图案和第二图案的俯视结构示意图。图9示出的是图8中K处的局部放大图。
由图8可以看出,形成在图形转移层220上的第一图案200a的边界与第二图案200b的边界并不重合。
值得一提的是,本发明中在图形转移层220上形成第一图案200a和第二图案200b的方法可以采用现有技术中的如图1至图6所示的方式,不同之处在于:本发明的第二掩膜版262的图案区的边界小于第一掩膜版261的图案区的边界。
对比图7和图9可以看出,图9中B处的关键尺寸显著变大,有效解决了相关技术中存在的图形的边界处的尺寸过小,容易成为微粒缺陷。
需要说明的是,第一图案200a的边界与第二图案200b的边界的位置关系可以是:第一图案200a的边界位于第二图案200b的边界以内,或者,第二图案200b的边界位于第一图案200a的边界以内。
在本实施例中,第二图案200b的边界位于第一图案200a的边界以内。进一步地,第二图案200b的边界与第一图案200a的边界之间的距离H小于或等于50nm。
如图10A和图10B所示,10A示出的是本发明实施例的半导体结构的图形转移层去除第一图案的边缘区域的俯视结构示意图。图10B示出的是本发明实施例的半导体结构在图形转移层去除第一图案的边缘区域基础上,形成第二图案的俯视结构示意图。在如图3所示形成第一图案200a之后,本发明实施例的图案化方法还包括:去除第一图案200a的边缘区域;在图形转移层220去除第一图案200a的边缘区域的基础上,在图形转移层220内形成第二图案200b。这样设计的好处在于:图形转移层220的边缘区域被切开,显著降低了短路的风险。
为使本发明的上述目的、特征和优点能够明显易懂,下面结合图11至图20对本发明的具体实施例作详细的说明。
如图11所示,提供衬底100,并在衬底100上形成目标刻蚀层210。
在一实施方式中,虽然图中未示出,本实施例的衬底100中可以包括多个有源区。具体来说,衬底100中可以形成一浅沟槽隔离,用以在衬底100中定义出多个有源区。可选地,浅沟槽隔离可以包括单层或多层的绝缘材料,例如氮化硅、氮氧化硅、氮碳化硅或其他适合的绝缘材料。
在一实施方式中,衬底100可以包括硅基底、外延硅基底、硅锗基底、碳化硅基底或硅覆绝缘(silicon-on-insulator,SOI)基底,但不以此为限。
虽然图中未示出,可以理解的是,在衬底100中可以形成多个字线(word line)结构。在一实施方式中,字线结构可以为埋入式字符线(buried word line),但并不以此为限。各字线结构可以包括一字线介电层、一字符线以及一字符线盖层,但并不以此为限。
在一实施方式中,字线结构可以通过先在衬底100中形成多个沟槽,再于沟槽中依序形成字符线介电层、字符线以及字符线盖层,但并不以此为限。在一些实施例中,也可视需要形成其他型式的字线结构。此外,字符线介电层可包括氧化硅或其他适合的介电材料,字符线可包括铝、钨、铜、钛铝合金或其他适合的导电材料,而字符线盖层可包括氮化硅、氮氧化硅、氮碳化硅或其他适合的绝缘材料。
目标刻蚀层210形成在衬底100上,用以将目标刻蚀层210自身的图案转移至衬底100上。在本实施例中,目标刻蚀层210自身的图案可以通过图11至图19所示的方法获得,后续将详细说明。
在一实施方式中,目标刻蚀层210的材料可以为钨,但不以此为限。
如图11和图12所示,在目标刻蚀层210上由下至上依次形成图形转移层220、第二牺牲层231、第一介质层232和第一光刻胶层233。以第一光刻胶层233为掩膜,刻蚀第二牺牲层231和第一介质层232,以在图形转移层220的顶面形成多个间隔设置且沿第一方向D1延伸的第一掩膜条230。
可以理解的是,第一光刻胶层233具有第一开口233a,第一开口233a的位置对应于相邻的第一掩膜条230之间的间隙。
作为示例,第一光刻胶层233材料为具有负性光刻胶特性的抗反射材料或负性光刻胶,因此,对待形成第一开口233a的区域对应的第一光刻胶层233的区域进行曝光处理,使所述曝光区域的第一光刻胶层233的材料发生交联反应(cross-linked),而未曝光区域的第一光刻胶层233的材料保持不变;对曝光处理后的第一光刻胶层233进行显影处理,发生交联反应的材料不溶于显影液中,而未发生交联反应的材料溶于显影液,从而形成具有第一开口233a的第一光刻胶层233。
作为另一实施例,所述第一光刻胶层233的材料为具有正性光刻胶抗反射材料或正性光刻胶,对待形成第一开口233a的区域以外的第一光刻胶层233区域进行曝光处理,使所述曝光区域的第一光刻胶层233的材料发生降解反应,而未曝光区域的第一光刻胶层233的材料保持不变;对曝光处理后的第一光刻胶层233进行显影处理,发生降解反应的材料溶于显影液中,而未发生降解反应的材料不溶于显影液,从而形成具有第一开口233a的第一光刻胶层233。
在一实施方式中,第二牺牲层231的材料可以包括但不限于氧化硅、磷硅玻璃或硼磷硅玻璃等。
在一实施方式中,第一介质层232的材料可以为氧化硅、氮化硅、氮氧化硅、碳氧化硅等。
在本实施例中,第一介质层232的材料为氮氧化硅。
在一实施方式中,图形转移层220的材料包括氧化硅。
如图13所示,在图形转移层220的顶面以及第一掩膜条230的顶面和侧面形成第一侧墙层234。
在一实施例中,第一侧墙层234的形成工艺可以采用原子层沉积工艺(ALD),这样可精准控制第一侧墙层234的厚度。
在本实施例中,第一侧墙层234的材料为氧化硅。
如图14所示,在第一侧墙层234的表面形成第一牺牲层241。第一牺牲层241至少填满相邻的第一掩膜条230间的沟槽。
在一实施方式中,第一牺牲层241的材料可以包括但不限于氧化硅、磷硅玻璃或硼磷硅玻璃等。第一牺牲层241的材料和第二牺牲层231的材料可以相同,也可以不同。
如图14和图15所示,刻蚀第一掩膜条230和第一牺牲层241之间的第一侧墙层234,并以刻蚀后的图案为掩膜,刻蚀图形转移层220,以形成多个间隔设置的第一条状结构221。各第一条状结构221沿着第一方向D1延伸,并共同形成第一图案200a(如图3)。
如图16所示,在图形转移层220上由下至上依次形成第三牺牲层242和中间层243。第三牺牲层242填满相邻的第一条状结构221之间的沟槽,并覆盖各第一条状结构221的顶面。
在一实施方式中,第三牺牲层242的材料可以包括但不限于氧化硅、磷硅玻璃或硼磷硅玻璃等。第三牺牲层242的材料与上述的第一牺牲层241的材料和第二牺牲层231的材料可以相同,也可以不同。
在一实施方式中,中间层243的材料可以为氧化硅、氮化硅、氮氧化硅、碳氧化硅等。
如图16和图17所示,在中间层243的顶面由下至上依次形成第五牺牲层251、第二介质层252和第二光刻胶层253。以第二光刻胶层253为掩膜,刻蚀第二介质层252和第五牺牲层251,以在中间层243的顶面形成多个间隔设置且沿第二方向D2延伸的第二掩膜条250。
可以理解的是,第二光刻胶层253具有第二开口253a,第二开口253a的位置对应于相邻的第二掩膜条250之间的间隙。
作为示例,第二光刻胶层253材料为具有负性光刻胶特性的抗反射材料或负性光刻胶,因此,对待形成第二开口253a的区域对应的第二光刻胶层253的区域进行曝光处理,使所述曝光区域的第二光刻胶层253的材料发生交联反应(cross-linked),而未曝光区域的第二光刻胶层253的材料保持不变;对曝光处理后的第二光刻胶层253进行显影处理,发生交联反应的材料不溶于显影液中,而未发生交联反应的材料溶于显影液,从而形成具有第二开口253a的第二光刻胶层253。
作为另一实施例,所述第二光刻胶层253的材料为具有正性光刻胶抗反射材料或正性光刻胶,对待形成第二开口253a的区域以外的第二光刻胶层253区域进行曝光处理,使所述曝光区域的第二光刻胶层253的材料发生降解反应,而未曝光区域的第二光刻胶层253的材料保持不变;对曝光处理后的第二光刻胶层253进行显影处理,发生降解反应的材料溶于显影液中,而未发生降解反应的材料不溶于显影液,从而形成具有第二开口253a的第二光刻胶层253。
在一实施方式中,第五牺牲层251的材料可以包括但不限于氧化硅、磷硅玻璃或硼磷硅玻璃等。
在一实施方式中,第二介质层252的材料可以为氧化硅、氮化硅、氮氧化硅、碳氧化硅等。
在本实施例中,第二介质层252的材料为氮氧化硅。
如图17所示,在中间层243的顶面和第二掩膜条250的顶面和侧面形成第二侧墙层254。
在一实施例中,第二侧墙层254的形成工艺可以采用原子层沉积工艺(ALD),这样可精准控制第二侧墙层254的厚度。
在本实施例中,第二侧墙层254的材料为氧化硅。
如图18所示,在第二侧墙层254的表面形成第四牺牲层244。第四牺牲层244至少填满相邻的第二掩膜条250间的沟槽。
在一实施方式中,第四牺牲层244的材料可以包括但不限于氧化硅、磷硅玻璃或硼磷硅玻璃等。
如图19所示,刻蚀第二掩膜条250和第四牺牲层244之间的第二侧墙层254,并以刻蚀后的图案为掩膜依次刻蚀中间层243、第三牺牲层242和图形转移层220,以在图形转移层220内形成多个间隔设置的第二条状结构222。各第二条状结构222沿着第二方向D2延伸,并共同形成第二图案200b(如图8)。
至此,第一图案200a和第二图案200b先后转移至图形转移层220内(如图8)。
请继续参阅图19,在目标刻蚀层210上形成具有第一图案200a的图形转移层220之前,本发明实施例的图案化方法还包括:
在目标刻蚀层210的顶面由下至上依次形成第一材料层110和第二材料层120;第一材料层110材料包括多晶硅,第二材料层120的材料包括氮氧化硅。
第二材料层120的材料包括氮氧化硅,第一材料层110材料包括多晶硅,由于氮氧化硅对多晶硅的刻蚀选择比较高,且多晶硅的硬度较大,故将多晶硅材料作为图形转移层时,其保型度较好,确保了后续将图形转移层220的图案完好准确地转移至目标刻蚀层210。
可选地,第一材料层110的沉积原料可以是硅烷(Silane)或者乙硅烷(disilane),可以同时掺杂有硼,砷,磷或者锗元素的一者或多者。
如图20所示,以图形转移层220为掩膜,依次刻蚀第二材料层120、第一材料层110和目标刻蚀层210。
本发明的另一方面,提供一种半导体结构,采用上述任一实施方式的图案化方法制作而成。
此外,此处所述的例示性半导体结构可包含多种其他装置与结构,比如其他种类的装置如额外晶体管、双极性结晶体管、电阻、电容器、电感、二极管、熔丝、静态随机存取存储器、及/或其他逻辑电路等。
综上所述,本发明实施例的图案化方法及半导体结构的优点和有益效果在于:
本发明实施例的图案化方法,通过采用第一图案200a的边界和第二图案200b的边界不重合的技术手段,有效解决了相关技术中存在的图形的边界处的尺寸过小,容易成为微粒缺陷的问题。
在发明实施例中,术语“第一”、“第二”、“第三”仅用于描述的目的,而不能理解为指示或暗示相对重要性;术语“多个”则指两个或两个以上,除非另有明确的限定。术语“安装”、“相连”、“连接”、“固定”等术语均应做广义理解,例如,“连接”可以是固定连接,也可以是可拆卸连接,或一体地连接;“相连”可以是直接相连,也可以通过中间媒介间接相连。对于本领域的普通技术人员而言,可以根据具体情况理解上述术语在发明实施例中的具体含义。
发明实施例的描述中,需要理解的是,术语“上”、“下”、“左”、“右”、“前”、“后”等指示的方位或位置关系为基于附图所示的方位或位置关系,仅是为了便于描述发明实施例和简化描述,而不是指示或暗示所指的装置或单元必须具有特定的方向、以特定的方位构造和操作,因此,不能理解为对发明实施例的限制。
在本说明书的描述中,术语“一个实施例”、“一些实施例”、“具体实施例”等的描述意指结合该实施例或示例描述的具体特征、结构、材料或特点包含于发明实施例的至少一个实施例或示例中。在本说明书中,对上述术语的示意性表述不一定指的是相同的实施例或实例。而且,描述的具体特征、结构、材料或特点可以在任何的一个或多个实施例或示例中以合适的方式结合。
以上仅为发明实施例的优选实施例而已,并不用于限制发明实施例,对于本领域的技术人员来说,发明实施例可以有各种更改和变化。凡在发明实施例的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在发明实施例的保护范围之内。

Claims (13)

1.一种图案化方法,其特征在于,包括:
提供衬底;
在所述衬底上形成目标刻蚀层;
在所述目标刻蚀层上形成具有第一图案的图形转移层;
在所述图形转移层内形成第二图案,其中,所述第二图案的边界位于所述第一图案的边界以内,且所述第二图案的边界与所述第一图案的边界之间的距离小于或等于50nm;
以所述图形转移层为掩膜,刻蚀所述目标刻蚀层。
2.根据权利要求1所述的图案化方法,其特征在于,所述第一图案包括多条间隔设置且沿第一方向延伸的第一条状结构,所述第二图案包括多条间隔设置且沿第二方向延伸的第二条状结构;其中,所述第二方向与所述第一方向不同。
3.根据权利要求1所述的图案化方法,其特征在于,在所述目标刻蚀层上形成具有第一图案的图形转移层,包括:
在所述图形转移层的顶面形成多个间隔设置且沿第一方向延伸的第一掩膜条;
在所述图形转移层的顶面以及所述第一掩膜条的顶面和侧面形成第一侧墙层;
在第一侧墙层的表面形成第一牺牲层;
刻蚀所述第一掩膜条和所述第一牺牲层之间的所述第一侧墙层,并以刻蚀后的图案为掩膜,刻蚀所述图形转移层。
4.根据权利要求3所述的图案化方法,其特征在于,在所述图形转移层的顶面形成多个间隔设置且沿第一方向延伸的第一掩膜条,包括:
在所述图形转移层的顶面由下至上依次形成第二牺牲层、第一介质层和第一光刻胶层;
以所述第一光刻胶层为掩膜,刻蚀所述第二牺牲层和所述第一介质层;
其中,所述第一光刻胶层具有第一开口,所述第一开口的位置对应于相邻的所述第一掩膜条之间的间隙。
5.根据权利要求4所述的图案化方法,其特征在于,所述第一牺牲层和所述第二牺牲层的材料均包括氧化硅、磷硅玻璃或硼磷硅玻璃。
6.根据权利要求1所述的图案化方法,其特征在于,在所述图形转移层内形成第二图案,包括:
在所述图形转移层上由下至上依次形成第三牺牲层和中间层;
在所述中间层的顶面形成多个间隔设置且沿第二方向延伸的第二掩膜条;
在所述中间层的顶面和所述第二掩膜条的顶面和侧面形成第二侧墙层;
在所述第二侧墙层的表面形成第四牺牲层;
刻蚀所述第二掩膜条和所述第四牺牲层之间的所述第二侧墙层,并以刻蚀后的图案为掩膜依次刻蚀所述中间层、所述第三牺牲层和所述图形转移层。
7.根据权利要求6所述的图案化方法,其特征在于,在所述中间层的顶面形成多个间隔设置且沿第二方向延伸的第二掩膜条,包括:
在所述中间层的顶面由下至上依次形成第五牺牲层、第二介质层和第二光刻胶层;
以所述第二光刻胶层为掩膜,刻蚀所述第二介质层和所述第五牺牲层;
其中,所述述第二光刻胶层具有第二开口,所述第二开口的位置对应于相邻的所述第二掩膜条之间的间隙。
8.根据权利要求7所述的图案化方法,其特征在于,所述第三牺牲层、所述第四牺牲层和所述第五牺牲层的材料均包括氧化硅、磷硅玻璃或硼磷硅玻璃。
9.根据权利要求1所述的图案化方法,其特征在于,在所述目标刻蚀层上形成具有第一图案的图形转移层之前,所述方法还包括:
在所述目标刻蚀层的顶面由下至上依次形成第一材料层和第二材料层;
所述第一材料层材料包括多晶硅,第二材料层的材料包括氮氧化硅。
10.根据权利要求1所述的图案化方法,其特征在于,所述目标刻蚀层的材料包括钨。
11.根据权利要求1所述的图案化方法,其特征在于,所述方法还包括:
去除所述目标刻蚀层的图案的边缘区域。
12.根据权利要求1所述的图案化方法,其特征在于,所述图形转移层的材料包括氧化硅。
13.一种半导体结构,其特征在于,采用如权利要求1至12任一项所述的图案化方法制作而成。
CN202110898137.3A 2021-08-05 2021-08-05 图案化方法及半导体结构 Active CN113628957B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110898137.3A CN113628957B (zh) 2021-08-05 2021-08-05 图案化方法及半导体结构

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110898137.3A CN113628957B (zh) 2021-08-05 2021-08-05 图案化方法及半导体结构

Publications (2)

Publication Number Publication Date
CN113628957A CN113628957A (zh) 2021-11-09
CN113628957B true CN113628957B (zh) 2023-10-10

Family

ID=78383022

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110898137.3A Active CN113628957B (zh) 2021-08-05 2021-08-05 图案化方法及半导体结构

Country Status (1)

Country Link
CN (1) CN113628957B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101025571A (zh) * 2006-02-13 2007-08-29 Asml荷兰有限公司 器件制造方法和计算机程序产品
KR20090032889A (ko) * 2007-09-28 2009-04-01 주식회사 하이닉스반도체 오버레이 버니어 및 그 형성 방법
KR20170030293A (ko) * 2015-09-09 2017-03-17 에스케이하이닉스 주식회사 미세 패턴 형성 방법
CN112908837A (zh) * 2019-11-19 2021-06-04 长鑫存储技术有限公司 半导体器件及半导体器件的制备方法
CN113066715A (zh) * 2021-03-19 2021-07-02 长鑫存储技术有限公司 光罩组件、图形化掩膜及其形成方法、有源区的形成方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101025571A (zh) * 2006-02-13 2007-08-29 Asml荷兰有限公司 器件制造方法和计算机程序产品
KR20090032889A (ko) * 2007-09-28 2009-04-01 주식회사 하이닉스반도체 오버레이 버니어 및 그 형성 방법
KR20170030293A (ko) * 2015-09-09 2017-03-17 에스케이하이닉스 주식회사 미세 패턴 형성 방법
CN112908837A (zh) * 2019-11-19 2021-06-04 长鑫存储技术有限公司 半导体器件及半导体器件的制备方法
CN113066715A (zh) * 2021-03-19 2021-07-02 长鑫存储技术有限公司 光罩组件、图形化掩膜及其形成方法、有源区的形成方法

Also Published As

Publication number Publication date
CN113628957A (zh) 2021-11-09

Similar Documents

Publication Publication Date Title
KR101865236B1 (ko) 메모리 어레이 내에 동일 평면상의 디지트 라인 콘택 및 스토리지 노드 콘택을 갖는 반도체 메모리 디바이스 및 그 제조 방법
US10714343B1 (en) Semiconductor structure and method for forming same
US11769727B2 (en) Semiconductor memory device
US20150214113A1 (en) Methods for fabricating finfet integrated circuits with simultaneous formation of local contact openings
CN110957320B (zh) 半导体结构、存储器结构及其制备方法
US10763169B2 (en) Contact structure and associated method for flash memory
US11276608B2 (en) Semiconductor structure and forming method thereof
CN100576505C (zh) 制造半导体器件的方法
US9837272B2 (en) Methods of manufacturing semiconductor devices
US7666800B2 (en) Feature patterning methods
US11769672B2 (en) Semiconductor structure and forming method thereof
CN113628957B (zh) 图案化方法及半导体结构
CN111668093B (zh) 半导体器件及其形成方法
KR102327667B1 (ko) 반도체 소자의 제조 방법
TW201906074A (zh) 被動裝置結構及其製造方法
CN111640665B (zh) 半导体器件及其形成方法
US11810786B2 (en) Method for fabricating semiconductor device
US20240057321A1 (en) Semiconductor devices
US20230232612A1 (en) Semiconductor Devices
WO2024092947A1 (zh) 半导体结构及其形成方法
US20230146151A1 (en) Semiconductor devices
US10347526B1 (en) Semiconductor structure and method for forming the same
CN118076086A (zh) 半导体结构及其制造方法
CN114256152A (zh) 一种半导体器件的制作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant