CN113327925A - 半导体结构、半导体装置、和制造半导体装置的方法 - Google Patents

半导体结构、半导体装置、和制造半导体装置的方法 Download PDF

Info

Publication number
CN113327925A
CN113327925A CN202110202805.4A CN202110202805A CN113327925A CN 113327925 A CN113327925 A CN 113327925A CN 202110202805 A CN202110202805 A CN 202110202805A CN 113327925 A CN113327925 A CN 113327925A
Authority
CN
China
Prior art keywords
work function
layer
stack
field effect
fin structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110202805.4A
Other languages
English (en)
Inventor
萨万特·钱德拉谢卡尔·普拉卡斯
蔡家铭
范彧达
余典卫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113327925A publication Critical patent/CN113327925A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Nanotechnology (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本揭示内容描述了一种半导体结构、半导体装置、和制造半导体装置的方法,半导体装置其具有金属边界沟槽隔离件,金属边界沟槽隔离件带有作为金属扩散阻障的导电的中间结构。半导体结构包括在基板上的第一鳍片结构和第二鳍片结构、介于第一鳍片结构和第二鳍片结构之间的绝缘层、在绝缘层与第一和第二鳍片结构上的栅极介电层,以及在栅极介电层上的第一功函数堆叠和第二功函数堆叠。第一功函数堆叠在第一鳍片结构和绝缘层的第一部分上方,并且第二功函数堆叠在第二鳍片结构和绝缘层的邻近于第一部分的第二部分上方。半导体结构还包括在栅极介电层上和介于第一和第二功函数堆叠的导电的中间结构。

Description

半导体结构、半导体装置、和制造半导体装置的方法
技术领域
本揭示内容是关于具有沟槽隔离件的半导体装置。
背景技术
随着在半导体技术上的进展,对于更高的储存容量、更快的处理系统、更高的效能、和更低的成本的需求不断在增长。为了满足这些需求,半导体产业持续将半导体装置的尺寸缩小化,例如金属氧化物半导体场效晶体管(MOSFETs),包括平面型金属氧化物半导体场效晶体管和鳍式场效晶体管(finFETs)。这样的缩小化引入了挑战,以提高半导体装置的效能。
发明内容
本揭示内容的一些实施方式提供了一种半导体结构,包含:第一鳍片结构和第二鳍片结构、绝缘层、栅极介电层、第一功函数堆叠、第二功函数堆叠、以及导电的中间结构。第一鳍片结构和第二鳍片结构在一基板上。绝缘层在介于第一鳍片结构和第二鳍片结构之间。栅极介电层在绝缘层上和第一鳍片结构和第二鳍片结构上。第一功函数堆叠在栅极介电层上,其中第一功函数堆叠在第一鳍片结构上方和绝缘层的第一部分上方。第二功函数堆叠在栅极介电层上,其中第二功函数堆叠在第二鳍片结构上方和绝缘层的邻近于第一部分的第二部分的上方。导电的中间结构在栅极介电层上和介于第一功函数堆叠和第二功函数堆叠之间。
本揭示内容的另一些实施方式提供了一种半导体装置,包含:第一晶体管、第二晶体管、绝缘层、栅极介电层、以及阻障结构。第一晶体管包含第一功函数堆叠。第二晶体管包含第二功函数堆叠。绝缘层介在第一晶体管和第二晶体管之间。栅极介电层在介于绝缘层和第一功函数堆叠之间并且在介于绝缘层和第二功函数堆叠之间。阻障结构其在栅极介电层上并且在介于第一功函数堆叠和第二功函数堆叠之间。
本揭示内容的又另一些实施方式提供了一种制造半导体装置的方法,包含:形成栅极介电层其在第一鳍片结构、第二鳍片结构、和介于第一和第二鳍片结构之间的绝缘层上;在栅极介电层上和分别地在第一鳍片结构和第二鳍片结构上方形成第一功函数堆叠和第二功函数堆叠;在介于第一功函数堆叠和第二功函数堆叠之间形成沟槽;以及在沟槽中形成导电的中间结构。
附图说明
本揭示内容的各方面,可由以下的详细描述并与所附附图一起阅读,得到最佳的理解。
图1A、图1B、和图1C绘示了根据一些实施方式的局部制造的半导体装置的多个等角视图和一截面视图;
图2是根据一些实施方式的用于制造半导体装置的方法流程图,半导体装置具有金属边界沟槽隔离件,金属边界沟槽隔离件带有导电的中间结构;
图3A、图4、图5A、图6、图7A、和图7B为根据一些实施方式绘示了半导体装置在其制造制程的各个阶段时的各个截面视图,半导体装置具有金属边界沟槽隔离件,金属边界沟槽隔离件带有导电的中间结构;
图3B、图3C、图5B、和图5C为根据一些实施方式绘示了半导体装置在其制造制程的各个阶段时的各个俯视图,半导体装置具有金属边界沟槽隔离件,金属边界沟槽隔离件带有导电的中间结构;
图8A至图8H为根据一些实施方式绘示了半导体装置的截面视图,半导体装置具有金属边界沟槽隔离件,金属边界沟槽隔离件带有导电的中间结构;
图9A至图9D为根据一些实施方式绘示了在半导体装置中的导电的中间结构的布局配置,半导体装置具有金属边界沟槽隔离件,金属边界沟槽隔离件带有导电的中间结构;
图10为根据一些实施方式绘示了阈值电压偏移与金属边界到通道距离(metalboundary to channel distance,MBD)之间的关系。
现在将参考所附图示来描述多个说明性实施方式。在附图中,相似的参考标号通常表示相同、功能上类似、和/或结构上类似的元件。
【符号说明】
100:半导体装置
105A:场效晶体管
105B:场效晶体管
110:鳍片结构
110A:鳍片结构
110B:鳍片结构
115:鳍片顶部
115A:鳍片顶部
115B:鳍片顶部
120:鳍片基部
120A:鳍片基部
120B:鳍片基部
125:基板
130:衬里
135:绝缘层
140:鳍片结构
145:蚀刻终止层
150:隔离层
155:栅极堆叠开口
160:栅极间隔物
165:中线
170:金属边界
170d:金属边界至通道距离(MBD)
175:区域
200:方法
210:操作
220:操作
230:操作
240:操作
301A:功函数堆叠
301B:功函数堆叠
303:栅极介电层
303r:凹陷
311a:p型功函数层(无铝功函数层)
311b:p型功函数层(无铝功函数层)
311c:屏蔽层
311ct:厚度
312:箭头
313:n型功函数层(铝基的功函数层)
417:硬遮罩层
470:开口
570:沟槽
570L:长度
570w:宽度
619:覆盖层
619t:厚度
621:胶层
621t:厚度
723:金属填充层
729A:栅极堆叠
729B:栅极堆叠
731A:栅极结构
731B:栅极结构
770:导电的中间结构
770W:宽度
900A:半导体装置
900B:半导体装置
900C:半导体装置
910A:鳍片结构
910B:鳍片结构
931:栅极结构
970:金属边界
970A:导电的中间结构
970B:导电的中间结构
970C:导电的中间结构
970d:金属边界至通道距离(MBD)
970L:长度
970W:宽度
C-C:线
NEFT:N型场效晶体管
PEFT:P型场效晶体管
具体实施方式
之后的揭示内容提供了许多不同的实施方式或实施例,以实现所提供的主题的不同的特征。以下描述组件和配置的具体实施例,以简化本揭示内容。当然,这些仅是实施例,并不意图限制。例如,在随后的描述中,第一特征形成在第二特征上方,可能包括其中第一和第二特征形成直接接触的实施方式,并且也可能包括在介于第一和第二特征之间可能形成附加的特征,因此第一和第二特征可能不是直接接触的实施方式。如本文中所使用的,在第二特征上形成第一特征意指第一特征与第二特征以直接接触而形成。此外,本揭示内容可能在各个实施例中重复参考标号和/或字母。这样的重复,并不是意指所讨论的各个实施方式之间和/或配置之间的关系。
此外,为了便于描述一个元件或特征与另一个元件或特征之间,如附图中所绘示的关系,在此可能使用空间上的相对用语,诸如“之下”、“下方”、“低于”、“之上”、“高于”、和类似用语。除了附图中所绘示的方向以外,空间上的相对用语旨在涵盖装置在使用中或操作中的不同方向。设备可能有其他方向(旋转90度或其他方向),并且此处所使用的空间上相对用语也可能相应地进行解释。
注意的是,在说明书中对“一个实施方式”、“一实施方式”、“一示例性实施方式”、“示例性”等的引用,指的是所描述的实施方式可能包括特定的特征、结构、或特性,但是每个实施方式可能不一定包括所述的特定的特征、结构、或特性。而且,这样的短语不一定指代相同的实施方式。此外,当结合一实施方式来描述特定的特征、结构、或特性时,无论是否明确地描述,结合在其他的实施方式来实现这样的特征、结构、或特性在本领域技术人员的知识范围之内。
理解的是,本文的措辞或术语是出于描述而非限制的目的,使得本说明书的术语或措辞将由相关领域的技术人员根据本文的教导进行解释。
如本文中所使用的,用语“高k(high-k)”指的是高介电常数。在半导体装置结构和制造制程的领域中,高介电常数是指大于SiO2的介电常数(例如,大于约3.9)。
如本文中所使用的,用语“p型”定义为被以p型掺杂剂(例如硼)掺杂的结构、层、和/或区域。
如本文中所使用的,用语“n型”定义为被以n型掺杂剂(例如磷)掺杂的结构、层、和/或区域。
在一些实施方式中,用语“大约”和“基本上”可以表示一数值的一给定的数量其在此数值的5%之内变化(例如,此数值的±1%、±2%、±3%、±4%、±5%)。这些数值仅是实施例并不旨在进行限制。用语“大约”和“基本上”可以指的是根据本文的教示可以由相关领域的技术人员解释的数值的百分比。
在场效晶体管(FET)的栅极结构或栅极堆叠之内的多个层部分地控制晶体管的阈值电压(Vt)。更具体地,晶体管的Vt值取决于在它的栅极堆叠中所包括的多个层的总厚度和/或材料组成分。因此,经由控制在每个场效晶体管中的这些层的厚度(或层的数目)和/或材料组成分,可以制造具有不同的Vt的场效晶体管。例如,具有低Vt的场效晶体管(例如,在介于大约50mV和大约160mV之间)的场效晶体管可以用于在一晶片之内的“低”或“超低”功率应用,并且具有高Vt的场效晶体管(例如,大于约200mV)可以用于在此晶片之内的高功率应用。此外,n型场效晶体管(也称为“NFET”)和p型场效晶体管(也称为“PFET”)可以被制造为带有适合于每种类型的场效晶体管的不同的Vt。在场效晶体管中,在一些实施方式中,控制(例如,降低)Vt的方法是控制在场效晶体管的多个功函数堆叠层中的铝浓度。在一些实施方式中,控制在场效晶体管中的Vt的一种方法是对于栅极堆叠的场效晶体管的多个功函数堆叠层使用不同的组成分和厚度。可以经由各个邻近的N型场效晶体管和P型场效晶体管来形成不同的互补式金属氧化物半导体(CMMOS)装置(例如,反相器、或非(NOR)逻辑装置、与非(NAND)逻辑装置、环形振荡装置、和静态随机存取记忆体装置)。
随着对于半导体装置的较低的功耗、高效能、和小面积的需求不断在增长,具有不同的Vt的多个场效晶体管可能面临挑战。例如,由于装置持续缩小化,具有不同的Vt的多个场效晶体管之间的距离减小了。在一些半导体装置中,具有不同的Vt的两个或多个场效晶体管可以具有多个连接的栅极,并且这些场效晶体管的这些栅极堆叠在金属边界处彼此邻接。在一些实施方式中,金属边界可以是一边界在此处一个场效晶体管装置的一个金属堆叠与邻近的场效晶体管装置的另一个金属堆叠接壤。例如,在一反相器中N型场效晶体管的栅极和P型场效晶体管的栅极连接,并且N型场效晶体管的栅极堆叠和P型场效晶体管的栅极堆叠在N-P金属边界处彼此邻接。由于金属(例如铝)从邻近的场效晶体管的栅极堆叠层穿过金属边界的扩散,一个场效晶体管的Vt可以偏移,这称为“金属边界效应”(metalboundary effect,MBE)。结果,在一个场效晶体管装置的栅极堆叠层中的金属(例如铝)会随着金属的扩散而减少,并且在邻近的具有不同的Vt的场效晶体管装置的栅极堆叠层中的金属(例如铝)会随着金属的扩散而增加。在所述的一个场效晶体管装置中金属(例如铝)的减少可以增加所述的一个场效晶体管装置的有效功函数,这可以增加N型场效晶体管的Vt的绝对值和减少P型场效晶体管的Vt的绝对值。类似地,在此邻近的场效晶体管装置中金属(例如铝)的增加会降低此邻近的场效晶体管装置的有效功函数,这会减少N型场效晶体管的Vt的绝对值和增加P型场效晶体管的Vt的绝对值。随着介于邻近的多个场效晶体管之间的距离减小,由于MBE的效应而引起的Vt偏移会加剧并进一步地降低装置效能。
在本揭示内容中的各个实施方式提供了用于形成具有金属边界沟槽隔离件的半导体装置,金属边界沟槽隔离件带有充当金属扩散阻障层的导电的中间结构。在一些实施方式中,导电的中间结构可以形成在金属边界处的沟槽中。导电的中间结构可以在金属边界的每一侧处在介于多个功函数堆叠之间。导电的中间结构可以在金属边界的每一侧处电性连接这些功函数堆叠。在一些实施方式中,导电的中间结构可以是导电的阻障结构其阻挡金属(例如,铝、钛等)穿过金属边界扩散。在一些实施方式中,导电的中间结构可以是导电的阻障结构其阻挡穿过金属边界的污染物(例如,碳、氯、氟、和氮)扩散。导电的中间结构可以包括覆盖层、功函数金属层、胶层、和金属填充层中的至少一者。在一些实施方式中,导电的中间结构可以形成在金属边界处的栅极介电层上。在一些实施方式中,导电的中间结构可以包括硅(Si)、硅钛(SiTi)、钛(Ti)、钛氮化物(TiN)、钛碳氮化物(TiCN)、钛硅氮化物(TiSiN)、硅钽(SiTa)、钽(Ta)、钽氮化物(TaN)、钽碳氮化物(TaCN)、钨氮化物(WNx)、钨碳氮化物(WCN)、钌(Ru)、钴(Co)、和钨(W)中的至少一者。
图1A和图1B绘示了根据一些实施方式在牺牲栅极堆叠的移除之后的局部制造的半导体装置100的等角视图。在一些实施方式中,图1B示出了在绕Z轴顺时针旋转大约45°之后的图1A的局部制造的半导体装置100。换言之,图1B是在图1A中所示的制造的半导体装置的另一个视图。图1C绘示了根据一些实施方式的局部制造的半导体装置100的沿着线C-C的截面视图。
如在图1A至图1C中所示,局部制造的半导体装置100包括在基板125上形成的场效晶体管105A和场效晶体管105B。在一些实施方式中,对于不同的Vt,制造场效晶体管105A和105B可以利用不同的栅极功函数堆叠。在一些实施方式中,场效晶体管105A和105B可以是平面型场效晶体管、鳍式场效晶体管、栅极全环场效晶体管(GAA finFETs)、或其他合适的场效晶体管装置。在一些实施方式中,场效晶体管105A和105B可以都是p型场效晶体管(PFETs)、都是n型场效晶体管(NFETs)、或是每个导电性类型场效晶体管中的其中一者其具有不同的Vt。在一些实施方式中,场效晶体管105A可以是p型场效晶体管(也称为“P型场效晶体管105A”)、场效晶体管105B可以是n型场效晶体管(也称为“N型场效晶体管105B”,并且半导体装置100可以是反相器逻辑装置的部分。虽然图1A至图1C显示两个场效晶体管,半导体装置100可以具有任意数目的场效晶体管。并且,虽然图1A至图1C示出了一个栅极堆叠开口155,半导体装置100可以具有附加的栅极堆叠开口其类似于和平行于栅极堆叠开口155。具有相同标注的场效晶体管105A和105B的多个元件的讨论彼此适用,除非另有说明。
如在图1A至图1C中所示,场效晶体管105A和场效晶体管105B可以形成在基板125上。在一些实施方式中,基板125可以包括半导体材料,例如晶体硅。在一些实施方式中,基板125可以包括(i)元素半导体,例如锗(Ge);(ii)化合物半导体包括硅碳化物(SiC)、硅砷化物(SiAs)、砷化镓(GaAs)、磷化镓(GaP)、磷化铟(InP)、砷化铟(InAs)、锑化铟(InSb)、和/或III-V族半导体材料;(iii)合金半导体包括硅锗(SiGe)、硅锗碳化物(SiGeC)、锗锡(GeSn)、硅锗锡(SiGeSn)、磷化砷化镓(GaAsP)、磷化铟镓(GaInP)、砷化镓铟(GaInAs)、磷化铟镓砷(GaInAsP)、砷化铝铟(AlInAs)、和/或砷化铝镓(AlGaAs);(iv)绝缘体上硅(SOI)结构;(v)绝缘体上硅锗(SiGe)结构(SiGeOI);(vi)绝缘体上锗(GeOI)结构;或(vii)其组合。替代地,基板可能由非导电性材料制成,例如玻璃和蓝宝石晶圆。此外,可以根据设计要求(例如,p型基板或n型基板)来掺杂基板125。在一些实施方式中,掺杂基板125可以利用p型掺杂剂(例如,硼、铟、铝、或镓)或n型掺杂剂(例如,磷或砷)。为了示例目的,将在晶体硅(Si)的背景下描述基板125。基于本文的揭示内容,可以使用如上所述的材料的其他材料。这些材料在本揭示内容的精神和范围之内。
参看图1A至图1C,半导体装置100可以包括附加的结构元件,诸如鳍片结构110、衬里130、绝缘层135、源极/漏极(S/D)磊晶鳍片结构140、蚀刻终止层145、隔离层150、形成在隔离层150的栅极堆叠开口155、和形成在栅极堆叠开口155中的隔离层150的侧壁表面上的栅极间隔物160。
鳍片结构110可以包括鳍片顶部115和鳍片基部120,如在图1A至图1C中所示。在一些实施方式中,鳍片顶部115可以是一单独的鳍片结构。在一些实施方式中,鳍片顶部115可以包括多个半导体层的一堆叠(例如,用于栅极全环鳍式场效晶体管的纳米片、纳米线、或纳米叉片的堆叠)。在一些实施方式中,鳍片顶部115可以包括与鳍片基部120类似或不同的半导体材料。在一些实施方式中,鳍片顶部115和鳍片基部120可以包括与基板125相同的半导体材料,例如晶体Si。
形成鳍片结构110可能经由图案化,利用任何合适的方法。例如,图案化鳍片结构110可能使用一或多个微影制程,包括双重图案化或多重图案化制程。双重图案化或多重图案化制程可以结合微影制程和自对准制程,允许建构图案其具有例如,比使用一单独、直接的微影制程而可得到的间距更小的间距。例如,在一些实施方式中,在基板上方形成牺牲层并且使用微影制程将牺牲层图案化。使用自对准制程,间隔物沿着图案化的牺牲层的侧部而形成。然后移除牺牲层,并且可能使用剩余的间隔物以图案化鳍片结构110。
在一些实施方式中,绝缘层135可以是隔离结构,例如浅沟槽隔离件(STI),其提供了介于场效晶体管105A和场效晶体管105B彼此之间的电性隔离,并且电性隔离在基板125上具有不同的鳍片结构的相邻的多个场效晶体管(未示出)和/或集成或沉积在基板125上的相邻的主动和被动元件(未示出)。在一些实施方式中,绝缘层可以是用作电性绝缘体的一个层(例如,介电层)。在一些实施方式中,绝缘层135可以包括硅氧化物(SiO2)、硅氮化物(Si3N4)、硅氧氮化物(SiON)、氟掺杂的硅酸盐玻璃(FSG)、磷掺杂的硅酸盐玻璃(PSG)、低介电常数介电材料(例如,具有小于约3.9的介电常数值)、和/或具有适当的填充特性的其他合适的介电材料。在一些实施方式中,衬里130是氮化物层,例如硅氮化物。
参看图1A至图1C,源极/漏极磊晶鳍片结构140可以设置在鳍片基部120(例如120A和120B)上并且邻接栅极间隔物160,在隔离层150之内沿着X轴延伸。在一些实施方式中,源极/漏极磊晶鳍片结构140可以具有任何几何形状,例如多边形、椭圆形、和圆形。源极/漏极磊晶鳍片结构140可以包括磊晶成长的半导体材料。在一些实施方式中,磊晶成长的半导体材料包括与基板125相同的材料。在一些实施方式中,磊晶成长的半导体材料包括与基板125不同的材料。在一些实施方式中,用于每个源极/漏极磊晶的鳍片结构140的磊晶成长的半导体材料可以彼此相同或不同。磊晶成长的半导体材料可以包括:(i)半导体材料,例如锗和硅;(ii)化合物半导体材料,例如砷化镓和砷化铝镓;或(iii)半导体合金,例如硅锗和磷化砷化镓。
在一些实施方式中,源极/漏极磊晶的鳍片结构140可以是用于场效晶体管105A的p型(也称为“p型源极/漏极磊晶鳍片结构140A”),并且源极/漏极磊晶鳍片结构140可以是用于场效晶体管105B的n型(也称为“n型源极/漏极磊晶鳍片结构140B”)。在一些实施方式中,p型源极/漏极磊晶鳍片结构140A可以包括SiGe并且可以在磊晶成长制程期间使用p型掺杂剂(例如,硼、铟、和镓)原位(in-situ)掺杂。在一些实施方式中,p型源极/漏极磊晶鳍片结构140A可以具有多个子区域,多个子区域可以包括SiGe,并且可以基于例如掺杂的浓度、磊晶成长制程条件、和/或Ge相对于Si的相对浓度而彼此不同。在一些实施方式中,n型源极/漏极磊晶鳍片结构140B可以包括Si并且可以在磊晶成长制程期间使用n型掺杂剂(例如,磷和砷)原位掺杂。在一些实施方式中,n型源极/漏极磊晶的鳍片结构140B可以具有多个n型磊晶鳍片子区域,多个磊晶鳍片子区域可以根据例如掺杂的浓度和/或磊晶成长制条件而彼此不同。
参看图1A至图1C,鳍片结构110A和110B可以是用于各别的场效晶体管105A和场效晶体管105B的载流结构。场效晶体管105A和场效晶体管105B的通道区域可以形成在栅极堆叠开口155中它们各自的鳍片顶部115A和115B的部分中。源极/漏极磊晶鳍片结构140A和140B可以用作各别的场效晶体管105A和场效晶体管105B的源极/漏极区域。
参看图1A至图1C,蚀刻终止层145可以在绝缘层135、源极/漏极磊晶的鳍片结构140、和栅极间隔物160上方延伸。在一些实施方式中,在源极/漏极磊晶的鳍片结构140上形成源极/漏极接触件开口期间,蚀刻终止层145可以用作在随后的蚀刻制程中终止蚀刻的层。在一些实施方式中,蚀刻终止层145可以具有范围从大约3nm至大约5nm的厚度。在一些实施方式中,沉积蚀刻终止层145可以经由保形的沉积制程,诸如原子层沉积(ALD)、电浆辅助原子层沉积(PEALD)、化学气相沉积(CVD)、电浆辅助化学气相沉积(PECVD)、和任何其他合适的沉积方法。
隔离层150可以围绕源极/漏极磊晶的鳍片结构140,并且形成在栅极堆叠开口155的形成之前。在牺牲栅极堆叠(未示出)的移除之后,可以在隔离层150中形成栅极堆叠开口155,如在图1A和图1B中所示。在一些实施方式中,隔离层150可以是层间介电质(ILD),其包括具有或不具有碳和/或氮的基于硅氧化物的介电材料。在一些实施方式中,沉积隔离层150可以经由化学气相沉积、物理气相沉积(PVD)、或任何其他合适的沉积方法。
栅极间隔物160可以是包括相同或不同材料的一或多个层的堆叠。在一些实施方式中,栅极间隔物160可以包括介电材料,诸如硅氧氮化物(SiON)、硅碳氮化物(SiCN)、硅氧碳化物(SiOC)、硅氮化物、或其组合。在一些实施方式中,栅极间隔物160可以具有范围从大约2nm至大约5nm的厚度。根据一些实施方式,沉积栅极间隔物160可以在牺牲栅极堆叠的侧壁表面上,稍后在栅极替换制程期间移除牺牲栅极堆叠以形成栅极堆叠开口155。在图1A至图1C中,栅极间隔物160功能作为结构元件,用于在后续的制程中在栅极堆叠开口155中将要形成的金属栅极堆叠。
参照图1C,半导体装置100可以还包括介于场效晶体管105A和105B之间的金属边界170。金属边界170可以是一边界,在此处场效晶体管105A的栅极金属堆叠接壤场效晶体管105B的栅极金属堆叠,例如,介于P型场效晶体管105A和N型场效晶体管105B之间的N-P金属边界。在一些实施方式中,金属边界170可以在介于鳍片结构110A和110B之间的中间处。在一些实施方式中,介于金属边界170和邻近的通道(例如鳍片结构110A)之间,沿者Y轴,金属边界至通道(中线165)距离(MBD)170d可以在从大约35nm至大约25μm的范围。在一些实施方式中,邻近的通道可以是平面型场效晶体管、鳍式场效晶体管、纳米线场效晶体管、或纳米片场效晶体管的通道。在图1C中所示的区域175处,在金属边界170处的用于场效晶体管105A和105B的栅极金属堆叠的制造制程将详细地描述。
图2是根据一些方式用于制造半导体装置的方法200的流程图,半导体装置具有金属边界沟槽隔离件,金属边界沟槽隔离件带有导电的中间结构。注意的是,方法200可能不限于鳍式场效晶体管装置,并且可适用于会从带有中间结构的金属边界沟槽隔离件受益的装置,例如平面型场效晶体管、栅极全环场效晶体管等。可能在方法200的各种操作之间执行附加的制造操作,并且仅出于清楚和便于描述的目的可能将附加的制造操作省略。理解的是,可以在方法200之前、期间、和/或之后提供附的制程;在此简要地描述这些附加的制程中的一或多者。此外,执行本文所提供的揭示内容不需要全部的操作。此外,这些操作中的一些操作可能同时地执行或以不同于在图2中所示的顺序来执行。在一些实施方式中,除了当前描述的操作或代替当前描述的操作,可能执行一或多个其他的操作。
为了说明的目的,将参考示例制造制程来描述在图2中所绘示的操作,示例制程用于制造具有金属边界沟槽隔离件的半导体装置100,金属边界沟槽隔离件具有导电的中间结构以阻挡金属扩散,如在图3A至图8H中所绘示。图3A、图4、图5A、图6、图7A、和图7B绘示了根据一些实施方式的半导体装置100的区域175在制造制程的各个阶段时的多个截面视图,半导体装置100具有金属边界沟槽隔离件,金属边界沟槽隔离件具有导电的中间结构。图3B、图3C、图5B、和图5C绘示了根据一些实施方式的半导体装置100在其制造制程的各个阶段时的各种俯视图,半导体装置100具有金属边界沟槽隔离件,金属边界沟槽隔离件具有导电的中间结构。图8A至图8H绘示了根据一些实施方式的半导体装置100的区域175的截面视图,半导体装置100具有金属边界沟槽隔离件,金属边界沟槽隔离件具有各种导电的中间结构以阻止金属扩散。虽然图3A至图8H绘示了具有带有各种中间结构的金属边界沟槽隔离件的半导体装置100的制造制程,方法200可以应用于其他的半导体装置,例如反相器逻辑装置、NOR逻辑装置、NAND逻辑装置、环形振荡器装置、和静态随机存取记忆体装置,这些装置可以经由连接具有不同的Vt的邻近的N型场效晶体管装置和P型场效晶体管装置而形成。在图3A至图8H中的多个元件具有与在图1A至图1C中以上所描述的多个元件相同的标注。
参看图2,方法200开始于操作210和在如图1A至图1C中所示的栅极堆叠开口155之内在鳍片结构110A、鳍片结构110b、和介于鳍片结构110A和鳍片结构110B之间的绝缘层135上形成栅极介电层的制程。根据一些实施方式,图3A是在方法200的操作210之后的图1C中所示的半导体装置100的区域175的截面视图,图3B是在方法200的操作210之后的图1C中所示的半导体装置100的俯视图,并且图3C是在方法200的操作210之后在图3A中的位准C处的半导体装置100的区域175的俯视图。在一些实施方式中,在图3C中箭头312可以表示从场效晶体管105B至场效晶体管105A跨过金属边界170的金属扩散(例如,铝、钛等)的方向。在一些实施方式中,在图3A至图3C中的场效晶体管105A和场效晶体管105B的绝缘层135上所形成的各个结构分别地表示形成在鳍片结构110A和110B(图未示)上的多个结构。
根据图3A至图3C,栅极介电层303可以形成在介于多个栅极间隔物160之间的多个鳍片结构110上和绝缘层135上。在一些实施方式中,栅极介电层303可以是栅极介电的堆叠其包括界面层和高介电常数层。在一些实施方式中,界面层可以包括硅氧化物层其具有从大约
Figure BDA0002948492460000131
至大约
Figure BDA0002948492460000132
的厚度,并且经由原子层沉积、化学气相沉积、或任何其他合适的沉积方法而沉积。作为沉积制程的结果,硅氧化物层可以覆盖鳍片结构110和绝缘层135。在一些实施方式中,形成界面层可以经由将鳍片结构110的硅表面暴露于氧化的环境。在一些实施方式中,氧化的环境可以包括臭氧(O3)、氢氧化氨/过氧化氢/水混合物(SC1)、和盐酸/过氧化氢/水混合物(SC2)。作为上述氧化制程的结果,介于大约
Figure BDA0002948492460000141
和大约
Figure BDA0002948492460000142
之间的硅氧化物层可以形成在暴露的硅表面上,例如在栅极堆叠开口155中的鳍片结构110的表面,但不是在绝缘层135上。因此,在鳍片结构110上的栅极介电层303可以包括界面层和高介电常数层,并且在绝缘层135上的栅极介电层303可以包括高介电常数层。在一些实施方式中,高介电常数层可以包括一介电材料其具有高于约3.9的介电常数(k值)。在一些实施方式中,高介电常数层可以包括铪氧化物、镧氧化物、铝氧化物、钇氧化物、锆氧化物、钪氧化物、或其组合,经由原子层沉积或电浆增强原子层沉积(PEALD)而沉积,其厚度为从大约
Figure BDA0002948492460000143
至大约
Figure BDA0002948492460000144
参看图2,方法200继续进行操作220和分别地在第一鳍片结构和第二鳍片结构上方在栅极介电层上形成第一功函数堆叠和第二功函数堆叠的制程。如在图1C和图3A中所示,用于场效晶体管105A的功函数堆叠301A和用于场效晶体管105B的功函数堆叠301B可以形成在绝缘层135和各别的鳍片结构110A和110B上方的栅极介电层303上。
在一些实施方式中,功函数堆叠301A和301B的形成可以包括无铝功函数层311a和311b、铝基的功函数层313、和屏蔽层311c的形成。在一些实施方式中,无铝可以指的是铝含量低于一阈值,例如大约1.2原子百分比。在一些实施方式中,无铝功函数层311a和311b也被称为“p型功函数层311a和311b”,指的是主要地负责调谐p型场效晶体管的阈值电压的功函数层(以及在较小程度上调谐n型场效晶体管的阈值电压)。在一些实施方式中,多个p型功函数层存在于n型和p型场效晶体管(未示出)两者上。在一些实施方式中,p型功函数层311a和311b的各者可以包括钛、钨、钽、钌、和/或氮(例如,以钛氮化物(TiN)的形式)并且具有大约
Figure BDA0002948492460000145
和大约
Figure BDA0002948492460000146
之间的厚度。在一些实施方式中,p型功函数层311a和311b的各者可以包括具有大于约4.4eV的功函数的材料。
可以经由控制多个功函数层的组成、p型功函数层的数目、和/或p型功函数层的总厚度来实现场效晶体管装置的阈值电压调谐。例如,在功函数堆叠301A中的p型功函数层的数目越多、或者多个p型功函数层越厚,则P型场效晶体管装置的绝对阈值电压值越低,并且N型场效晶体管的绝对阈值电压值越高。图3A至图3C以及后续的附图显示了在功函数堆叠301A中的两个p型功函数层311a和311b,并且在功函数堆叠301B中没有p型功函数层,功函数堆叠301A和功函数堆叠301B可以各自地包括任何数目的p型功函数层。功函数堆叠301A和功函数堆叠301B可以包括彼此不同数目的p型功函数层。因此,如上所述,可以在同一晶片之内形成具有针对不同功率应用的不同阈值电压的多个场效晶体管装置。如以上所讨论的内容,p型功函数层主要地调谐p型场效晶体管的阈值电压,而n型场效晶体管可能不受p型功函层的存在的影响。在一些实施方式中,与p型场效晶体管相比,n型场效晶体管可以具有较少数量的p型功函数层,或具有更薄的p型功函数层。在一些实施方式中,沉积p型功函数层311a和311b中的各者可以利用原子层沉积制程,原子层沉积制程使用四氯化钛(TiCl4)和氨气(NH3)在大约300℃至大约550℃之间的沉积温度下。此外,在光阻的移除之后,可以利用SC1、SC2、或磷酸湿式清洁来达到p型功函数层的移除。如以上所讨论的内容,p型功函数层311a和311b中的各者可以具有不同的厚度,因为每个层是个别地沉积。
具有不同数目的p型功函数层或具有不同厚度的p型功函数层的场效晶体管装置可以经由微影和蚀刻操作来实现。在一些实施方式中,第一p型功函数层可以同时地沉积在晶片的n型和p型场效晶体管装置两者上。在一些实施方式中,接收更多的p型功函数层的晶体管(例如,在图3A中的场效晶体管105A)随后以光阻遮盖,使得可以经由从接收较少的p型功函数层的晶体管(例如,在图3A中的场效晶体管105B)蚀刻来移除第一p型功函数层。一旦已经从接收较少的p型功函数层的晶体管(例如,在图3A中的场效晶体管105B)移除了第一p型功函数层,从接收较多的p型功函数层的晶体管(例如,在图3A中的场效晶体管105A)移除光阻,并且此制程继续第二p型功函数层沉积。随后使用光阻以遮盖接收最大数目和第二最大数目的p型功函数层的晶体管。随后的蚀刻制程从具有最大数目和第二最大数目的p型功函数层的晶体管从接收较少的p型功函数层的晶体管移除第二p型功函数层。重复以上描述的顺序,直到所有的场效晶体管装置(p型和n型)接收适当数目的p型功函数层(例如,用于n型场效晶体管的0到1个p型功函数层,和用于p型场效晶体管的2到4个p型功函数层)。以上描述的p型功函数形成顺序不是限制性的,并且可能执行使用相似或不同的操作来形成功函数堆叠301A的p型功函数层311a和311b。在一些实施方式中,可以在n型功函数层的形成的之前或之后都形成p型功函数层。
在以上描述的制程之后,铝基的功函数层313可以同时地沉积在场效晶体管105A和105B上,如在图3A中所示。在一些实施方式中,铝基的功函数层313也可以被称为“n型功函数层313”,指的是主要负责调谐n型场效晶体管的阈值电压的功函数层。在一些实施方式中,如在图3A中所示,n型功函数层313可以存在于场效晶体管105A和105B两者上。在功函数堆叠301A和301B的层中的材料组成分(例如,铝浓度)可以调谐场效晶体管105A和105B的阈值电压。在一些实施方式中,n型功函数层313可以包括铝和/或钛(例如,以钛铝(TiAl)的形式)、TiAlC、TaAl、或TaAlC,并且具有大约
Figure BDA0002948492460000161
和大约
Figure BDA0002948492460000162
之间的厚度。在一些实施方式中,n型功函数层313可以包括具有小于约4.4eV的功函数的材料。如在图3A中所示,在铝基的功函数层313的沉积之后,场效晶体管105A的无铝功函数层311a可以在金属边界170处与场效晶体管105B的铝基的功函数层313接触。在一些实施方式中,在场效晶体管105B铝基的功函数层313中的铝可以在随后的制程中穿过金属边界170扩散并且扩散到场效晶体管105A中的无铝功函数层311a和311b,因此场效晶体管105A和场效晶体管105B的阈值电压发生偏移。
在铝基的功函数层313的沉积之后可以沉积屏蔽层311c,如在图3A中所示。在一些实施方式中,屏蔽层311c可以包括本征的TiN(iTiN)、钨氮化物(WN)、钽氮化物(TaN)、或其他合适的材料,以允许对铝基的功函数层313进行图案化并且保护铝基铝功函数层313免于来自在后续制程中的污染和损坏,例如移除硬遮罩层时的BARC(底部抗反射涂层)污染和电浆损坏。在一些实施方式中,屏蔽层311c可以包括TiN、TiSiN、TaSiN、TaTiN、Si、TiC、SiC、MoN、TiSi、TaSi、NiSi、MoSi、WSi中的至少一者。在一些实施方式中,屏蔽层311c可以具有厚度311ct其范围从大约
Figure BDA0002948492460000163
至大约
Figure BDA0002948492460000164
如果厚度311ct小于约
Figure BDA0002948492460000165
则屏蔽层311c可能不能为铝基的功函数层313提供足够的保护,抵抗在后续的制程中的污染和损坏。可果厚度311ct大于约
Figure BDA0002948492460000166
则屏蔽层311c所提供的保护可能会饱和,并且在更大的厚度下不会提升。
参看图2,方法200继续操作230和在介于第一功函数堆叠和第二功函数堆叠之间形成沟槽的制程。如在图4和在图5A至图5C中所示,可以在介于功函数堆叠301A和功函数堆叠301B之间的金属边界170处形成沟槽570。在一些实施方式中,沟槽570的形成可以包括在硬遮罩层417中形成开口470和在金属边界170处蚀刻功函数堆叠301A和301B。
参看图4,在硬遮罩层417中开口470的形成可以包括在功函数堆叠301A和301B上沉积硬遮罩层417,以及在金属边界170处曝光和蚀刻硬遮罩层417。在一些实施方式中,硬遮罩层417可以包括底部抗反射涂覆(BARC)层和/或六甲基二硅氮烷(hexamethyldisilazane,HMDS)层。光阻层可以在硬遮罩层417上图案化,以形成开口470。在一些实施方式中,浸润式微影制程其具有在约193nm的ArF源和具有约6至7eV的能量可以用以形成大于约19nm的尺寸的沟槽。在一些实施方式中,对于尺寸大于约11nm的沟槽的形成,可以使用极紫外(EUV)微影制程其具有二氧化碳(CO2)激光和锡(Sn)电浆EUV,从约10nm至13.5nm,且具有约90eV至约95eV的能量。在一些实施方式中,蚀刻在光暴露的区域中的硬遮罩层417可以经由具有氯、碳氟化物的氮和氢电浆,以及可选的基板偏压,以形成开口470。
开口470的形成之后,可以蚀刻功函数堆叠301A和301B,以形成沟槽570,如在图5A至图5C中所示。根据一些实施方式,图5A是在操作230之后的区域175的截面视图,图5B是在操作230之后的半导体装置100的俯视图,并且图5C是在操作230之后在位准C处的区域175的俯视图。在一些实施方式中,蚀刻功函数堆叠301A和301B可以经由湿式蚀刻制程。在一些实施方式中,湿式蚀刻制程可以包括第一蚀刻剂和/或第二蚀刻剂。在一些实施方式中,第一蚀刻剂可以包括氯化氢和过氧化氢。在一些实施方式中,第一蚀刻剂可以具有在TiAl和TiN之间高于约4.5的蚀刻选择性。在一些实施方式中,第二蚀刻剂可以包括过氧化氢和磷酸。在一些实施方式中,第二蚀刻剂可以具有在TiN与TiAl之间高于约100的蚀刻选择性。在一些实施方式中,湿式蚀刻制程可以在栅极介电层303(例如,高介电常数层)上终止。在一些实施方式中,由于在湿式蚀刻制程期间或之后的制程变化,可以部分地蚀刻栅极介电层303。在一些实施方式中,栅极介电层303可以部分地移除,移除从大约
Figure BDA0002948492460000171
至大约
Figure BDA0002948492460000172
的范围的厚度。在一些实施方式中,从栅极介电层303部分地移除的厚度与栅极介电层303的厚度的比率可以在从大约0.2至大约0.5的范围。在沟槽570的形成之后,可以移除硬遮罩层417。在一些实施方式中,移除硬遮罩层417可以经由电浆灰化制程,其在氮和氢电浆加上氯、氟化碳,并且没有基板偏压。在一些实施方式中,在电浆灰化制程之后的灰可以利用去离子水、或去离子水加上稀释的HF而清除。
在一些实施方式中,沟槽570可以具有沿着Y轴的宽度570w,范围从约11nm至约90nm。如果宽度570w小于约11nm,则随后在沟槽570中形成的导电的中间结构可能不会有效地阻挡金属(例如,铝、钛等)穿过金属边界170扩散。如果宽度570w大于约90nm,则在鳍片结构110A上方的功函数堆叠301A的部分和/或在鳍片结构110B上方的功函数堆叠301B的部分可能被沟槽形成制程移除或影响,特别是如果金属边界至通道距离170d小于约195nm。结果,场效晶体管105A和/或105B的阈值电压可能受到不利影响。
在一些实施方式中,沟槽570可以具有沿着X轴的长度570L,范围从大约45nm至大约800nm。如果长度570L小于约45nm,则随后形成的导电的中间结构770(如在图7B中所示)可能不能有效地阻挡金属(例如,铝和钛)或污染物(例如,碳、氯、氟、和氮)穿过金属边界170扩散。如果长度570L大于约800nm,则可能移除在鳍片结构上方的邻近的场效晶体管装置的功函数堆叠的部分。结果,邻近的场效晶体管装置的装置效能可能受到不利的影响。在一些实施方式中,长度570L可以取决于沿着半导体装置100的X轴的栅极宽度,并且可以必要大于栅极宽度。
参看图2,方法200继续进行操作240和在沟槽中形成导电的中间结构的制程。如在图6、图7A、和图7B中所示,覆盖层619、胶层621、和金属填充层723可以填充在沟槽570中并且形成导电的中间结构770,以阻挡金属扩散。在一些实施方式中,栅极介电层303、功函数堆叠301A、覆盖层619、胶层621、和金属填充层723可以共同地形成在场效晶体管105A的鳍片结构110A上的栅极结构731A并且填充栅极堆叠开口155。类似地,栅极介电层303、功函数堆叠301B、覆盖层619、胶层621、和金属填充层723可以共同地形成在场效晶体管105B的鳍片结构110B上的栅极结构731B并且填充栅极堆叠开口155。在一些实施方式中,图7A示出了在图2中所描述的方法200完成之后的半导体装置100的截面视图。图7B示出了半导体装置100的在金属边界170处的区域175的截面视图。
覆盖层619可以形成在沟槽570中以及在功函数堆叠301A和301B上,如在图6中所示。在一些实施方式中,覆盖层619可以包括Si,其形成经由硅烷(SiH4)或二硅烷(Si2H6)浸泡制程,在从大约250℃至大约500℃的温度下。在一些实施方式中,覆盖层619可以包括SiTi,其形成经由利用TiCl4和SiH4前驱物的原子层沉积或电浆促进原子层沉积制程。在一些实施方式中,覆盖层619可以具有厚度619t其范围从大约
Figure BDA0002948492460000191
至大约
Figure BDA0002948492460000192
如果厚度619t小于约
Figure BDA0002948492460000193
则覆盖层619可能无法为铝基的功函数层313提供足够的保护,并且覆盖层619可能无法防止金属(例如,铝、钛等)穿过金属边界170扩散。另外,覆盖层619可能不能为功函数堆叠301A和301B提供足够的保护,以免在后续制程期间受到损坏(例如,在后续的研磨制程期间发生氧化或污染)。如果厚度619t大于约
Figure BDA0002948492460000194
则覆盖层619可能增加栅极电阻并使功函数偏移,并且因此将场效晶体管105A和105B的阈值电压偏移。
胶层621可以形成在覆盖层619上,如在图6、图7A、和图7B中所示。在一些实施方式中,胶层621可以包括Co、Ti、TiN、或TiSiN,其形成经由利用TiCl4、SiH4、和NH3前驱物的原子层沉积或电浆促进原子层沉积制程。在一些实施方式中,胶层621可以包括Co,其形成经由利用基于Co的前驱物(例如,二钴六羰基叔丁基乙炔(dicobalt hexacarbonyl Tert-ButylAcetylene,CCCTBA)和H2)的原子层沉积制程。在一些实施方式中,胶层621可以具有厚度621t其范围从大约
Figure BDA0002948492460000195
至大约
Figure BDA0002948492460000196
如果厚度621t小于约
Figure BDA0002948492460000197
则胶层621可能无法提供与金属填充层723足够的键合,用于跨过功函数堆叠301A和301B的连续的阶梯覆盖,并且胶层621可能无法防止金属(例如,铝、钛等)穿过金属边界170扩散。如果厚度621t大于约
Figure BDA0002948492460000198
则胶层621可能增加栅极电阻并且使功函数偏移,并且因此使场效晶体管1105A和105B的阈值电压偏移。
金属填充层723可以形成在胶层621上并且填充栅极堆叠开口155,如在图7A和图7B中所示。在一些实施方式中,金属填充层723可以包括WF或W,形成WF或W经由利用基于W(钨)的前驱物的原子层沉积或化学气相沉积制程。在一些实施方式中,研磨制程(例如化学机械研磨(CMP)可以在原子层沉积制程之后,以使得金属填充层723的顶表面、隔离层150的顶表面、和栅极间隔物160的顶表面共平面。
此外,可以将半导体装置100并入集成电路中,经由使用其他的结构组件,诸如接触件、导电导孔、导线、介电层、和钝化层,这些组件为了简化起见未示出。
根据一些实施方式,用以在金属边界170处阻挡金属扩散的导电的中间结构770可以包括形成在栅极介电层303上的覆盖层619、胶层621、和金属填充层723。在一些实施方式中,用以阻挡金属扩散的导电的中间结构770可以在金属边界170的每一侧处电性连接功函数堆叠301A和301B。在一些实施方式中,导电的中间结构770可以充作扩散阻障结构,将功函数堆叠301A和301B分隔,并且可以阻挡金属(例如铝)从铝基的功函数层313到无功函数层311a和311b穿过金属边界170扩散。结果,场效晶体管105A的栅极堆叠729A可以电性连接到场效晶体管105B的邻近的栅极堆叠729B,并且场效晶体管105A和场效晶体管105B的阈值电压可能不受铝从场效晶体管105B至场效晶体管105A的影响,反之亦然。
导电的中间结构770可以具有沿着Y轴的宽度770W,范围从大约11nm至大约90nm。MBD 170d与宽度770W的比率可以在从大约0.8至大约250的范围。如果宽度770W小于约11nm,或此比率大于约250,则导电的中间结构770可能无法有效地阻挡金属(例如,铝)从铝基的功函数层313至无铝功函数层311a和311b穿过金属边界170扩散。如果宽度770W大于约90nm,或此比率小于约0.8,在鳍片结构110A上方的功函数堆叠301A的部分和/或在鳍片结构110B上方的功函数堆叠301B的部分可能在操作230期间被移除或损坏。另外,鳍片结构110A和110B的表面可能被损坏。结果,场效晶体管105A和/或105B的阈值电压可能受到不利影响。
图8A至图8H绘示根据一些实施方式的半导体装置的多个截面视图,半导体装置具有金属边界沟槽隔离件,金属边界沟槽隔离件带有各种导电的中间结构。导电的中间结构770可以包括覆盖层619、胶层621、和金属填充层723中的至少一者,取决于宽度770W、覆盖层619、胶层621、和金属填充层723这些层的厚度、以及在操作230中的沟槽570的位置。在一些实施方式中,导电的中间结构770可以包括覆盖层619,以将功函数堆叠301A和301B分隔、和阻挡金属(例如,铝、钛等)穿过金属边界170扩散,如在图8A中所示。在一些实施方式中,导电的中间结构770可以包括覆盖层619和胶层621,以将功函数堆叠301A和301B分隔,并且阻挡金属(例如,铝、钛等)跨过金属边界170扩散,如在图8B中所示。在一些实施方式中,形成开口470和沟槽570可以在覆盖层619的形成之后。结果,导电的中间结构770可以包括胶层621,以将功函数堆叠301A和301B分隔,并阻挡金属(例如,铝、钛等)穿过金属边界170扩散,如在图8C中所示。在一些实施方式中,导电的中间结构770可以包括胶层621和金属填充层723,以将功函数堆叠301A和301B分隔,并且阻挡金属(例如,铝、钛等)穿过金属边界170扩散,如在图8D中所示。在一些实施方式中,形成开口470和沟槽570可以在胶层621的形成之后。结果,导电的中间结构770可以包括金属填充层723,以将功函数堆叠301A和301B分隔,并阻挡金属(例如,铝、钛等)穿过金属边界170扩散,如在图8E中所示。在一些实施方式中,形成开口470和沟槽570可以在金属填充层723的形成之后。金属填充层723可以在沟槽570中再沉积,以形成导电的中间结构770,如在图8E中所示。在一些实施方式中,由于在移除功函数堆叠301A和301B的一部分以形成沟槽570期间或之后的制程变异,可以部分地移除栅极介电层303。结果,导电的中间结构770可以形成在介于场效晶体管105A和场效晶体管105B之间的金属边界处,如在图8F中所示。在一些实施方式中,栅极介电层303可以具有沿着Z轴的凹陷303r,范围从大约
Figure BDA0002948492460000211
至大约
Figure BDA0002948492460000212
在一些实施方式中,凹陷303r与栅极介电层303的厚度的比率可以在从大约0.2至大约0.5的范围。在一些实施方式中,导电的中间结构770可以包括部分地在栅极介电层303上的覆盖层619、胶层621、和金属填充层723,以将功函数堆叠301A和301B分隔,并且阻挡金属(例如,铝、钛等)穿过金属边界170扩散,如在图8F中所示。在一些实施方式中,导电的中间结构770可以包括在栅极介电层303上的覆盖层619、胶层621、和金属填充层723中的一或多者,以将功函数堆叠301A和301B分隔,并阻挡金属(例如,铝、钛等)穿过金属边界170扩散。在一些实施方式中,功函数堆叠301A可以包括p型功函数层311b,并且功函数堆叠301B可能不包括p型功函数层311a或311b,如在图8G中所示。在一些实施方式中,功函数堆叠301A可以包括p型功函数层311a和311b,并且功函数堆叠301B可以包括p型功函数层311a,如在图8H中所示。在一些实施方式中,在沉积覆盖层619(未示出)之前,一或多个p型功函数层可以形成在沟槽570中。结果,导电的中间结构770可以包括形成在栅极介电层303上(例如,部分地形成在栅极介电层303上)的一或多个p型功函数层、覆盖层619、胶层621、和金属填充层723。在一些实施方式中,在沉积n型功函数层313和覆盖层619(未示出)之后,可以在沟槽570中形成一或多个p型功函数层。在一些实施方式中,功函数堆叠301A可以包括n型功函数层313,并且功函数堆叠301B可能不包括n型功函数层313(未示出)。在一些实施方式中,功函数堆叠301A可以包括两个或更多个n型功函数层313,并且功函数堆叠301B可能包括一个或不包括n型功函数层313(未示出)。结果,导电的中间结构770可以包括形成在栅极介电层303上(例如,部分地形成在栅极介电层303上)的一或多个n型功函数层、覆盖层619、p型功函数层、胶层621、和金属填充层723。
图9A至图9D为根据一些实施方式,分别地绘示在半导体装置900A、900B、900C中的导电的中间结构970A、970B、和970C的布局排列。在一些实施方式中,如在图9A中所示,用以阻挡金属扩散的导电的中间结构970A可以形成在鳍片结构910A和910B上具有连接的多个栅极结构931的金属边界970处。在一些实施方式中,导电的中间结构970A可以具有沿着Y轴的宽度970W,范围从大约11nm至大约90nm。在一些实施方式中,导电的中间结构970A可以具有沿着X轴的长度970L,范围从大约45nm至大约800nm。如果宽度970W小于约11nm,或长度970L小于约45nm,则导电的中间结构970A可能无法有效地阻挡金属(例如,铝和钛)或污染物(例如,碳、氯、氟、和氮)穿过金属边界970扩散。如果宽度970W大于约90nm,或大于MBD970d的大约1.6倍,则可能移除或损坏在鳍片结构910A和910B上方的连接的多个栅极结构931的功函数堆叠的部分。结果,半导体装置900A的装置效能和/或阈值电压可能受到不利的影响。如果长度970L大于约800nm,则可能移除鳍片结构上方的邻近的场效晶体管装置的功函数堆叠的部分。结果,邻近的场效晶体管装置的装置效能可能受到不利影响。在一些实施方式中,长度970L可能需要大于在具有不同阈值电压的场效晶体管装置上的连接的多个栅极的沿着X轴的总栅极宽度。
在一些实施方式中,如在图9B中所示,用以阻挡金属扩散的导电的中间结构970B可以形成在半导体装置900B(例如,反相器逻辑装置)的具有连接的多个栅极的N-P金属边界处。在一些实施方式中,图9C和图9D分别地绘示半导体装置900C的电路布局排列和设计布局排列。如在图9C和图9D中所示,用以阻挡金属扩散的导电的中间结构970C可以形成在半导体装置900C(例如,介于静态随机存取记忆体单元的上拉装置和下拉装置之间)的具有连接的多个栅极的N-P金属边界处。在一些实施方式中,导电的中间结构可以形成在其他的半导体装置的具有连接的多个栅极的N-P金属边界处。例如,导电的中间结构可以形成在环形振荡器装置的每个反相器介于p型场效晶体管和N型场效晶体管之间,NAND或NOR逻辑装置的两个P型场效晶体管和N型场效晶体管之间,以及NOT逻辑装置介于1个P型场效晶体管和2个N型场效晶体管之间。
图10绘示根据一些实施方式的阈值电压(Vt)变化和金属边界至通道距离(MBD)之间的关系。在一些实施方式中,在图10中的实施方式1可以包括具有带有导电的中间结构的金属边界沟槽隔离件的半导体装置。实施方式2可以包括没有金属边界沟槽隔离件的半导体装置。可以看出,实施方式1在不同的MBD上可以具有比实施方式2小的Vt变化。并且,实施方式1和实施方式2的Vt变化之间的差异可以随着MBD的增加而减小。
根据一些实施方式,方法200不限于鳍式场效晶体管,而是可以应用于其他的晶体管,诸如栅极全环场效晶体管、平面型场效晶体管、或场效晶体管装置其具有邻近的多个晶体管(其具有连接的多个栅极结构和不同的阈值电压)。
在本揭示内容中的各个实施方式提供了用于形成具有带有导电的中间结构770的金属边界沟槽隔离件的半导体装置100,用以阻挡金属扩散。在一些实施方式中,导电的中间结构770可以形成在金属边界170处所形成的沟槽570中。在一些实施方式中,导电的中间结构770可以在金属边界170的每一侧处介于功函数堆叠301A和301B之间。在一些实施方式中,导电的中间结构770可以在金属边界170的每一侧处电性连接功函数堆叠301A和301B。在一些实施方式中,导电的中间结构770可以是导电的阻障结构,并且阻挡金属(例如,铝、钛等)或污染物(例如,碳、氯、氟、和氮)穿过金属边界170扩散。在一些实施方式中,导电的中间结构770可以包括覆盖层619、胶层621、和金属填充层723中的至少一者。在一些实施方式中,导电的中间结构770可以形成在金属边界170处的栅极介电层303上。在一些实施方式中,导电的中间结构770可以包括硅(Si)、硅钛(SiTi)、钛(Ti)、钛氮化物(TiN)、钛碳氮化物(TiCN)、钛硅氮化物(TiSiN)、硅钽(SiTa)、钽(Ta)、钽氮化物(TaN)、钽碳氮化物(TaCN)、钨氮化物(WNx)、钨碳氮化物(WCN)、钌(Ru)、钴(Co)、和钨(W)中的至少一者,其可以电性连接功函数堆叠301A和301B并阻挡金属(例如,铝、钛等)或污染物(例如,碳、氯、氟、和氮)穿过金属边界170扩散。
在一些实施方式中,半导体结构包括在基板上的第一鳍片结构和第二鳍片结构,介于第一和第二鳍片结构之间的绝缘层,在绝缘层上和第一和第二鳍片结构上的栅极介电层,以及在栅极介电层上的第一功函数堆叠和第二功函数堆叠。第一功函数堆叠在第一鳍片结构上方和绝缘层的第一部分上方,并且第二功函数堆叠在第二鳍片结构上方和在绝缘层的邻近于第一部分的第二部分上方。半导体结构还包括在栅极介电层上和介于第一和第二功函数堆叠之间的导电的中间结构。
在一些实施方式中,半导体装置包括第一晶体管和第二晶体管,第一晶体管包括第一功函数堆叠,第二晶体管包括第二功函数堆叠。半导体装置还包括介于第一和第二晶体管的绝缘层、介于绝缘层和第一和第二功函数堆叠的栅极介电层,以及在栅极介电层上和介于第一和第二功函数堆叠的阻障结构。
在一些实施方式中,方法包括在第一鳍片结构、第二鳍片结构、和介于第一和第二鳍片结构之间的绝缘层上形成栅极介电层。方法还包括在栅极介电层上和分别地在第一鳍片结构和第二鳍片结构上方形成第一功函数堆叠和第二功函数堆叠,在介于第一和第二功函数堆叠之间形成沟槽,以及在沟槽中形成导电的中间结构。
本揭示内容的一些实施方式提供了一种半导体结构,包含:第一鳍片结构和第二鳍片结构、绝缘层、栅极介电层、第一功函数堆叠、第二功函数堆叠、以及导电的中间结构。第一鳍片结构和第二鳍片结构在一基板上。绝缘层在介于第一鳍片结构和第二鳍片结构之间。栅极介电层在绝缘层上和第一鳍片结构和第二鳍片结构上。第一功函数堆叠在栅极介电层上,其中第一功函数堆叠在第一鳍片结构上方和绝缘层的第一部分上方。第二功函数堆叠在栅极介电层上,其中第二功函数堆叠在第二鳍片结构上方和绝缘层的邻近于第一部分的第二部分的上方。导电的中间结构在栅极介电层上和介于第一功函数堆叠和第二功函数堆叠之间。
在一些实施方式中,在半导体结构中,栅极介电层的第一部分包含在第一鳍片结构和第二鳍片结构上的界面层以及在界面层上的高介电常数介电层,并且其中栅极介电层的第二部分包含在绝缘层上的高介电常数介电层。
在一些实施方式中,在半导体结构中,栅极介电层包含在绝缘层上和第一和第二鳍片结构上的界面层,并且包含在界面层上的高介电常数介电层。
在一些实施方式中,在半导体结构中,导电的中间结构的一部分在栅极介电层中。
在一些实施方式中,在半导体结构中,导电的中间结构与第一和第二功函数堆叠的多个侧壁接触。
在一些实施方式中,在半导体结构中,第一功函数堆叠包含无铝功函数层,第二功函数堆叠包含铝基的功函数层,以及导电的中间结构将无铝功函数层和铝基的功函数层分隔。
在一些实施方式中,在半导体结构中,导电的中间结构包含覆盖层、胶层、功函数金属层、和金属填充层中的至少一者。
在一些实施方式中,在半导体结构中,覆盖层包含硅或硅钛,并且其中胶层包含钛、钛氮化物、钛硅氮化物、或钴。
本揭示内容的一些实施方式提供了一种半导体装置,包含第一晶体管、第二晶体管、绝缘层、栅极介电层、以及阻障结构。第一晶体管包含第一功函数堆叠。第二晶体管包含第二功函数堆叠。绝缘层介在第一晶体管和第二晶体管之间。栅极介电层在介于绝缘层和第一功函数堆叠之间并且在介于绝缘层和第二功函数堆叠之间。阻障结构其在栅极介电层上并且在介于第一功函数堆叠和第二功函数堆叠之间。
在一些实施方式中,在半导体装置中,栅极介电层包含在绝缘层上的高介电常数介电层。
在一些实施方式中,在半导体装置中,栅极介电层包含在绝缘层上的界面层和在界面层上的高介电常数介电层。
在一些实施方式中,在半导体装置中,阻障结构的一部分在栅极介电层中。
在一些实施方式中,在半导体装置中,阻障结构与第一功函数堆叠和第二功函数堆叠接触。
在一些实施方式中,在半导体装置中,第一功函数堆叠包含无铝功函数层,第二功函数堆叠包含铝基的功函数层,阻障结构将无铝功函数层和铝基的功函数分隔。
在一些实施方式中,在半导体装置中,阻障结构包含覆盖层、胶层、功函数层、和金属填充层中的至少一者。
在一些实施方式中,在半导体结构中,覆盖层包含硅或硅钛,并且其中胶层包含钛、钛氮化物、钛硅氮化物、或钴。
本揭示内容的一些实施方式提供了一种制造半导体装置的方法,包含:形成栅极介电层其在第一鳍片结构、第二鳍片结构、和介于第一和第二鳍片结构之间的绝缘层上;在栅极介电层上和分别地在第一鳍片结构和第二鳍片结构上方形成第一功函数堆叠和第二功函数堆叠;在介于第一功函数堆叠和第二功函数堆叠之间形成沟槽;以及在沟槽中形成导电的中间结构。
在一些实施方式中,在制造半导体装置的方法中,形成沟槽包含蚀刻第一功函数堆叠的第一部分和第二功函数堆叠的第二部分,其中第一部分邻近于第二部分。
在一些实施方式中,在制造半导体装置的方法中,形成沟槽包含:蚀刻第一功函数堆叠的第一部分和第二功函数堆叠的第二部分,其中第一部分邻近于第二部分;以及蚀刻栅极介电层的一部分其低于第一部分和第二部分。
在一些实施方式中,在制造半导体装置的方法中,形成导电的中间结构包含在沟槽中沉积覆盖层、胶层、功函数金属层、和金属填充层中的其中一者。
应当理解,详细描述的部分(不是揭示内容的摘要)旨在用于解释权利要求。揭示内容的摘要部分可能叙述本揭示内容的发明人所思量的一或多个但不是所有的可能实施方式,因此,并不旨在以任何方式限制所附的权利要求。
以上揭示内容概述了数个实施方式,以便本领域技术人员可较佳地理解本揭示内容的多个方面。本领域的技术人员将理解,他们可能容易地使用本揭示内容,作为其他制程和结构的设计或修改的基础,以实现与在此介绍的实施方式的相同的目的,或是达到相同的优点。本领域技术人员亦会理解,与这些均等的建构不脱离本揭示内容的精神和范围,并且他们可进行各种改变、替换、和变更而不脱离本揭示内容的精神和范围。

Claims (10)

1.一种半导体结构,其特征在于,包含:
一第一鳍片结构和一第二鳍片结构,在一基板上;
一绝缘层,在介于该第一鳍片结构和该第二鳍片结构之间;
一栅极介电层,在该绝缘层上和该第一鳍片结构和该第二鳍片结构上;
一第一功函数堆叠,在该栅极介电层上,其中该第一功函数堆叠在该第一鳍片结构上方和该绝缘层的一第一部分上方;
一第二功函数堆叠,在该栅极介电层上,其中该第二功函数堆叠在该第二鳍片结构上方和该绝缘层的邻近于该第一部分的一第二部分的上方;以及
一导电的中间结构,在该栅极介电层上和介于该第一功函数堆叠和该第二功函数堆叠之间。
2.根据权利要求1所述的半导体结构,其特征在于,其中该栅极介电层的一第一部分包含在该第一鳍片结构和该第二鳍片结构上的一界面层以及在该界面层上的一高介电常数介电层,并且其中该栅极介电层的一第二部分包含在该绝缘层上的该高介电常数介电层。
3.根据权利要求1所述的半导体结构,其特征在于,其中该栅极介电层包含在该绝缘层上和该第一鳍片结构和该第二鳍片结构上的一界面层,并且包含在该界面层上的一高介电常数介电层。
4.根据权利要求1所述的半导体结构,其特征在于,其中:
该第一功函数堆叠包含一无铝功函数层,
该第二功函数堆叠包含一铝基的功函数层,以及
该导电的中间结构将该无铝功函数层和该铝基的功函数层分隔。
5.一种半导体装置,其特征在于,包含:
一第一晶体管,包含一第一功函数堆叠;
一第二晶体管,包含一第二功函数堆叠;
一绝缘层,介在该第一晶体管和该第二晶体管之间;
一栅极介电层,在介于该绝缘层和该第一功函数堆叠之间并且在介于该绝缘层和该第二功函数堆叠之间;以及
一阻障结构其在该栅极介电层上并且在介于该第一功函数堆叠和该第二功函数堆叠之间。
6.根据权利要求5所述的半导体装置,其特征在于,其中该栅极介电层包含在该绝缘层上的一高介电常数介电层。
7.根据权利要求5所述的半导体装置,其特征在于,其中该阻障结构与该第一功函数堆叠和该第二功函数堆叠接触。
8.一种制造半导体装置的方法,其特征在于,包含:
形成一栅极介电层其在一第一鳍片结构、一第二鳍片结构、和介于该第一鳍片结构和该第二鳍片结构之间的一绝缘层上;
在该栅极介电层上和分别地在该第一鳍片结构和该第二鳍片结构上方形成一第一功函数堆叠和一第二功函数堆叠;
在介于该第一功函数堆叠和该第二功函数堆叠之间形成一沟槽;以及
在该沟槽中形成一导电的中间结构。
9.根据权利要求8所述的制造半导体装置的方法,其特征在于,其中形成该沟槽包含蚀刻该第一功函数堆叠的一第一部分和该第二功函数堆叠的一第二部分,其中该第一部分邻近于该第二部分。
10.根据权利要求8所述的制造半导体装置的方法,其特征在于,其中形成该沟槽包含:
蚀刻该第一功函数堆叠的一第一部分和该第二功函数堆叠的一第二部分,其中该第一部分邻近于该第二部分;以及
蚀刻该栅极介电层的一部分其低于该第一部分和该第二部分。
CN202110202805.4A 2020-06-26 2021-02-23 半导体结构、半导体装置、和制造半导体装置的方法 Pending CN113327925A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/913,429 2020-06-26
US16/913,429 US11430700B2 (en) 2020-06-26 2020-06-26 Trench isolation with conductive structures

Publications (1)

Publication Number Publication Date
CN113327925A true CN113327925A (zh) 2021-08-31

Family

ID=77414393

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110202805.4A Pending CN113327925A (zh) 2020-06-26 2021-02-23 半导体结构、半导体装置、和制造半导体装置的方法

Country Status (3)

Country Link
US (1) US11430700B2 (zh)
CN (1) CN113327925A (zh)
TW (1) TW202201630A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581438B2 (en) 2020-08-12 2023-02-14 United Microelectronics Corp. Fin structure for fin field effect transistor and method for fabrication the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10008601B2 (en) * 2016-10-17 2018-06-26 International Business Machines Corporation Self-aligned gate cut with polysilicon liner oxidation
CN107958872B (zh) * 2016-10-17 2020-09-08 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9911736B1 (en) * 2017-06-14 2018-03-06 Globalfoundries Inc. Method of forming field effect transistors with replacement metal gates and contacts and resulting structure
US10872826B2 (en) * 2018-10-31 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method

Also Published As

Publication number Publication date
US11430700B2 (en) 2022-08-30
TW202201630A (zh) 2022-01-01
US20230005796A1 (en) 2023-01-05
US20210407861A1 (en) 2021-12-30

Similar Documents

Publication Publication Date Title
US11855090B2 (en) High performance MOSFETs having varying channel structures
KR20190047572A (ko) 개선된 신뢰성 성능을 갖는 네거티브 커패시턴스 fet
US11923430B2 (en) Gate structure and patterning method for multiple threshold voltages
KR20210105324A (ko) 반도체 디바이스의 노이즈 격리를 위한 구조 및 방법
US10985064B2 (en) Buried power and ground in stacked vertical transport field effect transistors
US20240153953A1 (en) Method of manufacturing semiconductor devices and a semiconductor device
US20220406662A1 (en) High Voltage Transistor Structures
US10777468B1 (en) Stacked vertical field-effect transistors with sacrificial layer patterning
US20230122103A1 (en) Semiconductor device and manufacturing method thereof
US20230099320A1 (en) Method And Device For Forming Metal Gate Electrodes For Transistors
CN113363213A (zh) 半导体器件及其形成方法
US11430700B2 (en) Trench isolation with conductive structures
CN114975606A (zh) 半导体结构
US12033900B2 (en) Trench isolation with conductive structures
CN114038899A (zh) 多栅极半导体器件及半导体器件的形成方法
TW202230791A (zh) 半導體裝置及方法
CN218004866U (zh) 半导体装置
US20230135392A1 (en) Isolation structures for semiconductor devices
TWI809447B (zh) 半導體結構及其形成方法
US20240014265A1 (en) Gate Isolation Wall for Semiconductor Device
US20230395720A1 (en) Heterostructure channel layer for semiconductor devices
US20220359722A1 (en) Fin-End Gate Structures and Method Forming Same
TW202220057A (zh) 半導體裝置的製造方法
CN117096156A (zh) 半导体器件及其形成方法
CN114864493A (zh) 半导体装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20210831

WD01 Invention patent application deemed withdrawn after publication