CN113053754A - 半导体元件及其制造方法 - Google Patents

半导体元件及其制造方法 Download PDF

Info

Publication number
CN113053754A
CN113053754A CN202011414019.2A CN202011414019A CN113053754A CN 113053754 A CN113053754 A CN 113053754A CN 202011414019 A CN202011414019 A CN 202011414019A CN 113053754 A CN113053754 A CN 113053754A
Authority
CN
China
Prior art keywords
layer
source
drain
silicon
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011414019.2A
Other languages
English (en)
Inventor
蔡仲恩
鍾嘉哲
刘致为
吕芳谅
黄郁翔
叶泓佑
杜建德
刘亦浚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113053754A publication Critical patent/CN113053754A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02609Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种半导体元件及其制造方法,制造半导体元件的方法包括形成具有交替的第一半导体层和第二半导体层的堆叠的鳍片结构于基板上;形成虚设栅极结构横跨于鳍片结构上;蚀刻鳍片结构的多个部分以暴露基板的多个部分;形成源极/漏极应力源于基板的暴露的多个部分上;在形成源极/漏极应力源之后,去除虚设栅极结构;在去除虚设栅极结构之后,去除第一半导体层,使得第二半导体层悬浮在源极/漏极应力源之间;以及形成栅极结构以包围每个悬浮的第二半导体层。每个源极/漏极应力源均包括第一源极/漏极层和在第一源极/漏极层上方的第二源极/漏极层。第二源极/漏极层中的IV族元素或V族元素的原子浓度大于第一源极/漏极层中的IV族元素或V族元素的原子浓度。

Description

半导体元件及其制造方法
技术领域
本揭露涉及半导体元件及其制造方法。
背景技术
随着半导体工业为了追求更高的装置密度、更高的性能和更低的成本而向纳米技术制程节点发展,来自制造和设计问题的挑战催生了三维设计(例如,多栅极场效应晶体管(field effect transistor,FET),其包括鳍式场效应晶体管(Fin FET)和栅极全环场效应晶体管(gate-all-around FET))的发展。在鳍式场效应晶体管中,栅极与通道区域的三个侧表面相邻,并且栅极介电层介于它们之间。因为栅极结构在三个表面上围绕(包裹)鳍片,所以晶体管实质上有三个栅极来控制流过鳍片或通道区域的电流。不幸的是,在第四侧,通道的底部远离栅极,因此没有受到精准的栅极控制。相较之下,在栅极全环场效应晶体管中,通道区域的所有侧面都被栅极包围,这将允许在通道区域中产生更全面的空乏区,并且由于更陡的次临界电流摆幅(sub-threshold current swing,SS)而导致较少的短通道效应和较小的漏极引致能障下降(drain induced barrier lowering,DIBL)。
发明内容
依据本揭露的部分实施例,提供一种制造半导体元件的方法,包含:形成具有交替的多个第一半导体层和多个第二半导体层的堆叠的鳍片结构于基板上;形成虚设栅极结构横跨于鳍片结构上;蚀刻鳍片结构的横向地延伸超出虚设栅极结构的多个部分以暴露基板的多个部分;形成多个源极/漏极应力源于基板的暴露的部分上(其中形成源极/漏极应力源包含:形成第一源极/漏极层于基板的暴露的部分上;以及形成第二源极/漏极层于第一源极/漏极层上,其中第二源极/漏极层中的IV族元素或V族元素的原子浓度大于第一源极/漏极层中的IV族元素或V族元素的原子浓度);在形成源极/漏极应力源之后,去除虚设栅极结构;在去除虚设栅极结构之后,去除第一半导体层,使得第二半导体层悬浮在源极/漏极应力源之间;以及形成栅极结构以围绕悬浮的每个第二半导体层。
附图说明
当结合附图阅读时,根据以下详细地描述可以最好地理解本揭露的各方面。应理解,根据行业中的标准实践,各种特征未按比例绘制。实际上,为了清楚起见,各种特征的尺寸可以任意地增加或减小。
图1绘示根据本揭露实施例的制造过程的一个阶段中的栅极全环场效应晶体管半导体元件的透视图;
图2A至图13B绘示根据本揭露的部分实施例的用于制造栅极全环场效应晶体管的示例性顺序制程;
图14绘示根据本揭露的部分其他实施例的栅极全环场效应晶体管的透视图;
图15绘示根据本揭露的部分实施例的模拟结果的图示,此模拟结果绘示在p型栅极全环场效应晶体管的不同纳米片中的压缩应变;
图16绘示根据部分实施例的形成栅极全环场效应晶体管的方法的流程图;
图17至图30绘示根据本揭露的部分实施例中用于制造栅极全环场效应晶体管的示例性顺序制程;
图31绘示根据本揭露的部分实施例的模拟结果的图,此模拟结果绘示在执行通道释放制程之后在N型场效应晶体管区域中的纳米片的单轴拉伸应变;
图32至图34绘示根据本揭露的部分实施例中用于制造栅极全环场效应晶体管的示例性顺序制程;
图35绘示根据部分实施例的形成栅极全环场效应晶体管的方法的流程图;
图36绘示透过二次离子质谱仪(secondary ion mass spectroscopy,SIMS)测量观察到的N型场效应晶体管区域中各种化学元素的原子浓度的实验结果。
【符号说明】
100:基板
101:半导体层
102:纳米片
102a:纳米片
102b:纳米片
102c:纳米片
103:半导体层
104:纳米片
104a:纳米片
104b:纳米片
104c:纳米片
105:半导体层
106:纳米片
106a:纳米片
106b:纳米片
106c:纳米片
107:半导体层
108:纳米片
108a:纳米片
108b:纳米片
108c:纳米片
109:半导体层
110:遮罩
111:隔离绝缘层
112:虚设栅极结构
112d:虚设栅极介电层
112g:虚设栅极层
112m:硬遮罩层
113:再生长的源极/漏极层
113':再生长的源极/漏极层
114:再生长的源极/漏极层
114':再生长的源极/漏极层
115:再生长的源极/漏极层
116:再生长的源极/漏极层
117:源极/漏极应力源
117':源极/漏极应力源
117d:晶面
117u:晶面
118:遮罩
119:开口
120:栅极结构
121:栅极介电层
122:功函数金属层
123:填充金属
300:基板
301:块状硅基板
302:埋入氧化层
303:掺杂的半导体层
310:第一装置区域
320:第二装置区域
400:应变松弛缓冲层
401:缓冲层
412:半导体层
412':牺牲层
413:半导体层
413':纳米片
413CH:通道区域
413SD:源极/漏极区域
414:半导体层
414':牺牲层
415:半导体层
415':纳米片
415CH:通道区域
415SD:源极/漏极区域
416:半导体层
416':牺牲层
422:半导体层
422':牺牲层
423:半导体层
423':纳米片
423CH:通道区域
423SD:源极/漏极区域
424:半导体层
424':牺牲层
425:半导体层
425':纳米片
425CH:源极/漏极区域
425SD:源极/漏极区域
426:半导体层
426':牺牲层
430:介电层
441:虚设栅极
442:虚设栅极
450:间隔层
451:间隔物
452:间隔物
460:栅极结构
460':图案化的栅极结构
461:栅极介电层
461':图案化的栅极介电层
462:n型功函数金属层
462':图案化的n型功函数金属层
463:填充金属
463':图案化的填充金属
463P:金属垫
470:栅极结构
470':栅极结构
471:栅极介电层
471':图案化的栅极介电层
472:p型功函数金属层
472':图案化的功函数金属层
473:填充金属
473':图案化的填充金属
473P:金属垫
481:源极/漏极接触、接触
482:源极/漏极接触、接触
α:角度
AL1:退火制程
AL2:退火制程
AL3:退火制程
A-A:线
B-B:线
C-C:线
C1:曲线
C2:曲线
C3:曲线
C4:曲线
C5:曲线
C6:曲线
DL1:虚线
DL2:虚线
FS:鳍片结构
FS1:鳍片结构
FS2:鳍片结构
GT:栅极沟槽
ML1:遮罩
ML2:遮罩、遮罩层
OP1:源极/漏极接触开口
OP2:源极/漏极接触开口
S101:方框
S102:方框
S103:方框
S104:方框
S105:方框
S106:方框
S107:方框
S108:方框
S109:方框
S110:方框
S111:方框
S201:方框
S202:方框
S203:方框
S204:方框
S205:方框
S206:方框
S207:方框
S208:方框
S209:方框
S210:方框
t1:厚度
t2:厚度
X:方向
Y:方向
Z:方向
具体实施方式
以下公开提供了用于实现所提供的主题的不同特征的许多不同的实施例或示例。以下描述元件和配置的特定示例以简化本揭露。当然,这些仅仅是示例,而无意于进行限制。例如,在下面的描述中,在第二特征之上或上方形成第一特征可以包括第一特征和第二特征直接接触的实施例,并且还可以包括在第一特征和第二特征之间形成附加特征使得第一特征和第二特征可以不直接接触的实施例。另外,本揭露可以在各个示例中重复参考数字和/或文字。此重复是出于简单和清楚的目的,并且其本身并不指示所讨论的各种实施例和/或配置之间的关系。
更甚者,空间相对的词汇(例如,“低于”、“下方”、“之下”、“上方”、“之上”等相关词汇)于此用以简单描述如图所示的元件或特征与另一元件或特征的关系。在使用或操作时,除了图中所绘示的转向之外,这些空间相对的词汇涵盖装置的不同的转向。再者,这些装置可旋转(旋转90度或其他角度),且在此使用的空间相对的描述语可作对应的解读。
图1绘示根据本揭露实施例的制造过程的一个阶段中的栅极全环场效应晶体管半导体元件的立体透视图。一个或多个栅极结构120在X方向上延伸并设置于在Y方向上延伸的一个或多个鳍片结构FS上。X方向实质上垂直于Y方向。鳍片结构FS形成在半导体基板100上方。鳍片结构FS的下部嵌入隔离绝缘层111中,并且栅极结构120围绕垂直地配置在鳍片结构FS上方的半导体纳米片102、104、106和108。源极/漏极应力源117形成在栅极结构120的相对侧上。每个源极/漏极应力源117均包括两个或更多个再生长的源极/漏极层(例如,图1所示的层113、114、115和116)。每个再生长的源极/漏极层均具有第一化学元素(例如,硅)和第二化学元素(例如,锗),然而第二化学元素与第一化学元素的原子比不同。以此方式,可以调整再生长的源极/漏极层之间的原子比(例如,锗与硅的原子比)差异,以减少在半导体纳米片102、104、106和108之间的应变失配(strain mismatch)(其将在下面更详细地解释)。
图2A至图13B绘示根据本揭露的部分实施例的用于制造栅极全环场效应晶体管的示例性顺序过程。应该理解,可以在图2A至图13B所示的制程之前、期间和之后提供附加的操作,并且下面描述的一些操作可以被替换或消除以作为此方法的另外的实施例。操作/过程的顺序可以互换。在图2A至图11B、图12A、图13A和图13B中,“A”图(例如,图2A)绘示对应于图1所示的线A-A沿着Y方向的剖面图,图2B、图3B、图4B、图5B、图6B、图11B和图13B绘示对应于图1所示的线B-B沿着X方向的剖面图。图7B、图8B、图9B和图10B绘示对应于图1所示的线C-C沿着X方向的剖面图。图12B绘示对应于图12A的剖面图的中间阶段的立体图。
如图2A和图2B所示,提供半导体基板100。在部分实施例中,基板100可以是半导体基板(例如,块状半导体基板(bulk semiconductor substrate)、绝缘体上半导体(semiconductor-on-insulator,SOI)基板、多层或梯度基板等)。基板100可以包括半导体材料(例如,包括硅(Si)和锗(Ge)的元素半导体;包括硅碳(SiC)、硅锗(SiGe)、锗锡(GeSn)、砷化镓(GaAs)、磷化镓(GaP)、磷砷化镓(GaAsP)、砷铟化铝(AlInAs)、砷镓化铝(AlGaAs)、砷铟化镓(GaInAs)、砷化铟(InAs)、磷铟化镓(GaInP)、磷化铟(InP)、锑化铟(InSb)、磷砷铟化镓(GaInAsP)的化合物或合金半导体;以及它们的组合等)。基板100可以是掺杂的或实质上未掺杂的。在特定示例中,基板100是块状硅基板,其可以是晶片。
基板100可以在其表面区域中包括一个或多个缓冲层(未绘示)。缓冲层可以用来使晶格常数从基板的晶格常数逐渐改变为源极/漏极区域的晶格常数。缓冲层可以由磊晶生长的单晶半导体材料(例如,但不限于硅(Si)、锗(Ge)、锗锡(GeSn)、硅锗(SiGe)、镓砷(GaAs)、铟鍗(InSb)、镓磷(GaP)、镓鍗(GaSb)、砷铝化铟(InAlAs)、砷镓化铟(InGaAs)、磷鍗化镓(GaSbP)、鍗砷化镓(GaAsSb)、镓氮(GaN)、镓磷(GaP)和铟磷(InP))形成。
将杂质离子(可互换地称为掺杂剂)布植到硅基板100中以形成井区(未绘示)。进行离子布植以防止贯穿效应(punch-through effect)。基板100可以包括已经适当地掺杂有杂质(例如,p型或n型导电性)的各种区域。例如,对于n型栅极全环场效应晶体管,掺杂剂是硼(二氟化硼(BF2)),而对于p型栅极全环场效应晶体管,掺杂剂是磷。
在本揭露的部分实施例中,如图2A和图2B所示,制备了层堆叠(layerstack)。在基板100上形成第一半导体层(第一缓冲层)101。在第一半导体层101上形成第二半导体层(第一通道层)102。在第二半导体层102上形成另一个第一半导体层(第二缓冲层)103。在另一个第一半导体层103上形成另一个第二半导体层(第二通道层)104。在第二半导体层104上形成另一个第一半导体层(第三缓冲层)105。在第一半导体层105上形成另一第二半导体层(第三通道层)106。在第二半导体层106上形成另一个第一半导体层(第四缓冲层)107。在第一半导体层107上形成另一个第二半导体层(第四通道层)108。在第二半导体层108上形成另一个第一半导体层(第五缓冲层)109。
在部分实施例中,第一半导体层和第二半导体层交替地堆叠,使得第一半导体层和第二半导体层中的每一个均具有多于两层。在部分实施例中,成为纳米片或通道层的每个第二半导体层可以由不同的材料形成。根据它们的几何形状,纳米片可以互换地称为纳米线(nanowire)、纳米平板(nanoslab)和纳米环(nanoring)。在部分实施例中,第二半导体层的晶格常数大于第一半导体层的晶格常数。在其他实施例中,第二半导体层的晶格常数小于第一半导体层的晶格常数。
在部分实施例中,第一半导体层和第二半导体层由选自于硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的群组的不同材料制成。在部分实施例中,第一半导体层和第二半导体层透过磊晶形成。在部分实施例中,硅锗(SiGe)是Si1-xGex,其中0.1≤x≤0.9。
在部分实施例中,第一半导体层101、103、105、107和109由第一半导体材料形成。在部分实施例中,第一半导体材料包括第一IV族元素和第二IV族元素。IV族元素选自于由碳(C)、硅(Si)、锗(Ge)、锡(Sn)以及铅(Pb)所组成的群组。在部分实施例中,第一IV族元素是硅(Si),第二IV族元素是锗(Ge)。在部分实施例中,第一半导体材料是Si1-xGex,其中0.2≤x≤0.9。例如,第一半导体材料是Si0.8Ge0.2。在部分实施例中,第一半导体层101、103、105、107和109具有实质上相同的硅与锗的原子比(例如,Si0.8Ge0.2)。
在部分实施例中,第二半导体层102、104、106和108由第二半导体材料形成。在部分实施例中,第二半导体材料包括第一IV族元素和第二IV族元素。在部分实施例中,第一IV族元素是硅(Si),而第二IV族元素是锗(Ge)。在部分实施例中,第二半导体材料中第一IV族元素和第二IV族元素的量与第一半导体材料中第一IV族元素和第二IV族元素的量不同。在部分实施例中,第一半导体材料中锗(Ge)的量大于第二半导体材料中锗(Ge)的量。例如,第二半导体材料是Si1-yGey,其中0.1≤y≤0.8,并且x>y。在部分实施例中,第二半导体材料包括III族元素和V族元素。在部分实施例中,第二半导体材料是硅。换句话说,第二半导体材料实质上不含锗。
第一半导体层101、103、105、107、109和第二半导体层102、104、106和108可以透过一个或多个磊晶制程形成。磊晶制程包括化学气相沉积(chemical vapor deposition,CVD)沉积技术(例如,气相磊晶(vapor-phase epitaxy,VPE)和/或超高真空化学气相沉积(ultra-high vacuum chemical vapor deposition,UHV-CVD))、分子束磊晶(molecularbeam epitaxy,MBE)和/或其他合适的制程。
在部分实施例中,沿Z方向测量的第二半导体层(例如,通道层)102、104、106和108的厚度t2在大约5纳米(nm)至大约10纳米的范围内。第一半导体层101、103、105、107和109不比第二半导体层102、104、106和108薄。例如,第一半导体层的厚度t1和第二半导体层的厚度t2的关系为t1/t2=1至t1/t2=8。在部分实施例中,沿Z方向测量的第一半导体层的厚度t1在约10纳米至约40纳米的范围内。
在完成层堆叠的磊晶生长制程之后,在最上面的第一半导体层109上形成图案化的遮罩110。图案化的遮罩110的形成可以透过在最上面的第一半导体层109上沉积遮罩层,接着使用适当的微影和蚀刻技术将遮罩层图案化成图案化的遮罩110。图案化的遮罩110包括氮化硅(Si3N4)、氧化硅等或其组合。
在形成图案化的遮罩110之后,对层堆叠执行图案化制程以形成鳍片结构FS(如图3A和图3B所示)。在部分实施例中,图案化制程包括一个或多个蚀刻制程,其中图案化的遮罩层110作为蚀刻遮罩。一个或多个蚀刻制程可以包括湿式蚀刻制程、非等向性干式蚀刻制程或其组合,并且可以使用一种或多种蚀刻剂,其以比其蚀刻图案化的遮罩层110更快的蚀刻速率来蚀刻第一半导体层和第二半导体层(101至109)。在部分其他实施例中,尽管图3B所示的鳍片结构FS具有垂直的侧壁,然而蚀刻制程可能导致锥形的侧壁(如虚线DL1所示)。
一旦形成了鳍片结构FS,就在鳍片结构FS的下部周围形成浅沟槽隔离区域111(可互换地称为隔离绝缘层)(如图4A和图4B所示)。可以透过沉积一种或多种介电材料(例如,氧化硅)以完全地填充鳍片结构FS周围的沟槽,然后使介电材料的顶表面下陷来形成浅沟槽隔离区域111。浅沟槽隔离区域111的介电材料的沉积可以使用高密度电浆化学气相沉积(high density plasma chemical vapor deposition,HDP-CVD)、低压化学气相沉积(low-pressure chemical vapor deposition,LPCVD)、次大气压化学气相沉积(sub-atmospheric chemical vapor deposition SACVD)、可流动化学气相沉积(flowablechemical vapor deposition,FCVD)、旋转涂布和/或类似物或其组合。在沉积之后,可以执行退火制程或固化制程。在部分情况下,浅沟槽隔离区域111可以包括衬垫(例如,透过氧化鳍片结构FS和基板100的硅表面或硅锗表面而生长的热氧化物衬垫)。下陷制程可以使用诸如平坦化制程(例如,化学机械平坦化(chemical mechanical polish,CMP)),接着进行选择性蚀刻制程(例如,湿式蚀刻或干式蚀刻或其组合),以使浅沟槽隔离区域111中的介电材料的上表面下陷,使得鳍片结构FS的上部从周围的绝缘浅沟槽隔离区域111中突出。
在形成浅沟槽隔离区域111之后,在鳍片结构FS上方形成虚设栅极结构112(如图5A和图5B所示)。虚设栅极结构112具有与鳍片结构FS的长度方向垂直的长度方向。虚设栅极结构112包括虚设栅极介电层112d、在虚设栅极介电层112d上方的虚设栅极层112g和硬遮罩层112m。虚设栅极介电层112d可以是例如氧化硅、氮化硅或其组合等,并且可以根据可接受的技术沉积或热生长。虚设栅极层112g可以沉积在虚设栅极介电层112d上方,然后例如透过化学机械平坦化制程被平坦化。硬遮罩层112m可以沉积在虚设栅极层112g上方。虚设栅极层112g可以是导电材料或非导电材料,并且可以选自由非晶硅、多晶硅(polysilicon)、多晶硅锗(poly-SiGe)、金属氮化物、金属硅化物、金属氧化物以及金属所组成的群组。可以透过物理气相沉积(physical vapor deposition,PVD)、化学气相沉积、溅镀沉积等来沉积虚设栅极层112g。硬遮罩层112m可以包括例如氮化硅、氮氧化硅等。
一旦沉积了虚设栅极介电层112d、虚设栅极层112g和硬遮罩层112m之后,便可使用可接受的微影和蚀刻技术将硬遮罩层112m图案化成硬遮罩。然后透过可接受的蚀刻技术将硬遮罩的图案转移到下面的虚设栅极层112g和虚设栅极介电层112d,以形成虚设栅极结构112,此虚设栅极结构112具有在X方向上延伸的长轴,此长轴垂直于在Y方向上延伸的鳍片结构FS的长轴。
在随后的步骤中,例如,在非等向性蚀刻步骤中,去除沿着Y方向横向地延伸超出虚设栅极结构112的暴露的鳍片遮罩110和下面的鳍片结构FS,直到暴露出基板100为止。所得到的结构绘示于图6A和图6B中。使用蚀刻遮罩110和鳍片结构FS并且几乎不蚀刻栅极硬遮罩112m的蚀刻剂进行蚀刻。换句话说,栅极硬遮罩112m对蚀刻制程的蚀刻抵抗力比鳍片遮罩110和鳍片结构FS的蚀刻抵抗力高。因此,在蚀刻步骤中,栅极结构112的高度实质上没有减小。尽管在图6A中绘示的所得的鳍片结构FS具有垂直的侧壁,但是在部分其他实施例中,蚀刻制程可能导致锥形的侧壁(如虚线DL2所示)。
在部分实施例中,可以透过使用电浆源和蚀刻剂气体的干式化学蚀刻来蚀刻鳍片结构FS。电浆源可以是感应耦合电浆(inductively coupled plasma,ICR)蚀刻、变压器耦合电浆(transformer coupled plasma,TCP)蚀刻、电子回旋共振(electron cyclotronresonance,ECR)蚀刻、反应离子蚀刻(reactive ion etch,RIE)等,并且蚀刻剂气体可以是氟、氯、溴或其组合等,其以比其蚀刻栅极硬遮罩112m更快的蚀刻速率来蚀刻鳍片结构FS。在蚀刻完鳍片结构FS之后,选择性地在暴露的基板100上执行清洁制程,以透过使用例如稀释氢氟酸(diluted hydrofluoric acid,HF)溶液去除在硅表面上的任何可能的氧化物的形成。
在随后的步骤中,执行硅锗磊晶制程以在暴露的基板100上磊晶生长具有多个磊晶硅锗层(例如,层113、114、115和116)的源极/漏极应力源117(如在图7A至图10B中所示)。硅锗层(可互换地称为再生长的源极/漏极层)113、114、115和116的形成是“原位”进行的,而不会中断磊晶制程。硅锗层113至116具有不同的锗与硅原子比(即,不同的锗原子浓度),这将减小半导体纳米片之间的应变失配。
可以透过在连续磊晶生长期间改变锗前驱物气体与硅前驱物之间的流量比来实现层113至116之间的锗(Ge)与硅(Si)原子比的差异。例如,在连续磊晶生长的初始阶段,在第一制程条件下形成硅锗层113(如图7A和图7B所示)。然后,在第二制程条件下继续原位磊晶制程,并且在硅锗层113上方形成下一个硅锗层114(如图8A和图8B所示)。然后,在第三制程条件下继续原位磊晶制程,并且在硅锗层114上方形成下一个硅锗层115(如图9A和图9B所示)。然后,在第四制程条件下继续原位磊晶制程,并且在硅锗层115上方形成下一个硅锗层116(如图10A和图10B所示)。第一制程条件、第二制程条件、第三制程条件和第四制程条件至少在锗(Ge)前驱物气体与硅(Si)前驱物气体的流量比方面是不同的,从而使层113至116具有不同的锗(Ge)与硅(Si)原子比。
这些硅锗层113至116以自下而上的方式生长。例如,可以透过磊晶沉积/部分蚀刻制程来生长硅锗层113至116,其至少重复一次磊晶沉积/部分蚀刻制程。这种重复的沉积/部分蚀刻制程也称为循环沉积蚀刻(cyclic deposition-etch,CDE)制程。在部分实施例中,透过选择性磊晶生长(selective epitaxial growth,SEG)来生长这些硅锗层113至116,其中添加蚀刻气体以促进硅锗的选择性地生长,使硅锗从与(100)晶面平行的基板100的暴露的顶表面生长,但几乎不从与(110)晶面平行的鳍片结构FS的侧壁生长。例如,使用反应气体磊晶生长硅锗层113至116(例如,使用盐酸(HCl)作为蚀刻气体、使用甲锗烷(GeH4)作为锗(Ge)前驱物气体、使用二氯硅烷(DCS)和/或硅烷(SiH4)作为硅(Si)前驱物气体、使用乙硼烷(B2H6)作为硼(B)掺杂剂(p型掺杂剂)前驱物、使用氢气(H2)和/或氮气(N2))。在部分实施例中,蚀刻气体可以是其他含氯气体或含溴气体(例如,氯气(Cl2)、三氯化硼(BCl3)、三氯化铋(BiCl3)、三溴化铋(BiBr3)等)。
由于不同的晶面取向,基板100的水平表面上的生长速率与鳍片结构FS的垂直侧壁上的生长速率不同。例如,在(100)晶面(例如,基板100的水平表面)上的硅锗生长比在(110)晶面(例如,鳍片结构FS的侧壁)上的硅锗生长至少快三倍(3X)。因此,自底向上生长制程结合蚀刻气体透过防止硅锗在鳍片结构FS的侧壁上生长来促进自底向上的硅锗生长。例如,从基板100的水平表面生长的硅锗的生长速率比从鳍片结构FS的侧壁生长的硅锗的生长速率高。蚀刻气体以相似的蚀刻速率去除了从鳍片结构FS的侧壁生长的硅锗以及从基板100的水平表面生长的一些硅锗。然而,由于从基板100的水平表面生长的硅锗的生长速度比从鳍片结构FS的侧壁生长的硅锗的生长速度快,所以综合的结果是硅锗将实质上自下而上生长。作为示例而非限制,在循环沉积蚀刻制程的每个沉积蚀刻循环中,一旦暴露鳍片结构FS的侧壁就停止蚀刻步骤,而在蚀刻停止后,从基板100的水平表面生长的硅锗仍会保留在基板100上,因为它比从鳍片结构FS的侧壁生长的硅锗厚。以这样的方式便可以实现自下而上的增长。
为了在这些层113至116中获得锗与硅的不同原子比,针对其各自的生长过程,改变锗(Ge)前驱物气体(例如,锗甲烷(GeH4))与硅(Si)前驱物气体(例如,硅甲烷(SiH4))的流量比(Ge-to-Si precursor flow rate ratio)。例如,在层114的磊晶生长期间的锗(Ge)与硅(Si)前驱物的流量比大于在层113的磊晶生长期间的锗(Ge)与硅(Si)前驱物的流量比,在层115的磊晶生长期间的锗(Ge)与硅(Si)前驱物的流量比大于在层114的磊晶生长期间的锗(Ge)与硅(Si)前驱物的流量比,并且在层116的磊晶生长期间的锗(Ge)与硅(Si)前驱物的流量比大于在层115的磊晶生长期间的锗(Ge)与硅(Si)前驱物的流量比。以这种方式,层116的锗(Ge)与硅(Si)原子比116大于层115的锗(Ge)与硅(Si)原子比,层115的锗(Ge)与硅(Si)原子比大于层114的锗(Ge)与硅(Si)原子比,并且层114的锗(Ge)与硅(Si)原子比大于层113的锗(Ge)与硅(Si)原子比。作为示例而非限制,层113是Si0.45Ge0.55,层114是Si0.44Ge0.56,层115是Si0.43Ge0.57,并且层116是Si0.40Ge0.60
通道层102(例如,硅层)与硅锗层113接触,因此硅锗层113可以在通道层102中引起压缩应变。这是因为锗的本质晶格常数(intrinsic lattice constant)比硅大。出于类似的原因,与硅锗层114接触的通道层104、与硅锗层115接触的通道层106以及与硅锗层116接触的通道层108均经历压缩应变。
在部分实施例中,通道层102与硅锗层114、115和116间隔开,使得硅锗层113主导通道层102中的压缩应变。类似地,通道层104与硅锗层113、115和116间隔开,因此硅锗层114主导通道层104中的压缩应变。通道层106与硅锗层113、114和116间隔开,因此经受由硅锗层115主导的压缩应变。通道层108与硅锗层113、114和115间隔开,因此经受由硅锗层116主导的压缩应变。
通过观察可知,如果将栅极全环场效应晶体管的通道层设置在具有均匀的锗(Ge)与硅(Si)原子比的硅锗(SiGe)应力源之间,则较高的通道层将经历较低的压缩应变,从而导致在通道层之间的压缩应变失配。然而,因为层116具有比下面的层115更大的锗(Ge)与硅(Si)原子比,层115具有比下面的层114更大的锗(Ge)与硅(Si)原子比,并且层114具有比下面的层113更大的锗(Ge)与硅(Si)原子比,因此可以减轻通道层102、104、106和108之间的压缩应变失配,这将进而改善通道层102、104、106和108之间的载子迁移率的均匀性。
在部分实施例中,每个硅锗层中的合金成分实质上是均匀的。例如,在层113中的每个位置,层113是Si0.45Ge0.55;在层114中每个位置,层114是Si0.44Ge0.56;在层115中每个位置,层115是Si0.43Ge0.57;在层116中的每个位置,层116是Si0.40Ge0.60。在其他部分实施例中,在每个硅锗层中的合金成分是渐变的。在部分实施例中,可以透过同时进行原位掺杂和磊晶生长、在磊晶生长的后布植离子或两者来使至少一个硅锗层不被掺杂或被p型掺杂剂(例如,硼或镓)掺杂。在部分实施例中,每个硅锗中的掺杂剂分布(例如,硼浓度分布)可以是箱形分布、高斯分布、误差函数(erf)分布等。在部分实施例中,每个硅锗层可以是应变的或部分松弛的。
在部分实施例中,硅锗层113至116的厚度各自在约5纳米至约500纳米的范围内。在部分实施例中,硅锗层113至116的厚度相同或不同。在部分实施例中,当从沿着垂直于鳍片结构FS长轴的方向截取的剖面(例如,如图10B所示的剖面图)中观察时,底部硅锗层113的几何形状可以是菱形、圆形、多边形等。在部分实施例中,当从沿着垂直于鳍片结构FS的长轴的方向截取的剖面中观察时,上部硅锗层114、115和116可以是Λ形、圆形、多边形或类似的形状。
由于在不同的表面平面上的不同的生长速率,可以形成晶面。例如,具有(111)表面取向的表面的生长速率低于其他平面(例如,(110)和(100)平面)的生长速率。因此,当在如图10B所示的剖面中观察时,所得的源极/漏极应力源117具有晶面117u和117d,其具有(111)表面取向(换言之,在(111)平面上)。在整个说明书中,背向基板100的晶面117u被称为向上倾斜的晶面,而朝向基板100的晶面117d被称为向下倾斜的晶面。向下倾斜的晶面117d和浅沟槽隔离区域111的各自的顶表面可以具有角度α,此角度α可以从大约50度到大约60度。尽管所描绘的源极/漏极应力源有具有(111)表面取向的晶面,但是在部分其他实施例中,根据磊晶生长的制程条件,源极/漏极应力源的晶面可以具有{311}、{100}、{911}晶面或圆形的表面。
在通道层102、104、106和108由硅制成的部分实施例中,再生长的源极/漏极层113是Si1-aGea,再生长的源极/漏极层114是Si1-bGeb,再生长的源极/漏极层115是Si1-cGec,并且再生长的源极/漏极层116是Si1-dGed,其中0.3≤a<b<c<d≤0.8。
在通道层102、104、106和108由硅锗制成的部分实施例中,与再生长的源极/漏极层113至116相比,通道层102、104、106和108可具有较低的锗原子浓度(或较低的锗与硅原子比),因此再生长的源极/漏极层113至116可以在相应的通道层102、104、106和108中引起压缩应变,这将增加在通道层102、104、106和108中的空穴迁移率(hole mobility),从而改善了所得的p型栅极全环场效应晶体管的装置性能。作为示例而非限制,通道层102、104、106和108是Si1-xGex,其中0<x<1,并且再生长的源极/漏极层113/114/115/116分别是Si1- aGea/Si1-bGeb/Si1-cGec/Si1-dGed,其中0.3≤a<b<c<d≤l,x<a<b<c<d。
尽管以上讨论的源极/漏极层113至116是硅锗,但是在一些其他实施例中,源极/漏极层113至116可以是其他材料。例如,在通道层102、104、106和108由锗锡(GeSn)制成的部分实施例中,再生长的源极/漏极层113至116也由锗锡制成,但是相较于通道层102、104、106和108具有更高的锡原子浓度(或较高的锡与锗原子比),从而对各个通道层102、104、106和108产生压缩应变,因此提高了所得的p型栅极全环场效应晶体管的空穴迁移率。作为示例而非限制,通道层102、104、106和108是Ge1-xSnx,其中0<x<0.3,并且再生长的源极/漏极层113/114/115/116分别是Ge1-aSna/Ge1-bSnb/Ge1-cSnc/Ge1-dSnd,其中0<a<b<c<d≤0.3,x<a<b<c<d。在这样的实施例中,源极/漏极层113以锡前驱物气体(例如,四氯化锡(SnCl4))与锗前驱物气体(例如,锗甲烷(GeH4))的第一流量比磊晶生长,源极/漏极层114以大于此第一锡(Sn)与锗(Ge)前驱物流量比(Sn-to-Ge precursor flow rate ratio)的第二锡(Sn)与锗(Ge)前驱物流量比磊晶生长,源极/漏极层115以大于第二锡(Sn)与锗(Ge)前驱物流量比的第三锡(Sn)与锗(Ge)前驱物流量比磊晶生长,并且源极/漏极层116以大于第三锡(Sn)与锗(Ge)前驱物流量比的第四锡(Sn)与锗(Ge)前驱物流量比磊晶生长。
在其他部分实施例中,通道层102、104、106和108由硅制成,源极/漏极层113至116由硅磷制成。因此,再生长的源极/漏极层113至116可在相应的通道层102、104、106和108中引起拉伸应变,这将增加通道层102、104、106和108中的电子迁移率,从而改善了所得的n型栅极全环场效应晶体管的装置性能。作为示例而非限制,通道层102、104、106和108是硅,并且再生长的源极/漏极层113/114/115/116分别是Si1-aPa/Si1-bPb/Si1-cPc/Si1-dPd,其中0.002≤a<b<c<d≤0.2。在这样的实施例中,源极/漏极层113以磷前驱物气体(例如,磷化氢(PH3))与硅前驱物气体(例如,硅甲烷(SiH4))的第一流量比磊晶生长,而源极/漏极层114以大于第一磷(P)与硅(Si)前驱物流量比的第二磷(P)与硅(Si)前驱物流量比磊晶生长,源极/漏极层115以大于第二磷(P)与硅(Si)前驱物流量比的第三磷(P)与硅(Si)前驱物流量比磊晶生长,并且源极/漏极层116以大于第三磷(P)与硅(Si)前驱物流量比的第四磷(P)与硅(Si)前驱物流量比磊晶生长。在n型栅极全环场效应晶体管的部分其他实施例中,源极/漏极层113至116可以由掺杂砷的硅制成。
一旦形成了源极/漏极应力源117,便去除虚设栅极结构112和遮罩110以暴露鳍片结构FS。所得到的结构绘示于图11A至图11B。例如,可以使用选择性蚀刻制程来去除虚设栅极结构112,此选择性蚀刻制程以比其蚀刻基板100上的其他材料更快的蚀刻速率蚀刻虚设栅极结构112。在去除虚设栅极结构112以暴露出遮罩110之后,例如,使用另一种选择性蚀刻制程去除遮罩110,此蚀刻制程以比其蚀刻基板100上的其他材料更快的蚀刻速率蚀刻遮罩110。
在部分实施例中,可以在蚀刻虚设栅极结构112之前,在源极/漏极应力源117上方选择性地形成另一个遮罩118。如此,可以保护源极/漏极应力源117免受在去除虚设栅极结构112和遮罩110中使用的蚀刻剂的影响。可以透过在基板100上沉积遮罩层,然后使用适当的微影和蚀刻技术将遮罩层图案化成图案化的遮罩118。图案化的遮罩118包括氮化硅(Si3N4)、氧化硅等或其组合。
之后,使用遮罩118作为蚀刻遮罩,透过选择性蚀刻制程去除缓冲层101、103,105、107和109,从而在相邻的通道层102、104、106和108之间形成开口119。所得的结构绘示在图12A和图12B中。以此方式,通道层102、104、106和108变成悬浮在基板100上方并在源极/漏极应力源117之间。此步骤可以互换地称为通道释放制程(channel release process)。在此中间处理步骤中,开口119可以充满周围环境条件(例如,空气、氮气等)。选择性蚀刻制程以比其去除通道层102的材料(例如,硅)更快的速率去除缓冲层101、103、105、107和109的材料(例如,Si0.8Ge0.2)(或者,通道层102的材料(例如,硅)也可能未被去除)。作为示例而非限制,蚀刻制程可以包括使用诸如过氧化氢(H2O2)、氢氧化铵(NH4OH)、氢氧化四甲铵(tetramethyalammonium hydroxide,TMAH)的蚀刻剂或另一种蚀刻剂的湿式蚀刻制程。在部分实施例中,蚀刻制程可以包括使用高温盐酸(HCl)气体的化学气相蚀刻制程。在另外的实施例中,蚀刻制程可以包括使用由四氟化碳(CF4)气体产生的电浆的反应离子蚀刻制程。在通道释放制程完成之后,可以使用选择性蚀刻制程去除图案化的遮罩118(例如,如果遮罩118由氮化硅制成,则使用热磷酸(H3PO4)的湿式蚀刻制程)。
此后,如图13A和图13B所示,形成栅极结构。此栅极结构可以是栅极全环场效应晶体管的最终栅极。最终的栅极结构可以是高介电常数/金属栅极堆叠,然而其它组成也是可能的。在部分实施例中,栅极结构形成与由通道区域中的多个纳米片(现在在它们之间具有开口)提供的多通道相关联的栅极。例如,高介电常数/金属栅极结构120形成在由释放纳米片102、104、106和108提供的开口119内。在各种实施例中,高介电常数/金属栅极结构120包括围绕纳米片102、104、106和108形成的栅极介电层121,形成在栅极介电层121上方的功函数金属层122和形成在功函数金属层122上方的填充金属123。栅极介电层121包括界面层(例如,氧化硅层)和在界面层上的高介电常数栅极介电层。如本文所使用和描述的,高介电常数栅极介电质包括具有高介电常数的介电质材料(例如,介电常数大于热氧化硅的介电常数(约3.9)的介电质材料)。在高介电常数/金属栅极堆叠中使用的功函数金属层和/或填充金属层可以包括金属、金属合金或金属硅化物。另外,高介电常数/金属栅极堆叠的形成可包括形成各种栅极材料、一个或多个衬垫层的沉积制程,以及去除多余的栅极材料的一个或多个化学机械平坦化制程。如图13B所示,所得到的高介电常数/金属栅极堆叠120围绕每个纳米片102、104、106和108,因此被称为栅极全环场效应晶体管。
在部分实施例中,栅极介电层121的界面层可以包括介电材料(例如,氧化硅(SiO2)、硅氧化铪(HfSiO)或氮氧化硅(SiON))。界面层可以透过化学氧化、热氧化、原子层沉积(atomic layer deposition,ALD)、化学气相沉积(chemical vapor deposition,CVD)和/或其他合适的方法形成。栅极介电层121的高介电常数介电层可以包括氧化铪(HfO2)。选择性地,栅极堆叠120的栅极介电层121可以包括其他高介电常数介电质(例如,氧化硅铪(HfSiO)、氧氮化铪(HfON)、氮氧硅化铪(HfSiON)、氧化钽铪(HfTaO)、氧化钛铪(HfTiO)、氧化锆铪(HfZrO)、氧化镧(La2O3)、氧化锆(ZrO2)、氧化钛(TiO2)、氧化钽(Ta2O5)、氧化钇(Y2O3)、钛酸锶(SrTiO3(STO))、钛酸钡(BaTiO3(BTO))、氧化锆钡(BaZrO)、氧化镧铪(HfLaO)、氧化硅镧(LaSiO)、氧化硅铝(AlSiO)、氧化铝(Al2O3)、氮化硅(Si3N4)、氮氧化硅(SiON)及其组合)。
功函数金属层122可以包括功函数金属,以替高介电常数/金属栅极堆叠120提供合适的功函数。对于n型栅极全环场效应晶体管,功函数金属层122可以包括一个或多个n型功函数金属(N-metal)。n型功函数金属可以示例性地包括但不限于铝化钛(TiAl)、氮化铝钛(TiAlN)、碳氮化钽(TaCN)、铪(Hf)、锆(Zr)、钛(Ti)、钽(Ta)、铝(Al)、钨(W)、金属碳化物(例如,碳化铪(HfC)、碳化锆(ZrC)、碳化钛(TiC)、碳化铝(AlC)、碳化钨(WC))、铝化物和/或其他合适的材料。另一方面,对于p型栅极全环场效应晶体管,功函数金属层122可以包括一种或多种p型功函数金属(P-metal)。p型功函数金属可示例性地包括但不限于氮化钛(TiN)、氮化钨(WN)、钨(W)、钌(Ru)、钯(Pd)、铂(Pt)、钴(Co)、镍(Ni)、导电金属氧化物和/或其他合适的材料。
填充金属123可以示例性地包括但不限于钨、铝、铜、镍、钴、钛、钽、氮化钛、氮化钽、氮化钨、硅化镍、硅化钴、碳化钽(TaC)、氮化硅钽(TaSiN)、氮化碳钽(TaCN)、铝化钛(TiAl)、氮铝化钛(TiAlN)或其他合适的材料。
尽管以上讨论的实施例中的源极/漏极应力源包括四个磊晶源极/漏极层,但是在一些其他实施例中的源极/漏极应力源可以包括多于四个或少于四个磊晶源极/漏极层。例如,图14绘示根据本揭露的部分其他实施例的栅极全环场效应晶体管的透视图。图14绘示与图1实质上相同的结构,除了源极/漏极应力源117'包括两个再生长的源极/漏极层113'和114'而不是如图1所示的四个源极/漏极层之外。再生长的源极/漏极层113'和114'是硅锗,但锗与硅的原子比不同。例如,再生长的源极/漏极层113'是Si0.45Ge0.55,而再生长的源极/漏极层114'是Si0.40Ge0.60。因为上部的再生长层114'具有比下部的再生长层113'更大的锗与硅原子比,因此可以减少纳米片102、104、106和108之间的压缩应变失配。
图15绘示在p型栅极全环场效应晶体管的不同纳米片中的压缩应变的模拟结果,其中在图15的纵轴上绘示压缩应变,并且在图15的横轴上绘示与基板的垂直距离。在条件#1中,垂直配置的纳米片102a、104a、106a和108a横向地配置在两个源极/漏极应力源之间,其中每个源极/漏极应力源均由实质上均匀的Si0.45Ge0.55组成。在条件#2中,垂直配置的纳米片102b、104b、106b和108b横向地设置在两个源极/漏极应力源之间,其中每个源极/漏极应力源均由再生长的Si0.45Ge0.55层和在再生长的Si0.45Ge0.55层上方的再生长的Si0.40Ge0.60层组成(例如,图14中所示的源极/漏极应力源117')。在条件#3中,垂直配置的纳米片102c、104c、106c和108c横向地配置在两个源极/漏极应力源之间,其中每个源极/漏极应力源均由再生长的Si0.45Ge0.55层,在再生长的Si0.45Ge0.55层上方的再生长的Si0.44Ge0.56层,在再生长的Si0.44Ge0.56层上方的再生长的Si0.43Ge0.57层,以及在再生长的Si0.43Ge0.57层上方的再生长的Si0.40Ge0.60层组成(例如,图1所示的源极/漏极应力源117)。
在条件#1中,纳米片104a的压缩应变低于纳米片102a的压缩应变,纳米片106a的压缩应变低于纳米片104a的压缩应变,并且纳米片108a的压缩应变低于纳米片106a的压缩应变。这证明如果源极/漏极应力源由均匀的Si0.45Ge0.55制成,则较高的纳米片(即,距基板较远的纳米片)会经受较低的压缩应变。
将条件#2与条件#1进行比较,条件#2中的顶部纳米片108b具有比条件#1中的顶部纳米片108a更大的压缩应变。这证明与均由均匀的Si0.45Ge0.55组成的源极/漏极应力源相比,由再生长的Si0.45Ge0.55层和在Si0.45Ge0.55层上方的再生长的Si0.40Ge0.60层组成的源极/漏极应力源在顶部纳米片中产生了增加的压缩应变。此外,在条件#2中的纳米片102b、104b、106b和108b之间的最大压缩应变差(例如,纳米片108b和104b之间的压缩应变差)低于在条件#1中的纳米片102a、104a、106a和108a之间的最大压缩应变差(例如,纳米片108a和102a之间的压缩应变差)。这证明透过由再生长的Si0.45Ge0.55层和在再生长的Si0.45Ge0.55层上的再生长的Si0.40Ge0.60层所组成的源极/漏极应力源可减少在栅极全环场效应晶体管中纳米片之间的压缩应变失配。
比较条件#3与条件#1,条件#3的纳米片104c的压缩应变大于条件#1的纳米片104a的压缩应变,条件#3的纳米片106c的压缩应变大于条件#1的纳米片106c的压缩应变,并且条件#3的纳米片108c的压缩应变大于条件#1的纳米片108a的压缩应变。这证明了与均由均匀的Si0.45Ge0.55组成的源极/漏极应力源相比,由包括再生长的Si0.45Ge0.55层,在Si0.45Ge0.55层上的再生长的Si0.44Ge0.56层,在Si0.44Ge0.56上的再生长的Si0.43Ge0.57层,以及在Si0.43Ge0.57层上的再生长的Si0.40Ge0.60层的源极/漏极应力源会在纳米片104、106和108中产生增加的压缩应变。此外,在条件#3中的纳米片102c、104c、106c和108c之间的最大压缩应变差(例如,纳米片108c和102c之间的压缩应变差)低于在条件#1中的纳米片102a、104a、106a和108a之间的最大压缩应变差(例如,纳米片108a和102a之间的压缩应变差)。这证明了,栅极全环场效应晶体管中的纳米片之间的压缩应变失配可以透过包括再生长的Si0.45Ge0.55层、在Si0.45Ge0.55层上的再生长的Si0.44Ge0.56层、在Si0.44Ge0.56层上的再生长的Si0.43Ge0.57层、以及在Si0.43Ge0.57层上的再生长的Si0.40Ge0.60层的源极/漏极应力源而减少。
图15所示的模拟结果是透过执行其中将纳米片的长度(如图1所示在Y方向上测量的距离)设置在大约25纳米至大约30纳米之间(例如,大约28纳米),将纳米片的宽度(如图1所示在X方向上测量的距离)设置在大约23纳米至大约27纳米之间(例如,大约25纳米),将纳米片的厚度(如图1所示在Z方向上测量的距离)设置在大约3纳米至大约7纳米之间(例如,大约5纳米),并且将纳米片的间距(即,如图1所示的相邻的纳米片之间的Z方向距离)设置为在大约20纳米至大约24纳米之间(例如,大约22纳米)的模拟所获得的。然而,这些尺寸仅旨用于说明而不旨在限制本揭露的实施例。更确切地说,具有任何其他合适尺寸和/或任何数量的纳米片均可经历类似的应变失配改善。例如,纳米片间距可以小于约22纳米(例如,大约11纳米或16纳米)或在约10纳米至约40纳米的范围内。纳米片的厚度可以大于约5纳米(例如,约10纳米)或在约5纳米至约10纳米的范围内。纳米片的宽度可以在约5纳米至约100纳米的范围内。纳米片的数量可以在约2至约10的范围内。
图16绘示根据部分实施例的形成栅极全环场效应晶体管的方法M1。尽管将方法M1绘示和/或描述为一系列步骤或事件,但是应当理解,此方法不限于所绘示的顺序或步骤。因此,在部分实施例中,可以使用与所绘示的顺序不同的顺序执行这些步骤,和/或可以同时执行这些步骤。此外,在部分实施例中,可以将所绘示的步骤或事件细分为多个步骤或事件,其可以在不同的时间执行或与其他步骤或子步骤同时地执行。在部分实施例中,可以省略一些绘示的步骤或事件,并且可以包括其他未绘示的步骤或事件。
在方框S101中,在基板上形成鳍片结构。鳍片结构具有交替的第一半导体层和第二半导体层的堆叠。图2A至图2B和图3A至图3B绘示对应于方框S101的步骤的部分实施例的剖面图。
在方框S102中,在鳍片结构的下部周围形成浅沟槽隔离区域。图4A至图4B绘示与方框S102中的步骤相对应的部分实施例的剖面图。
在方框S103中,形成横跨鳍片结构延伸的虚设栅极结构。图5A至图5B绘示对应于方框S103中的步骤的部分实施例的剖面图。
在方框S104中,蚀刻鳍片结构的横向地延伸超过虚设栅极结构的部分,直到暴露出基板为止。图6A至图6B绘示对应于方框S104中的步骤的部分实施例的剖面图。
在方框S105中,以第一锗(Ge)与硅(Si)前驱物流量比磊晶生长第一源极/漏极层。图7A至图7B绘示对应于方框S105中的步骤的部分实施例的剖面图。
在方框S106中,以高于第一锗(Ge)与硅(Si)前驱物流量比的第二锗(Ge)与硅(Si)前驱物流量比磊晶生长第二源极/漏极层。图8A至图8B绘示对应于方框S106中的步骤的部分实施例的剖面图。
在方框S107中,以高于第二锗(Ge)与硅(Si)前驱物流量比的第三锗(Ge)与硅(Si)前驱物流量比磊晶生长第三源极/漏极层。图9A至图9B绘示对应于方框S107中的步骤的部分实施例的剖面图。
在方框S108中,以高于第三锗(Ge)与硅(Si)前驱物流量比的第四锗(Ge)与硅(Si)前驱物流量比磊晶生长第四源极/漏极层。图10A至图10B绘示对应于方框S108中的步骤的部分实施例的剖面图。
在方框S109中,去除虚设栅极结构以暴露鳍片结构。图11A至图11B绘示对应于方框S109中的部分实施例的剖面图。
在方框S110中,去除剩余的第一半导体层以释放由剩余的第二半导体层形成的纳米片。图12A和图12B绘示对应于方框S110中的步骤的部分实施例的剖面图和透视图。
在方框S111中,在由剩余的第二半导体层形成的纳米片周围形成高介电常数/金属栅极堆叠。图13A和图13B绘示对应于方框S111中的步骤的部分实施例的剖面图。
图17至图30绘示根据本揭露的部分实施例的用于制造栅极全环场效应晶体管的示例性顺序过程。应该理解,可以在图17至图30所示的制程之前、期间和之后提供附加的操作,并且下面描述的一些操作可以被替换或消除以作为对于此方法的另外的实施例。操作/制程的顺序可以互换。图17至图30绘示沿着Y方向的与图1所示的线A-A相对应的剖面图。
如图17所示,提供半导体基板300。在部分实施例中,基板300可以是半导体基板(例如,块状半导体基板、绝缘体上半导体基板、多层或梯度基板等)。基板300可以包括半导体材料(例如,包括硅(Si)和锗(Ge)的元素半导体;包括硅碳(SiC)、硅锗(SiGe)、锗锡(GeSn)、砷化镓(GaAs)、磷化镓(GaP)、磷砷化镓(GaAsP)、砷铟化铝(AlInAs)、砷镓化铝(AlGaAs)、砷铟化镓(GaInAs)、砷化铟(InAs)、磷铟化镓(GaInP)、磷化铟(InP)、锑化铟(InSb)、磷砷铟化镓(GaInAsP)的化合物或合金半导体;它们的组合等)。基板300可以是掺杂的或实质上未掺杂的。在特定示例中,基板300是绝缘体上半导体基板,其具有在块状硅基板301上方的埋入氧化层(buriedoxide layer)302以及在埋入氧化层302上方的掺杂的半导体(例如,硅)层303。掺杂的硅层303比埋入氧化层302薄。例如,掺杂的硅层303的厚度在大约5纳米至大约15纳米的范围内(例如,大约10纳米),而埋入氧化层302的厚度在大约130纳米至大约160纳米的范围内(例如,大约145纳米)。掺杂的硅层303可以掺杂有n型掺杂剂(例如,磷)或p型掺杂剂(例如,硼)。基板300包括第一装置区域310和第二装置区域320。第一装置区域310是n型晶体管区域,其中将形成一个或多个n型晶体管(例如,一个或多个n型栅极全环场效应晶体管)。第二装置区域320是p型晶体管区域,其中将形成一个或多个p型晶体管(例如,一个或多个p型栅极全环场效应晶体管)。因此,装置区域310可以被称为N型场效应晶体管区域,并且装置区域320可以被称为P型场效应晶体管区域。
在基板300是绝缘体上半导体基板的部分实施例中,N型场效应晶体管区域310中的硅层303可以选择性地掺杂有p型杂质(例如,硼),并且P型场效应晶体管320区域中的硅层303可以选择性地掺杂有n型杂质(例如,磷或砷)。绝缘体上半导体基板300的掺杂的表面层有助于电隔离不同的晶体管和/或不同的装置区域,因为掺杂的表面层所掺杂的杂质的导电类型与随后将形成的源极/漏极区域的导电类型相反。在部分实施例中,N型场效应晶体管区域310中的硅层303的p型杂质浓度(例如,硼浓度)在大约1x1014cm-3至大约1x1020cm-3的范围内(例如,大约1x1015cm-3),而P型场效应晶体管区域320中的硅层303的n型杂质浓度(例如,磷浓度)在大约1x1014cm-3至大约1x1020cm-3(例如,大约1x1015cm-3)的范围内。在部分实施例中,透过使用不同的离子布植制程来掺杂N型场效应晶体管区域310和P型场效应晶体管区域320,其中在将n型杂质布植到P型场效应晶体管区域中的过程中,N型场效应晶体管区域310被遮罩(例如,光阻遮罩)覆盖,而在将n型杂质布植到P型场效应晶体管区域320中的过程中,P型场效应晶体管区域320被遮罩(例如,光阻遮罩)覆盖。
透过使用一个或多个磊晶制程在半导体基板300上形成应变松弛缓冲层(strain-relaxed buffer layer)400。磊晶制程包括化学气相沉积技术(例如,气相磊晶和/或超高真空化学气相沉积)、分子束磊晶和/或其他合适的制程。形成应变松弛缓冲层400的磊晶持续时间足够长,使得应变松弛缓冲层400具有足以形成实质上零应变值的松弛层的最小厚度(例如,在大约2纳米至大约500纳米的范围内)。应变松弛缓冲层400可以是IV族材料(例如,硅(Si)、锗(Ge)、硅锗(SiGe)、硅锗锡(SiGeSn)、锡(Sn)等);III族-Ⅴ族化合物材料(例如,砷化镓(GaAs)、磷化镓(GaP)、磷砷化镓(GaAsP)、砷铟化铝(AlInAs)、砷镓化铝(AlGaAs)、砷铟化镓(GaInAs)、砷化铟(InAs)、磷铟化镓(GaInP)、磷化铟(InP)、锑化铟(InSb)、磷砷铟化镓(GaInAsP)等);硅磷(SiP)、其组合等。应变松弛缓冲层400可以是掺杂的或实质上未掺杂的。在特定实施例中,应变松弛缓冲层400可以由掺杂或实质上未掺杂的锗形成。
在部分实施例中,N型场效应晶体管区域310中的应变松弛缓冲层400可以掺杂有p型杂质(例如,硼),并且P型场效应晶体管320区域中的应变松弛缓冲层400可以掺杂有n型杂质(例如,磷或砷)。掺杂的应变松弛缓冲层有助于电隔离不同的晶体管和/或不同的装置区域,因为掺杂的应变松弛缓冲层所掺杂的杂质的导电类型与随后将形成的源极/漏极区域的导电类型相反。
一旦形成了应变松弛缓冲层400,就在约摄氏600度至约摄氏1000度(例如,约摄氏800度)的温度下对应变松弛缓冲层400执行退火制程AL1(如图18所示)。退火制程AL1有助于在所得缓冲层401和基板300之间的界面中限制晶体学缺陷(crystallographicdefects)(例如,贯穿式插排(threading dislocation defects)、点缺陷、反相边界(antiphase boundaries)等)。在退火制程AL1完成后,缓冲层401冷却到预定温度(例如,诸如大约摄氏25度的室温)。在缓冲层401的材料(例如,锗)与基板300最上层303的材料(例如,硅)不同的情况下,缓冲层401可以在冷却完成之后获得拉伸应变(例如,拉伸应变大约为0.05%至0.30%)。这是因为硅的热膨胀系数与锗的热膨胀系数不匹配。
之后,在N型场效应晶体管区域310上方形成图案化的遮罩ML1,同时使P型场效应晶体管区域320暴露。可以透过在基板300上毯覆式沉积遮罩层,然后使用适当的微影和蚀刻技术将遮罩层图案化成图案化的遮罩ML1。图案化的遮罩ML1包括氧化硅、氮化硅(Si3N4)等或其组合。
如图19所示,在N型场效应晶体管区域310被图案化的遮罩ML1覆盖之后,在P型场效应晶体管区域320上形成层堆叠。第一半导体层(第一牺牲层)422磊晶生长在P型场效应晶体管区域320上,第二半导体层(第一通道层)423磊晶生长在第一半导体层422上,另一第一半导体层(第二牺牲层)424磊晶生长在第二半导体层423上,另一第二半导体层(第二通道层)425磊晶生长在第一半导体层424上,并且另一第一半导体层(第三牺牲层)426磊晶生长在第二半导体层425上。磊晶制程包括化学气相沉积沉积技术(例如,气相磊晶和/或超高真空化学气相沉积)、分子束磊晶和/或其他合适的制程。
在部分实施例中,第一半导体层和第二半导体层交替地堆叠,使得第一半导体层和第二半导体层中的每一个均具有多于两层。在部分实施例中,成为纳米片或通道层的每个第二半导体层均可以由相同材料或不同材料形成。根据它们的几何形状,纳米片可以互换地称为纳米线、纳米平板和纳米环。在部分实施例中,第一半导体层和第二半导体层选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)以及其组合所组成的群组的不同材料制成。
通道层423和425的晶格常数大于牺牲层422、424和426的晶格常数,使得一旦磊晶生长完成,通道层423和425就会处于压缩应变的状态下,这将会增加通道层423和425中的空穴迁移率,从而改善在P型场效应晶体管区域320中形成的p型栅极全环场效应晶体管的装置性能。透过这种方式,可以在不形成再生长的源极/漏极应力源的情况下实现具有压缩应变的通道。此外,在整个装置制造过程(例如,包括整个前段(front-end-of-line,FEOL)制程和整个后段(back-end-of-line,BEOL)制程)之后,由缓冲层401引起的通道中的应变得以保留。
在如图19所示的中间阶段,通道层423和425实质上未被掺杂以减少杂质散射和随机的掺杂剂扰动,并且牺牲层422、424和426被高度掺杂p型杂质(例如,硼),其在后续步骤中将扩散到通道层423和425中的源极/漏极区域中。牺牲层422、424和426在磊晶生长期间被原位掺杂。
在用于p型栅极全环场效应晶体管的一些特定实施例中,牺牲层422、424和426是高度p掺杂的硅层(即,p+Si),而通道层423和425是实质上未掺杂的硅锗层(即,未掺杂的硅锗(SiGe))。高度p掺杂的硅牺牲层422、424和426的p型杂质浓度可以比绝缘体上半导体基板300的最上层303的P型场效应晶体管区域320中的n型杂质浓度高4个、5个甚至9个数量级。作为示例而非限制,硅牺牲层422、424和426的硼浓度可以在大约1x1019cm-3到大约1x1023cm-3的范围内(例如,2.7x1020cm-3),而基板300的P型场效应晶体管区域320中的磷浓度可以在大约1x1014cm-3至大约1x1020cm-3(例如,1x1015cm-3)的范围内。在p型栅极全环场效应晶体管的一些其他特定实施例中,牺牲层422、424和426是高度p掺杂的锗层(即,p+Ge),并且通道层423和425是实质上未掺杂的锗锡层(即,未掺杂的锗锡(GeSn))。
一旦在P型场效应晶体管区域320上形成了磊晶层堆叠,就去除遮罩ML1。然后在N型场效应晶体管区域310上方形成磊晶层堆叠。在图20中绘示所得的结构。在一些具体实施例中,在N型场效应晶体管区域310中的应变松弛缓冲层401上磊晶生长第三半导体层(第一牺牲层)412,在第三半导体层412上磊晶生长第四半导体层(第一通道层)413,在第四半导体层413上磊晶生长另一第三半导体层(第二牺牲层)414,在第三半导体层414上磊晶生长另一第四半导体层(第二通道层)415,并且在第四半导体层415上磊晶生长另一第三半导体层(第三牺牲层)416。磊晶制程包括化学气相沉积技术(例如,气相磊晶和/或超高真空化学气相沉积)、分子束磊晶和/或其他合适的制程。
在部分实施例中,第三半导体层和第四半导体层交替地堆叠,使得第三半导体层和第四半导体层中的每一个均具有多于两层。在部分实施例中,成为纳米片或通道层的每个第四半导体层均可以由相同材料或不同材料形成。
在部分实施例中,第三半导体层和第四半导体层由选自于由硅(Si)、锗(Ge)、硅锗(SiGe)、锗锡(GeSn)、硅/硅锗/锗/锗锡(Si/SiGe/Ge/GeSn)、硅锗锡(SiGeSn)及其组合所组成的不同材料制成。在部分实施例中,硅锗(SiGe)是Si1-xGex,其中0.02≤x≤0.98。
通道层413和415的晶格常数小于牺牲层412、414和416的晶格常数,使得一旦磊晶生长完成,通道层413和415便处于拉伸应变的状态,其将增加通道层413和415中的电子迁移率,从而改善了形成在N型场效应晶体管区域310中的n型栅极全环场效应晶体管的装置性能。如此,可以在不形成再生长的源极/漏极应力源的情况下实现具有拉伸应变的通道。此外,在整个装置制造过程(例如,包括整个前段制程和整个后段制程)之后,由缓冲层401引起的通道中的应变得以保留。
在如图20所示的中间阶段,通道层413和415实质上未被掺杂以减少杂质散射和随机掺杂剂扰动,并且牺牲层412、414和416被高度掺杂n型杂质(例如,磷),其在后续步骤中将扩散到通道层413和415中的源极/漏极区域中。磊晶生长期间,牺牲层412、414和416被原位掺杂。
在用于n型栅极全环场效应晶体管的部分特定实施例中,牺牲层412、414和416是高度n掺杂的锗层(例如,n+Ge),而通道层413和415是实质上未掺杂的硅锗层(例如,未掺杂的Si0.02Ge0.98)。在这样的实施例中,通道层413和415具有比牺牲层412、414和416更大的硅原子浓度。因此,通道层413和415具有比牺牲层412、414和416以及缓冲层401更大的拉伸应变。例如,锗缓冲层401以及锗牺牲层412、414和416具有由退火制程AL1(如先前关于图18所讨论的)引起的约0.10%至约0.14%(例如,约0.12%)的拉伸应变值,而通道层413和415具有大约0.18%至大约0.22%(例如,大约0.20%)的更大的拉伸应变值。牺牲层412、414和414以及缓冲层401改善了在通道层413和415中的拉伸应变。在n型栅极全环场效应晶体管的一些其他实施例中,牺牲层412、414和416是高度n掺杂的硅锗层(即,n+SiGe),而通道层413和415是实质上未掺杂的硅层(即,未掺杂的硅(Si))。
高度n掺杂的牺牲层(例如,n+Ge层)412、414和416可以具有比在绝缘体上半导体基板的最上层303中N型场效应晶体管区域310的p型杂质浓度高4个、5个甚至9个数量级的n型杂质浓度。作为示例而非限制,牺牲层412、414和416的磷浓度可以在大约1x1019cm-3到大约1x1023cm-3的范围内(例如,约2.7x1020cm-3),而绝缘体上半导体基板的最上层303中N型场效应晶体管区域310的硼浓度可以在大约1x1014cm-3到大约1x1020cm-3(例如,1x1015cm-3)的范围内。
图36是绘示实验结果的曲线图,此实验结果绘示在N型场效应晶体管区域310上形成层堆叠之后透过二次离子质谱(Secondary ion mass spectroscopy,SIMS)测量观察到的N型场效应晶体管区域310中各种化学元素的原子浓度,其中在图36的垂直轴上绘示原子浓度,在图36的水平轴上绘示距离层堆叠的顶表面(即,最顶部牺牲层416的顶表面)的垂直距离。在此实验中,牺牲层412、414和416是掺杂有磷的锗层,而通道层413和415是实质上未掺杂的硅锗(Si0.02Ge0.98)层。在图36中,曲线C1代表沿着距离层堆叠的顶表面的垂直距离的锗原子浓度,曲线C2代表沿着距离层堆叠的顶表面的垂直距离的硅原子浓度,曲线C3表示沿着距离叠层的顶表面的垂直距离的磷原子浓度,曲线C4表示沿着距离叠层的顶表面的垂直距离的氧原子浓度。
如图36中的曲线C3所示,牺牲层412、414和416的磷原子浓度高于通道层413和415的磷原子浓度。这证明牺牲层412、414和416掺杂有磷,而通道层413和415实质上未掺杂磷。应理解,由于从牺牲层412、414和416的无意的磷扩散,通道层413和415仍可能具有非零的磷浓度值。将曲线C3与曲线C1进行比较,牺牲层412、414和416中的磷原子浓度大于牺牲层412、414和416中的锗原子浓度。这证明牺牲层412、414和416被磷高度掺杂。
如图36的曲线C2所示,通道层413和415的硅原子浓度大于牺牲层412、414和416的硅原子浓度。此外,由于从基板300的无意的硅扩散,缓冲层401具有随着距基板300的距离减小而增加的渐变硅锗。
返回参考图21。一旦形成了N型场效应晶体管区域310上的层堆叠,就对N型场效应晶体管区域310和P型场效应晶体管区域320上的层堆叠执行图案化制程(透过如先前关于图3A和图3B所讨论的使用合适的微影和蚀刻技术),以在N型场效应晶体管区域310上形成鳍片结构FS1,并且在P型场效应晶体管区域320上形成鳍片结构FS2。一旦形成鳍片结构FS1和FS2,就使用合适的沉积技术(例如,化学气相沉积、原子层沉积、热氧化等或组合),在基板300上方共形地形成介电层(例如,氧化硅(SiO2)层)430。所得的结构如图21所示。
如图22所示,一旦形成了介电层430,就在鳍片结构FS1和FS2上分别地形成虚设栅极441和442。虚设栅极441和442的长度方向垂直于鳍片结构FS1和FS2的长度方向。虚设栅极441和442可以是导电材料或非导电材料,并且可以选自于由非晶硅、多晶硅(polysilicon)、多晶硅锗(poly-SiGe)、金属氮化物、金属硅化物的组、金属氧化物以及金属所组成的群组。可以透过例如在基板300上沉积多晶硅层,透过化学机械平坦化制程平坦化沉积的多晶硅层,然后使用适当的微影和蚀刻技术将平坦化的多晶硅层图案化成虚设栅极441和442。
一旦形成了虚设栅极441和442,就使用适当的沉积技术(例如,化学气相沉积、原子层沉积等或其组合),在基板300上方共形地形成间隔层450。在图23中绘示所得的结构。间隔层450包括氮化硅(Si3N4),然而也可以使用其他材料(例如,氮氧化硅、碳化硅、碳氮化硅、碳氮氧化硅、碳氧化硅、多孔介电材料、氢掺杂的碳氧化硅(SiOC:H)、低介电常数介电材料或其他合适的介电材料)。
接下来,执行非等向性蚀刻制程以在间隔层450上进行蚀刻,从而去除间隔层450的水平部分,并使间隔层450的部分451和452留在虚设栅极441和442的侧壁上。图24中绘示所得的结构。在虚设栅极441的侧壁上的剩余的间隔物部分451可以互换地称为栅极间隔物451,而在虚设栅极442的侧壁上的剩余的间隔物部分452可以互换地称为栅极间隔物452。在一些特定实施例中,非等向性蚀刻是使用由三氟甲烷(CHF3)气体和/或氯气(Cl2)产生的电浆的反应离子蚀刻制程。
一旦形成了间隔物451和452(如图25所示),就在P型场效应晶体管区域320上方形成图案化的遮罩ML2,同时使N型场效应晶体管区域310暴露。可以透过在基板300上毯覆式沉积遮罩层,然后使用适当的微影和蚀刻技术将遮罩层图案化为图案化的遮罩ML2。图案化的遮罩ML2包括氮化硅(Si3N4)、氮氧化硅等或它们的组合。
一旦形成了图案化的遮罩ML2,就使用选择性蚀刻制程来蚀刻在N型场效应晶体管区域310中的虚设栅极441,以在栅极间隔物451之间形成栅极沟槽GT,此选择性刻蚀制程以比蚀刻在基板300上的其他材料更快的蚀刻速率来蚀刻虚设栅极结构441。例如,选择性蚀刻是使用氢氧化钾(KOH)或氢氧化四甲铵(TMAH)作为蚀刻剂的各向同性湿式蚀刻制程。之后,使用选择性蚀刻制程来去除栅极沟槽GT中介电层430的一部分,此选择性蚀刻制程以比蚀刻基板300上的其他材料更快的蚀刻速率来蚀刻介电层430的材料(例如,氧化硅)。在部分实施例中,透过使用适当的微影技术形成的光阻遮罩(未绘示)覆盖N型场效应晶体管区域310中介电层430的部分(除栅极沟槽GT之外的部分),从而使介电层430可以保留在N型场效应晶体管区域310上(除了栅极间隔物451之间的区域之外)。一旦已经去除了在栅极间隔物451之间的介电层430的部分,便可在灰化步骤中例如使用氧电浆来去除光阻遮罩。
此后,透过选择性蚀刻制程去除栅极沟槽GT中的n型掺杂牺牲层412、414和416以及缓冲层401,从而在通道层413、415和基板300之间形成开口。以此方式,通道层413和415的通道区域413CH和415CH变成悬浮在基板300上。选择性蚀刻制程(可互换地称为通道释放制程)的细节已在先前图12A和图12A中进行了讨论,因此为了简洁起见不再重复。
一旦完成了通道释放制程,就在由释放纳米片413和415所提供的开口内形成高介电常数/金属栅极结构460。图25中绘示所得的结构。在部分实施例中,高介电常数/金属栅极结构460包括形成在纳米片413和415周围的栅极介电层461,形成在栅极介电层461上方的n型功函数金属(也称为N金属)层462,以及形成在功函数金属层461上方的填充金属463。栅极介电层461包括界面层和形成在界面层上方的高介电常数介电层。界面层、高介电常数介电层和填充金属463的详细材料和制造已在图13A和图13B中讨论过了,为了简洁起见不再重复。n型功函数金属层462可以示例性地包括但不限于铝化钛(TiAl)、氮化铝钛(TiAlN)、碳氮化钽(TaCN)、铪(Hf)、锆(Zr)、钛(Ti)、钽(Ta)、铝(Al)、金属碳化物(例如,碳化铪(HfC)、碳化锆(ZrC)、碳化钛(TiC)、碳化铝(AlC))、铝化物和/或其他合适的材料。
接下来,透过使用选择性蚀刻制程将位于鳍片结构FS1上方的填充金属463的顶部图案化为金属垫463P,此选择性蚀刻制程以比蚀刻n型功函数金属层462更快的速率蚀刻填充金属463。在图26中绘示所得的结构。在部分实施例中,金属垫463P具有与栅极介电层461和牺牲层416之间的垂直界面对齐的侧壁。
之后,从P型场效应晶体管区域320去除遮罩层ML2,然后在P型场效应晶体管区域320上执行类似图25和图26所示的步骤,以形成围绕每个纳米片423和425的高介电常数/金属栅极结构470。在图27中绘示所得到的结构。例如,制程步骤包括去除虚设栅极442以在栅极间隔物之间形成栅极沟槽,去除栅极沟槽中的介电层430的一部分,透过选择性地蚀刻牺牲层422、424和426和由栅极沟槽暴露的缓冲层401来释放纳米片423和425的通道区域423CH和425CH,在透过释放纳米片413和415而提供的开口中形成包括栅极介电层471、p型功函数金属层472和填充金属473的高介电常数/金属栅极结构470,然后将填充金属473的顶部图案化成金属垫473P。
栅极介电层471包括界面层和形成在界面层之上的高介电常数介电层。界面层、高介电常数介电层和填充金属473的详细材料和制造已经在前面图13A和图13B中进行了讨论,因此为了简洁起见不再重复。p型功函数金属层472可以示例性地包括但不限于氮化钛(TiN)、氮化钨(WN)、钨(W)、钌(Ru)、钯(Pd)、铂(Pt)、钴(Co)、镍(Ni)、导电金属氧化物和/或其他合适的材料。
接下来,执行退火制程AL2以将n型杂质(例如,磷)从高度掺杂的牺牲层412、414、416扩散到纳米片413和415的源极/漏极区域413SD和415SD中,并且将p型杂质(例如,硼)从高度掺杂的牺牲层422、424、426扩散到纳米片423和425的源极/漏极区域423SD和425SD中。退火制程AL2可以例如是快速的热退火(rapid thermal anneal,RTA)等。退火制程AL2之后的牺牲层412'、414'和416'具有比退火制程AL2之前的牺牲层412、414和416更低的n型杂质浓度。类似地,退火制程AL2之后的牺牲层422'、424'和426'具有比退火制程AL2之前的牺牲层412、414和416更低的p型杂质浓度。
因为所得纳米片413'和415'的牺牲层412'、414'和416'以及源极/漏极区域413SD和415SD是n掺杂的,所以它们可以组合地称为n型栅极全环场效应晶体管的源极/漏极区域。因此,牺牲层412'、414'和416'可以被称为n型源极/漏极层。类似地,因为所得纳米片423'和425'的牺牲层422'、424'和426'以及源极/漏极区域423SD和425SD是p掺杂的,所以它们可以组合地称为p型栅极全环场效应晶体管的源极/漏极区域。因此,牺牲层422'、424'和426'可以被称为p型源极/漏极层。
因为纳米片413和415的通道区域413CH和415CH被栅极结构460包围并且因此与高度掺杂的层412、414和416间隔开,并且纳米片423和425的通道区域423CH和425CH 425被栅极结构470包围,因此与高度掺杂的层422、424和426间隔开,纳米片413'和415'的源极/漏极区域413SD和415SD具有比纳米片413'和415'的通道区域413CH和415CH更大的n型杂质浓度,并且所得纳米片423'和425'的源极/漏极区域423SD和425SD具有比纳米片423'和425'的通道区域423CH和425CH更大的p型杂质浓度。
接下来,如图29所示,形成穿过n型功函数金属层462、栅极介电层461和介电层430到n型栅极全环场效应晶体管的源极/漏极区域(例如,到n型源极/漏极层416')的源极/漏极接触开口OPl,并且形成穿过p型功函数金属层472、栅极介电层471和介电层430到p型栅极全环场效应晶体管的源极/漏极区域(例如,到p型源极/漏极层426')的源极/漏极接触开口OP2。使用适当的微影和蚀刻技术形成源极/漏极接触开口OP1和OP2。
之后,执行退火制程AL3以激活牺牲层412'、414'和416'以及所得纳米片413'和415'的源极/漏极区域413SD和415SD中的n型杂质,并激活牺牲层422'、424'和426'以及所得纳米片423'和425'的源极/漏极区域423SD和425SD中的p型杂质。在一些具体实施例中,退火制程AL3包括使用来自辐射源的辐射照射n型栅极全环场效应晶体管和p型栅极全环场效应晶体管的源极/漏极区域。在部分实施例中,辐射源是激光或闪光灯(包括氩气和氙气闪光灯)。层堆叠暴露于辐射中的时间范围大于0秒(s)至大约90毫秒(ms)。特别地,由源极/漏极接触开口OP1和OP2暴露的层堆叠的区域的暴露时间段为大于0s至大约90ms(例如,大约2纳秒(ns)至大约0.8ms)。在暴露于辐射期间,层堆叠的暴露部分会进行超快速加热并达到1800℃的温度。在一些其他实施例中,层堆叠的暴露部分达到高达1050℃的温度。由于金属垫463P和金属垫473P反射辐射,因此实质上不加热被栅极结构460覆盖的通道区域413CH和415CH以及被栅极结构470覆盖的通道区域423CH和425CH
在部分实施例中,辐射的功率密度为约2mJ/cm2至约850mJ/cm2。在部分实施例中,辐射是来自激光器的激光辐射。在部分实施例中,激光辐射的波长在约170纳米至约2200纳米的范围内。在其他实施例中,激光照射中使用的波长为约198纳米至约1880纳米(λ=1878纳米等于锗(Ge)的能隙)。在部分实施例中,使用具有约532纳米的波长的激光束。在部分实施例中,用于激光退火的功率密度为约2mJ/cm2至约850mJ/cm2。在部分实施例中,辐射的功率密度在约260mJ/cm2至约290mJ/cm2的范围内。在部分实施例中,在暴露于辐射期间发生动态表面退火。在动态表面退火中,在没有掺杂剂扩散的情况下发生掺杂的源极/漏极区域的激活。因此,在退火制程AL3之后,纳米片413和415的通道区域413CH和415CH以及纳米片423和425的通道区域423CH和425CH实质上保持未掺杂的。在部分实施例中,激光脉冲的半高全宽值(full-width-at-half-maximum,FWHM)为约2ns至约0.5ms。在部分实施例中,层堆叠上的特定点暴露于约15ns至约95微秒(μs)的激光束。激光束的形状可以是任何形状(包括线性、椭圆形、圆形等)。
在部分实施例中,激光辐射的波长在约530纳米至约550纳米的范围内,并且激光辐射的通量在约15mJ/cm2至约75mJ/cm2的范围内。因为金属垫463P和473P阻挡和/或反射激光辐射,因此激光辐射选择性地照射层堆叠的源极/漏极区域。可以在环境空气、清洁的干燥空气、氮气(N2)、氮气(N2)和氢气(H2)、氢气(H2)或惰性气体(例如,氦(He)、氖(Ne)和氩(Ar))中进行辐照。
然后,透过使用例如剥离制程(lift-off process)分别在开口OP1和OP2中形成源极/漏极接触481和482。图30中绘示所形成的结构。作为示例而非限制,剥离制程包括在基板300上涂覆光阻,对光阻进行图案化以暴露开口OP1和OP2,溅镀接触481和482的金属材料(例如,铜、钨、镍、钴、金或其他合适的金属)到开口OP1和OP2的底表面上,然后透过灰化制程去除光阻。
图31绘示模拟结果的曲线图,此模拟结果是在执行通道释放制程之后在N型场效应晶体管区域310中的纳米片413和415的单轴拉伸应变,其中,在图31的纵轴上绘示单轴拉伸应变,而在图31的横轴上绘示与通道区域中心(例如,通道区域415CH或413CH的中心)的横向距离。在图31中,曲线C5表示上部纳米片415中的单轴拉伸应变,而曲线C6表示下部纳米片413中的单轴拉伸应变。曲线C5绘示出纳米片415的通道区域415CH具有比纳米片415的源极/漏极区域415SD更大的拉伸应变,而曲线C6绘示纳米片413的通道区域413CH具有比纳米片413的源极/漏极区域413SD更大的拉伸应变。此外,比较曲线C5和曲线C6,上部纳米片415的通道区域415CH具有比下部纳米片413的通道区域413CH更大的拉伸应变。在部分实施例中,纳米片415的通道区域415CH和纳米片413的通道区域413CH具有在约0.24%至约0.65%(例如约0.27%)的范围内的拉伸应变。
图32至图34绘示根据本揭露的部分实施例的用于制造栅极全环场效应晶体管的示例性顺序过程。应该理解,可以在图32至图34所示的操作之前、期间和之后提供附加的操作,并且下面描述的一些操作可以被替换或消除以作为对于此方法的另外的实施例。操作/过程的顺序可以互换。图32至图34绘示对应于图1所示的线A-A的沿Y方向的剖面图。
图32绘示在执行如图28所示的退火制程AL2之后在栅极结构460、470和介电层430上执行的图案化制程。使用合适的微影和蚀刻技术来执行图案化制程。图案化的栅极结构460'(包括图案化的栅极介电层461'、图案化的n型功函数金属层462'和图案化的填充金属463')与n型源极/漏极层416'的顶表面不重叠。所得的栅极结构470'(包括图案化的栅极介电层471'、图案化的p型功函数金属层472'和图案化的填充金属473')与p型源极/漏极层426'的顶表面不重叠。
接下来,如图33所示,执行退火制程AL3以激活所得到的纳米片413'和415'的牺牲层412'、414'和416'以及源极/漏极区域413SD和415SD中的n型杂质,并激活所得到的纳米片423'和425'的牺牲层422'、424'和426'以及源极/漏极区域423SD和425SD中的p型杂质(可参考如前面图29的讨论)。
之后,如图34所示,例如,透过使用如先前关于图30所讨论的剥离制程在n型源极/漏极层416'和p型源极/漏极层426'上分别形成源极/漏极接触481和482。
图35绘示根据部分实施例的形成栅极全环场效应晶体管的方法M2。尽管方法M2被绘示和/或描述为一系列步骤或事件,但是应当理解,此方法不限于所绘示的顺序或步骤。因此,在部分实施例中,可以以与所绘示的顺序不同的顺序来执行步骤,和/或可以同时地执行步骤。此外,在部分实施例中,可以将所绘示的步骤或事件细分为多个步骤或事件,其可以在分开的时间或与其他步骤或子步骤同时执行。在部分实施例中,可以省略一些绘示的步骤或事件,并且可以包括其他未绘示的步骤或事件。
在方框S201中,在N型场效应晶体管区域和P型场效应晶体管区域上形成缓冲层。图17和图18绘示与方框S201中的步骤相对应的部分实施例的剖面图。
在方框S202中,在N型场效应晶体管区域上形成交替的n型掺杂牺牲层和N型场效应晶体管通道层的第一层堆叠,并且在P型场效应晶体管区域上形成交替的p型掺杂牺牲层和P型场效应晶体管通道层的第二层堆叠。图19和图20绘示与方框S202中的步骤相对应的部分实施例的剖面图。
在方框S203中,将第一层堆叠图案化为第一鳍片结构,并且将第二层堆叠图案化为第二鳍片结构。在方框S204中,在第一鳍片结构和第二鳍片结构上方形成介电层。图21绘示与方框S203和S204中的步骤相对应的部分实施例的剖面图。
在方框S205中,分别在第一鳍片结构和第二鳍片结构上方形成第一虚设栅极和第二虚设栅极。图22绘示与方框S205中的步骤相对应的部分实施例的剖面图。
在方框S206中,在第一虚设栅极和第二虚设栅极的侧壁上形成栅极间隔物。图23和图24绘示对应于方框S206中的步骤的部分实施例的剖面图。
在方框S207中,去除第一虚设栅极,释放N型场效应晶体管通道层的通道区域,并在释放的通道区域周围形成高介电常数/金属栅极堆叠。图25和图26绘示与方框S207中的步骤相对应的部分实施例的剖面图。
在方框S208中,去除第二虚设栅极,释放P型场效应晶体管通道层的通道区域,并且在所释放的通道区域周围形成高介电常数/金属栅极堆叠。图27绘示与方框S208中的步骤相对应的部分实施例的剖面图。
在方框S209中,将n型杂质从n型掺杂的牺牲层扩散到N型场效应晶体管通道层的源极/漏极区域中,并且将p型杂质从p型掺杂的牺牲层扩散到P型场效应晶体管的源极/漏极区域中以形成n型栅极全环场效应晶体管和p型栅极全环场效应晶体管的源极/漏极区域。图28绘示与方框S209中的步骤相对应的部分实施例的剖面图。
在方框S210中,透过激光照射n型栅极全环场效应晶体管和p型栅极全环场效应晶体管的源极/漏极区域。图29绘示与方框S210中的步骤相对应的部分实施例的剖面图。
基于以上讨论,可以看出本揭露提供了益处。然而,应当理解,其他实施例可以提供附加的益处,并且在本文中不必公开所有益处,并且对于所有实施例都不需要特定的益处。部分实施例的一个益处是,可以调节源极/漏极应力源中再生长的源极/漏极层之间的原子比(例如,锗与硅的原子比)的差异,以减小半导体纳米片之间的应变失配。部分实施例的另一个益处是,可以透过具有不同晶格常数的交替的半导体层的层堆叠来实现应变的通道,因此,在这样的实施例中可以省略再生长的源极/漏极应力源。
在部分实施例中,一种方法包括:在基板上方形成具有交替的第一半导体层和第二半导体层的堆叠的鳍片结构;在鳍片结构上形成虚设栅极结构;蚀刻鳍片结构的横向地延伸超出虚设栅极结构的部分以暴露部分的基板;在基板的暴露部分上形成源极/漏极应力源;在形成源极/漏极应力源之后,去除虚设栅极结构;在去除虚设栅极结构之后,去除第一半导体层,使得第二半导体层悬浮在源极/漏极应力源之间;以及形成栅极结构以包围每个悬浮的第二半导体层。形成源极/漏极应力源包括在基板的暴露部分上方形成第一源极/漏极层,以及在第一源极/漏极层上方形成第二源极/漏极层。第二源极/漏极层中的IV族元素或V族元素的原子浓度大于第一源极/漏极层中的IV族元素或V族元素的原子浓度。
在部分实施例中,形成该第一源极/漏极层包含以一锗前驱物气体与一硅前驱物气体的一第一流量比执行的一磊晶制程,且形成该第二源极/漏极层包含以大于该第一流量比的该锗前驱物气体与该硅前驱物气体的一第二流量比执行的一磊晶制程。
在部分实施例中,形成该第一源极/漏极层包含以一锡前驱物气体与一锗前驱物气体的一第一流量比执行的一磊晶制程,并且形成该第二源极/漏极层包含以大于该第一流量比的该锡前驱物气体与该锗前驱物气体的一第二流量比执行的一磊晶制程。
于部分实施例中,形成该第一源极/漏极层包含以一磷前驱物气体与一硅前驱物气体的一第一流量比执行的一磊晶制程,并且形成该第二源极/漏极层包含以大于该第一流量比的该磷前驱物气体与该硅前驱物气体的一第二流量比执行的一磊晶制程。
于部分实施例中,该第一源极/漏极层的形成使得该鳍片结构的一侧壁的一下部分被该第一源极/漏极层覆盖,并且在该下部分上方的该鳍片结构的该侧壁的一上部分未被该第一源极/漏极层覆盖。
于部分实施例中,该第二源极/漏极层的形成使得该鳍片结构的该侧壁的该上部分被该第二源极/漏极层覆盖。
于部分实施例中,该第一源极/漏极层的形成包含在该鳍片结构的一侧壁上磊晶生长该第一源极/漏极层的一材料,以及透过一蚀刻气体从该鳍片结构的该侧壁去除该第一源极/漏极层的该材料。
于部分实施例中,该第一源极/漏极层的该材料从该基板的暴露的所述部分的一生长速率比从该鳍片结构的该侧壁的一生长速率快。
于部分实施例中,该基板的暴露的所述部分具有一(100)表面取向。
于部分实施例中,该鳍片结构的该侧壁具有一(110)表面取向。
于部分实施例中,该第二源极/漏极层的形成包含在该鳍片结构的一侧壁上磊晶生长该第二源极/漏极层的一材料,以及透过一蚀刻气体从该鳍片结构的该侧壁去除该第二源极/漏极层的该材料。
在部分实施例中,半导体元件包括多个纳米片、源极/漏极应力源和栅极结构。纳米片在半导体基板上方沿第一方向延伸并且在实质上垂直于第一方向的第二方向上排列。源极/漏极应力源在纳米片的两侧。每个源极/漏极应力源包括第一磊晶层和在第一磊晶层之上的第二磊晶层。第一磊晶层和第二磊晶层由晶格常数大于第一IV族元素的晶格常数的第一IV族元素和第二IV族元素的合金半导体制成,并且在第二磊晶层中的第二IV族元素与第一IV族元素的原子比大于在第一磊晶层中的第二IV族元素与第一IV族元素的原子比。栅极结构围绕每个纳米片。
于部分实施例中,该纳米片包含一第一纳米片和在该第一纳米片上的一第二纳米片,并且在该第二纳米片中的一压缩应变大于在该第一纳米片中的一压缩应变。
于部分实施例中,所述多个纳米片包含一第一纳米片和在该第一纳米片上的一第二纳米片,并且该第二纳米片与该第二磊晶层接触并且与该第一磊晶层间隔开。
于部分实施例中,该第一IV族元素是硅,并且该第二IV族元素是锗。
于部分实施例中,该第一IV族元素是锗,并且该第二IV族元素是锡。
于部分实施例中,一种方法包括:在基板上方形成具有交替的第一半导体层和第二半导体层的堆叠的鳍片结构,其中第一半导体层中的第一导电类型的杂质的浓度大于第二半导体层中的第一导电类型的杂质的浓度,并且第二半导体层由晶格常数不同于第一半导体层的晶格常数的材料制成;在鳍片结构上形成跨越的虚设栅极结构;在虚设栅极结构的相对侧壁上分别形成栅极间隔物;去除虚设栅极结构以在栅极间隔物之间形成栅极沟槽;去除栅极沟槽中去除第一半导体层的一部分,使得第二半导体层的通道区域悬浮在基板上方;形成栅极结构以围绕每个悬浮的通道区域;在形成栅极结构之后,执行第一退火制程以将第一导电类型的杂质从第一半导体层扩散到第二半导体层的源极/漏极区域中。
于部分实施例中,此方法还包含:在形成该鳍片结构之前,在该基板上形成一应变松弛缓冲层;以及在形成该鳍片结构之前,对该应变松弛缓冲层执行一第二退火制程,以将该应变松弛缓冲层转换成具有一拉伸应变的一缓冲层。
于部分实施例中,该应变松弛缓冲层掺杂有与该第一导电类型相反的一第二导电类型的一杂质。
于部分实施例中,此方法还包含:在执行该第一退火制程之后,用激光照射所述第一半导体层和所述第二半导体层的所述多个源极/漏极区域。
前述概述了几个实施例的特征,使得具有本领域的通常知识者可以更好地理解本揭露的各方面。具有本领域的通常知识者应当理解,他们可以容易地将本揭露作为设计或修改其他过程和结构的基础,以实现与本文介绍的实施例相同的目的和/或实现相同的益处。具有本领域的通常知识者还应该理解,这样的等效构造不脱离本揭露的精神和范围,并且在不脱离本揭露的精神和范围的情况下,它们可以在这里进行各种改变、替换和变更。

Claims (1)

1.一种制造半导体元件的方法,其特征在于,包含:
形成具有交替的多个第一半导体层和多个第二半导体层的一堆叠的一鳍片结构于一基板上;
形成一虚设栅极结构横跨于该鳍片结构上;
蚀刻该鳍片结构的横向地延伸超出该虚设栅极结构的多个部分以暴露该基板的多个部分;
形成多个源极/漏极应力源于该基板的暴露的所述多个部分上,其中形成所述多个源极/漏极应力源包含:
形成一第一源极/漏极层于该基板的暴露的所述多个部分上;以及
形成一第二源极/漏极层于该第一源极/漏极层上,其中该第二源极/漏极层中的一IV族元素或一V族元素的一原子浓度大于该第一源极/漏极层中的一IV族元素或一V族元素的一原子浓度;
在形成所述多个源极/漏极应力源之后,去除该虚设栅极结构;
在去除该虚设栅极结构之后,去除所述多个第一半导体层,使得所述多个第二半导体层悬浮在所述多个源极/漏极应力源之间;以及
形成一栅极结构以围绕悬浮的每一所述第二半导体层。
CN202011414019.2A 2020-04-16 2020-12-03 半导体元件及其制造方法 Pending CN113053754A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/850,974 US11233120B2 (en) 2020-04-16 2020-04-16 Semiconductor device and manufacturing method thereof
US16/850,974 2020-04-16

Publications (1)

Publication Number Publication Date
CN113053754A true CN113053754A (zh) 2021-06-29

Family

ID=76507909

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011414019.2A Pending CN113053754A (zh) 2020-04-16 2020-12-03 半导体元件及其制造方法

Country Status (3)

Country Link
US (3) US11233120B2 (zh)
CN (1) CN113053754A (zh)
TW (1) TW202141581A (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11233120B2 (en) * 2020-04-16 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20210336002A1 (en) * 2020-04-27 2021-10-28 Imec Vzw Tensile strained semiconductor monocrystalline nanostructure
EP3905338A1 (en) * 2020-04-27 2021-11-03 Imec VZW Strained semiconductor monocrystalline nanostructure
US11545555B2 (en) * 2020-07-31 2023-01-03 Qualcomm Incorporated Gate-all-around (GAA) transistors with shallow source/drain regions and methods of fabricating the same
TWI809806B (zh) * 2022-04-01 2023-07-21 南亞科技股份有限公司 半導體結構及其製造方法
CN116779450B (zh) * 2023-08-18 2024-03-26 北京北方华创微电子装备有限公司 半导体器件、光器件及半导体结构的制造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10546925B2 (en) * 2017-11-02 2020-01-28 International Business Machines Corporation Vertically stacked nFET and pFET with dual work function
US10553678B2 (en) * 2017-11-02 2020-02-04 International Business Machines Corporation Vertically stacked dual channel nanosheet devices
US10388569B1 (en) * 2018-06-26 2019-08-20 International Business Machines Corporation Formation of stacked nanosheet semiconductor devices
US11296226B2 (en) * 2019-10-16 2022-04-05 International Business Machines Corporation Transistor having wrap-around source/drain contacts and under-contact spacers
US11233120B2 (en) * 2020-04-16 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
US20210328012A1 (en) 2021-10-21
US11233120B2 (en) 2022-01-25
US20230378266A1 (en) 2023-11-23
US20220149172A1 (en) 2022-05-12
TW202141581A (zh) 2021-11-01
US11776998B2 (en) 2023-10-03

Similar Documents

Publication Publication Date Title
US20230352592A1 (en) Fin Field Effect Transistor (FinFET) Device and Method for Forming the Same
US11776998B2 (en) Gate-all-around device
TWI603477B (zh) 半導體元件及其形成方法
US20200051871A1 (en) Method of forming source/drain regions of transistors
CN102983165B (zh) 控制沟道厚度的FinFET设计
US8482079B2 (en) Semiconductor device and method of manufacturing the same
KR100856437B1 (ko) 반도체 디바이스 제조 방법 및 반도체 장치
US9947658B2 (en) Semiconductor device and manufacturing method thereof
US10957784B2 (en) Semiconductor device and manufacturing method thereof
TWI643339B (zh) 半導體結構及其形成方法
US10879354B2 (en) Semiconductor device and forming method thereof
KR20190055677A (ko) 에피택셜 소스/드레인을 갖는 반도체 디바이스
CN113078153A (zh) 半导体器件及其形成方法
WO2022183938A1 (en) Nanosheet metal-oxide semiconductor field effect transistor with asymmetric threshold voltage
US9831242B2 (en) Semiconductor device and manufacturing method thereof
US20180122908A1 (en) Silicon germanium alloy fin with multiple threshold voltages
US11728212B2 (en) Integrated circuit structure and manufacturing method thereof
US10707315B2 (en) Hybrid doping profile
TWI521565B (zh) 金氧半導體元件及其製造方法
US20240030312A1 (en) Method for manufacturing semiconductor device
US20230317791A1 (en) Semiconductor device and manufacturing method thereof
US20220359752A1 (en) Source/Drain Features With Improved Strain Properties
US10644109B2 (en) Digital alloy vertical lamellae FinFET with current flow in alloy layer direction
US20140252468A1 (en) Engineered Source/Drain Region for N-Type MOSFET
TW201338052A (zh) 金氧半導體元件的製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20210629

WD01 Invention patent application deemed withdrawn after publication