CN112969967A - 确定对过程的校正 - Google Patents

确定对过程的校正 Download PDF

Info

Publication number
CN112969967A
CN112969967A CN201980073186.4A CN201980073186A CN112969967A CN 112969967 A CN112969967 A CN 112969967A CN 201980073186 A CN201980073186 A CN 201980073186A CN 112969967 A CN112969967 A CN 112969967A
Authority
CN
China
Prior art keywords
parameter
value
model
sparse
semiconductor manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980073186.4A
Other languages
English (en)
Inventor
S·罗伊
E·M·休瑟博斯
R·沃克曼
阮俊儒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP18204882.7A external-priority patent/EP3650939A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN112969967A publication Critical patent/CN112969967A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706835Metrology information management or control
    • G03F7/706839Modelling, e.g. modelling scattering or solving inverse problems
    • G03F7/706841Machine learning
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/044Recurrent networks, e.g. Hopfield networks
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/33Director till display
    • G05B2219/33025Recurrent artificial neural network
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45028Lithography
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Quality & Reliability (AREA)
  • Evolutionary Computation (AREA)
  • Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Biophysics (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Molecular Biology (AREA)
  • General Health & Medical Sciences (AREA)
  • Data Mining & Analysis (AREA)
  • Computational Linguistics (AREA)
  • Biomedical Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Logic Circuits (AREA)

Abstract

一种用于配置半导体制造过程的方法,该方法包括:基于与半导体制造过程中的过程步骤的第一操作相关联的测量以及第一采样方案来获得第一参数的第一值;使用递归神经网络基于第一值来确定第一参数的预测值;以及将第一参数的预测值用于配置半导体制造过程中的过程步骤的后续操作。

Description

确定对过程的校正
相关申请的交叉引用
本申请要求于2018年11月7日提交的EP申请18204882.7、于2019年1月9日提交的EP申请19150953.8、于2019年5月13日提交的EP申请19173992.9、以及于2019年9月25日提交的EP申请19199505.9的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及确定对过程的校正的方法、半导体制造过程、光刻装置、光刻单元及相关计算机程序产品。
背景技术
光刻装置是一种被构造为将期望图案施加到衬底上的机器。光刻装置可以用于例如制造集成电路(IC)。光刻装置可以例如将图案形成设备(例如,掩模)处的图案(通常也称为“设计布局”或“设计”)投影到设置在衬底(例如,晶片)上的辐射敏感材料(抗蚀剂)层上。
为了将图案投影在衬底上,光刻装置可以使用电磁辐射。该辐射的波长确定可以形成在衬底上的特征的最小尺寸。当前使用的典型波长为365nm(i线)、248nm、193nm和13.5nm。与使用例如波长为193nm的辐射的光刻装置相比,使用波长在范围4nm至20nm内(例如,6.7nm或13.5nm)的极紫外(EUV)辐射的光刻装置可以用于在衬底上形成较小特征。
低k1光刻可以用于处理尺寸小于光刻装置的经典分辨率极限的特征。在这种过程中,分辨率公式可以表达为CD=k1×λ/NA,其中λ是所采用的辐射的波长,NA为光刻装置中投影光学元件的数值孔径,CD为“临界尺寸”(通常为所印刷的最小特征大小,但在这种情况下为半节距),而k1为经验分辨率因素。一般而言,k1越小,在衬底上再现与电路设计者为了实现特定电气功能性和性能所计划的形状和尺寸类似的图案就越困难。为了克服这些难题,复杂的微调步骤可以应用于光刻投影装置和/或设计布局。这些包括例如但不限于NA的优化、定制的照射方案、使用相移图案形成设备、诸如设计布局中的光学邻近效应校正(OPC,有时也称为“光学和过程校正”)之类的设计布局的各种优化、或通常被定义为“分辨率增强技术”(RET)的其他方法。可替代地,用于控制光刻装置的稳定性的紧密控制环路可以用于改善图案在低k1下的再现。
通过引用整体并入本文的国际专利申请WO 2015049087公开了一种获得与工业过程有关的诊断信息的方法。在执行光刻过程期间的阶段,进行对准数据或其他测量,以获得对象数据,该对象数据表示在空间上跨每个晶片分布的点处测量的位置偏差或其他参数。套刻和对准残差通常显示跨晶片的图案,这些图案被称为指纹。
在半导体制造时,关键尺寸(CD)性能参数指纹可以使用简单控制环路进行校正。通常,反馈机构使用扫描器(一种光刻装置)作为致动器来控制每个晶片平均剂量。同样,对于套刻性能参数套刻,处理工具所诱导的指纹可以通过调整扫描器致动器来校正。
稀疏显影后检查(ADI)测量被作为用于(通常为批次(run-to-run))控制扫描器的全局模型的输入。不太频繁测量的密集ADI测量用于每曝光建模(modelling perexposure)。通过使用密集数据以较高空间密度进行建模,可以对具有大残差的场执行每曝光建模。在没有对吞吐量产生不利影响的情况下,不会频繁进行需要如此较为密集计量采样的校正。
基于稀疏ADI数据的模型参数通常未准确表示密集测量的参数值是一个问题。这可能由于模型参数与指纹的未经捕获的部分之间发生的串扰而产生。更进一步地,对于这种稀疏数据集合,模型的尺寸可能过大。这引入了以下问题:每场模型(per-field model)无法完全捕获批次控制时的未经捕获的指纹。另一问题如下:分布式采样的稀疏到密集行为不稳定,其中不同晶片(和不同分组)具有不同的采样,因此叠加许多晶片的布局会有效导致密集测量结果。经建模的稀疏数据与密集测量的参数值之间存在大量残差。这会导致不良的指纹描述,从而导致每次曝光次优校正。
对于对准控制,在曝光期间,在不影响吞吐量的情况下,只能测量少数对准标记
Figure BDA0003052911820000031
是又一个问题。高阶对准控制需要更密集的对准布局,并且影响吞吐量。如图5所示,解决该问题的方案是在离线工具中测量更密集的对准标记(Takehisa Yahiro等人,Feed-forward alignment correction for advanced overlay process control usinga standalone alignment station"Litho Booster",Proc.SPIE 10585,微光刻技术XXXII的计量学、检查和过程控制),并且在曝光期间前馈这种高阶校正,其中在曝光期间,仍会计算出低阶校正。
对于套刻控制,实际上,在几个分组中只能执行一次密集套刻测量(其被称为高阶参数更新)以更新高阶校正。用于确定扫描器控制方案(recipe)的高阶参数在高阶参数更新测量之间不会发生改变。
发明内容
期望提供一种确定对过程的校正的方法,该方法解决了上文所讨论的问题或限制中的一个或多个问题或限制。
在权利要求书和具体实施方式中公开了本发明的实施例。
在本发明的第一方面中,提供了一种用于确定对过程的校正的方法,该方法包括:
-获得使用稀疏采样布局测量的第一稀疏数据,该第一稀疏数据表示跨经过该过程的一个或多个衬底的参数的测量值;
-获得使用密集采样布局测量的密集数据,该密集数据表示跨经过该过程的一个或多个衬底的参数的测量值,该密集采样布局在空间上比稀疏采样布局更密集;
-将模型应用于稀疏数据和密集数据,以确定稀疏到密集失配;
-获得使用稀疏采样布局测量的第二稀疏数据,该第二稀疏数据表示跨经过该过程的衬底的参数的测量值;
-基于稀疏到密度失配来调整模型;
-将经调整模型应用于第二稀疏数据,以确定稀疏模型结果;以及
-基于稀疏模型结果来确定对过程的校正。
在本发明的第二方面中,提供了一种半导体制造过程,其包括根据第一方面的方法的一种用于确定对过程的校正的方法。
在本发明的第三方面中,提供一种光刻装置,该光刻装置包括:
-照射系统,被配置为提供投影辐射束;
-支撑结构,被配置为支撑图案形成设备,该图案形成设备被配置为根据期望图案对投影束进行图案化;
-衬底台,被配置为保持衬底;
-投影系统,被配置为将经图案化光束投影到衬底的目标部分上;以及
-处理单元,被配置为根据第一方面的方法确定对过程的校正。
在本发明的第四方面中,提供一种光刻单元,其包括第三方面的光刻装置。
在本发明的第五方面中,提供了一种计算机程序产品,包括机器可读指令,该机器可读指令用于使得通用数据处理装置执行第一方面的方法的步骤。
还期望提供一种在无需以高时间采样频次跨衬底密集测量参数数据的情况下对参数数据进行建模的方法。
在本发明的第六方面中,提供了一种对参数数据进行建模的方法,该方法包括:获得与衬底上的多个位置相关联的参数的值集合;基于对多个位置处的一个或多个基函数的评估来获得第一值矩阵;基于将可调整数矩阵训练为与先前衬底相关联的先前获得的参数的值的集合来获得第二值矩阵;使用第一值矩阵和第二值矩阵以及所获得的值集合来确定模型的系数;以及使用系数和第二值矩阵来提供参数的建模值。
还期望提供一种预测与半导体制造过程相关联的参数的方法,该方法降低了提供密集测量的数据的频次,同时保留了准确控制过程的能力。
在本发明的第七方面中,提供了一种用于配置半导体制造过程的方法,该方法包括:基于与半导体制造过程中的过程步骤的第一操作相关联的测量以及第一采样方案来获得第一参数的第一值;使用递归神经网络基于第一值来确定第一参数的预测值;以及将第一参数的预测值用于配置半导体制造过程中的过程步骤的后续操作。
优选地,该方法还包括:使用第一参数的预测值来确定半导体制造过程中的过程步骤的后续操作的控制方案,该第一参数的预测值基于从在半导体制造过程中的过程步骤的第一操作处的测量获得的第一值来确定。
在本发明的第八方面中,提供了一种半导体制造过程,该半导体制造过程包括根据第七方面的方法的一种用于预测与该半导体制造过程相关联的参数的值的方法。
在本发明的第九方面中,提供一种光刻装置,其包括:
-照射系统,被配置为提供投影辐射束;
-支撑结构,被配置为支撑图案形成设备,该图案形成设备被配置为根据期望图案对投影光束进行图案化;
-衬底台,被配置为保持衬底;
-投影系统,被配置为将经图案化光束投影到衬底的目标部分上;以及
-处理单元,被配置为:
根据第七方面的方法预测与半导体制造过程相关联的参数的值。
在本发明的第十方面中,提供了一种计算机程序产品,包括机器可读指令,该机器可读指令用于使得通用数据处理装置执行第七方面的方法的步骤。
附图说明
现在,仅通过示例参考所附示意图对本发明的实施例进行描述,其中
-图1描绘了光刻装置的示意性概图;
-图2描绘了光刻单元的示意性概图;
-图3描绘了整体光刻的示意表示,其表示三种关键技术之间的协作以优化半导体制造;
-图4示意性地描绘了过程的显影后套刻控制和蚀刻后套刻控制;
-图5是根据一个实施例的用于确定对过程的校正的方法的流程图;
-图6是根据另一实施例的使用分布式密集采样确定对过程的校正的方法的流程图;
-图7描绘了比较常规方法和实施例的残余套刻性能的示例的结果;以及
-图8描绘了与图7的比较类似,但是用于分布式采样的示例比较的结果;
-图9描绘了一种对测量的参数数据进行建模的方法;
-图10描绘了根据一个实施例的对测量的参数数据进行建模的方法;
-图11描绘了对半导体制造过程的套刻采样和控制的示意性概图;
-图12示出了对半导体制造过程的已知对准采样和控制的示意性概图;
-图13描绘了根据本发明一个实施例的对半导体制造过程的采样和控制的方法的示意性概图;
-图14是与图11的采样和控制方案相对应的套刻较高阶参数更新的图;
-图15是根据本发明的一个实施例的没有更新的套刻较高阶参数更新的图;
-图16是根据本发明的一个实施例的其更新与图13的采样和控制方案相对应的套刻较高阶参数更新的图;以及
-图17描绘了没有高阶套刻参数校正、与图14相对应的套刻较高阶参数更新、以及与图15和图16相对应的套刻参数预测的经测量的套刻的晶片图。
具体实施方式
在本文档中,术语“辐射”和“束”用于涵盖所有类型的电磁辐射,其包括紫外线辐射(例如,其中波长为365nm、248nm、193nm,157nm或126nm)和EUV(极紫外辐射,例如,波长在约5nm至100nm范围内)。
本文中使用的术语“掩模版”、“掩模”或“图案形成设备”可以广义地解释为是指一种通用图案形成设备,其可以用于赋予入射辐射束以经图案化的横截面,该经图案化的横截面与要在衬底的目标部分中产生的图案相对应。在该上下文中,也可以使用术语“光阀”。除了经典掩模(透射或反射、二进制、相移、混合等)之外,其他此类图案形成设备的示例还包括可编程反射镜阵列和可编程LCD阵列。
图1示意性地描绘了光刻装置LA。该光刻装置LA包括照射系统(也称为照射器)IL,其被配置为调节辐射束B(例如,UV辐射、DUV辐射或EUV辐射);掩模支撑件(例如,掩模台)MT,其被构造为支撑图案形成设备(例如,掩模)MA并且连接到第一定位器PM,该第一定位器PM被配置为根据某些参数来精确定位图案形成设备MA;衬底支撑件(例如,晶片台)WT,其被构造为保持衬底(例如,涂有抗蚀剂的晶片)W并且连接到第二定位器PW,该第二定位器PW被配置为根据某些参数精确定位衬底支撑件;以及投影系统(例如,折射投影透镜系统)PS,其被配置为将通过图案形成设备MA赋予辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个裸片)上。
操作时,照射系统IL从辐射源SO(例如,经由光束传递系统BD)接收辐射束。照射系统IL可以包括各种类型的光学部件,诸如折射光学部件、反射光学部件、磁性光学部件、电磁光学部件、静电光学部件和/或其他类型的光学部件、或其任何组合,用于引导、成形和/或控制辐射。照射器IL可以用于调节辐射束B以使其在图案形成设备MA的平面处的横截面中具有期望空间和角度强度分布。
视正在使用的曝光辐射和/或诸如使用浸没液体或使用真空之类的其他因素的情况而定,本文中所使用的术语“投影系统”PS应当广义地解释为涵盖各种类型的投影系统,包括折射光学系统、反射光学系统、折反射光学系统、变形光学系统、磁性光学系统、电磁光学系统和/或静电光学系统、或其任何组合。本文中术语“投影透镜”的任何使用可以被认为与更通用术语“投影系统”PS同义。
光刻装置LA可以是其中衬底的至少一部分可以被具有相对较高的折射率的液体(例如,水)覆盖以填充投影系统PS与衬底W之间的空间的类型,其也称为浸没光刻。通过引用并入本文的US6952253中给出关于浸没技术的更多信息。
光刻装置LA也可以是具有两个或更多个衬底支撑件WT的类型(也称为“双平台”)。在这种“多平台”机器中,可以并行使用衬底支撑件WT,和/或可以在位于衬底支撑件WT中的一个衬底支撑件上的衬底W上进行衬底W的随后曝光的准备步骤,同时另一衬底支撑件WT上的另一衬底W正被用于在另一衬底W上曝光图案。
除了衬底支撑件WT之外,光刻装置LA可以包括测量平台。测量平台被布置为保持传感器和/或清洁设备。传感器可以被布置为测量投影系统PS的特性或辐射束B的特性。测量平台可以保持多个传感器。清洁设备可以被布置为清洁光刻装置的一部分,例如,投影系统PS的一部分或提供浸没液体的系统的一部分。当衬底支撑件WT远离投影系统PS时,测量平台可以在投影系统PS下面移动。
操作时,辐射束B入射在图案形成设备(例如,掩模MA)上,后者被保持在掩模支撑件MT上,并且通过图案形成设备MA上存在的图案(设计布局)进行图案化。在穿过图案形成设备MA之后,辐射束B穿过投影系统PS,其将束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置测量系统IF,衬底支撑件WT可以精确移动,例如,以便将不同的目标部分C定位在辐射束B的路径中的聚焦和对准位置处。同样,第一定位器PM以及可能的另一位置传感器(其在图1中未明确示出)可以用于相对于辐射束B的路径精确定位图案形成设备MA。图案形成设备MA和衬底W可以使用掩模对准标记M1,M2和衬底对准标记P1,P2来对准。尽管如所图示的衬底对准标记P1,P2占据专用目标部分,但是它们可以位于目标部分之间的空间中。当衬底对准标记P1,P2位于目标部分C之间时,它们被称为划线对准标记。
如图2所示,光刻装置LA可以形成光刻单元LC的一部分,该光刻单元LC有时称为光刻单元(lithocell)或(光刻)簇。该光刻单元LC还可以包括对衬底执行曝光前过程和曝光后过程的装置。传统上讲,这些装置包括用于沉积抗蚀剂层的旋涂器SC、使经曝光的抗蚀剂显影的显影剂DE、激冷板CH和/或烘烤板BK,例如,用于调节衬底W的温度(例如,用于调节抗蚀剂层中的溶剂)。衬底处理器或机械手RO从输入/输出端口I/O1,I/O2拾取衬底W,在不同的过程装置之间移动它们,然后将衬底W传送到光刻装置LA的进料台LB。光刻单元中的这些设备(经常被统称为轨道)通常处于轨道控制单元TCU的控制下,该轨道控制单元TCU本身由监管控制系统SCS进行控制,该监管控制系统还例如经由光刻控制单元LACU控制光刻装置LA。
为了正确且一致地曝光由光刻装置LA曝光的衬底W,期望检查衬底以测量经图案化的结构的特性,诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)等。为此,检查工具(未示出)可以包括在光刻单元LC中。如果检测到误差,则例如可以对后续衬底的曝光或要对衬底W执行的其他过程步骤进行调整,尤其是在仍然要对相同批或分组的其他衬底W进行曝光或处理之前进行检查的情况下。
检查装置(其还可以称为计量装置)用于确定衬底W的特性,具体地,确定不同衬底W的特性如何变化或与相同衬底W的不同层相关联的特性如何逐层变化。可替代地,检查装置可以被构造为标识衬底W上的缺陷,并且可以例如是光刻单元LC的一部分,或可以集成到光刻装置LA中,或甚至可以是独立设备。检查装置可以测量潜像(曝光之后的抗蚀剂层中的图像)、半潜像(曝光后烘烤步骤PEB之后的抗蚀剂层中的图像)、或经显影的抗蚀剂图像(其中已经移除了抗蚀剂的经曝光的部分或未经曝光的部分)、或甚至经蚀刻的图像(图案传递步骤(诸如蚀刻)之后)上的特性。
通常,光刻装置LA中的图案化过程是需要在衬底W上对结构进行高精度尺寸确定和放置的处理中最关键步骤中的一个步骤。为了确保这种高精度,如图3所示意性地描绘的,三个系统可以组合成所谓的“整体”控制环境。这些系统中的一个系统是光刻装置LA,其(虚拟地)连接到计量工具MT(第二系统)和计算机系统CL(第三系统)。这种“整体”环境的关键是优化这三个系统之间的配合以增强整个过程窗口并且提供紧密控制环路,以确保由光刻装置LA执行的图案化保持在过程窗口内。过程窗口定义了过程参数(例如,剂量、焦距和套刻)范围,在该过程参数范围内,特定制造过程产生经定义的结果(例如,功能半导体器件)——通常在该过程参数范围内,允许光刻过程或图案化过程中的过程参数发生变化。
计算机系统CL可以使用要图案化的设计布局(的一部分)来预测使用哪些分辨率增强技术,并且执行计算光刻模拟和计算以确定哪些掩模布局和光刻装置设置实现图案化过程的最大总体过程窗口(在图3中,由第一标尺SC1中的双箭头描绘)。通常,分辨率增强技术被布置为匹配光刻装置LA的图案化可能性。计算机系统CL还可以用于检测光刻装置LA当前正在操作(例如,使用来自计量工具MT的输入)所在的过程窗口内的哪个位置,以预测缺陷是否可能由于例如次优处理而存在(在图3中,由第二标尺SC2中的指向“0”的箭头描绘)。
计量工具MT可以向计算机系统CL提供输入以使得能够进行精确模拟和预测,并且可以向光刻装置LA提供反馈以标识例如光刻装置LA在校准状态下的的可能漂移(在图3中,由第三标尺SC3中的多个箭头描绘)。
使用稀疏到密集失配校正的过程控制
图4示意性地描绘了过程的显影后套刻控制和蚀刻后套刻控制。反馈控制环路在光刻装置外部运行。在控制环路设计中,以下部分起作用。
水平箭头402表示衬底通过光刻过程的流动。几个箭头被堆叠,其表示时间t。曝光(EXP)404之后是显影后检查(ADI)套刻测量406、408。蚀刻(ETC)410之后是密集且稀疏蚀刻后检查(AEI)套刻测量412以及超密集的蚀刻后检查套刻测量414。稀疏测量406、412用于限制计量时间,其中密集测量408、412、414由于它们需要更多计量时间而被执行的频次较低。使用稀疏采样布局的显影后406测量被执行以产生稀疏ADI数据416(例如,每个晶片≤200个点)。使用某个模型(由不同参数集合组成;例如,径向切向场间参数、双曲或指数边缘模型或场内多项式模型)对稀疏数据进行建模,该模型以充分方式(指纹捕获)描述过程指纹428,而无需引入过多噪声。参数越多意味着指纹描述越好,但噪声也就越多。
稀疏模型结果(过程指纹)428在各分组上被求平均(例如,使用指数加权移动平均),以减少分组间变化的影响,并且如此可以直接或经由校正优化步骤(OPT)426而被使用,以提供可以应用于一个或多个下一分组的曝光404的稳定校正。
稀疏测量布局被优化以将数据捕获到模型(减少了归一化模型的不确定性),并且具有均匀空间覆盖。模型的不确定性通常被定义为:将模型应用于测量时,测量误差相对于建模(例如,拟合)误差的传播。美国专利申请公开US2018/0067900的第[0170]段给出了模型的不确定性(更具体地为,归一化模型的不确定性(nMU)(通常被称为G最优性准则))的更详尽的解释,该专利申请的全部内容通过引用并入于此。
使用稀疏采样布局或密集采样布局的蚀刻后412测量产生稀疏AEI数据422。这些蚀刻后测量数据422用于导出全局稀疏模型结果434。全局稀疏模型结果434用于经由ADI测量步骤406、408将模型偏移(计量到设备,MTD)432施加到显影后数据。可以进行该可选步骤,以使控制基于减少蚀刻后套刻。
执行密集显影后408测量或超密集蚀刻后414测量。由于需要附加计量工作量,所以通常不会对每个分组都执行这些测量。密集数据418(例如,每个晶片2,000个点)或超密集数据424(例如,每个晶片10,000个点)还用于对与各个曝光场相关联的指纹进行建模,例如,以实现对套刻指纹的每次曝光校正(CPE)。为此,执行较高阶建模(通常,每场模型)以提供密集模型结果436和超密集模型结果438。假设是较高阶指纹可能更稳定,并且如此不必被每个堆建模。
为了避免双重校正,基于较低阶模型的残差来确定较高阶模型。可以基于密集数据来确定较低阶模型的内容,然而,这可能会导致密集到稀疏失配。即,规则的较低模型确定基于稀疏数据,该稀疏数据可能(仅在较低阶模型没有捕获指纹时——通常是这种情况)给出与在密集数据上建模时不同的结果。为了对此进行校正,首先可以对密集数据进行下采样,以确定较低阶模型的内容。因此,每次曝光建模基于经稀疏子采样数据的残差。
较高阶(每次曝光建模)ADI指纹430和较高阶(每次曝光建模)AEI指纹438被添加到(可选地,还在分组上求平均之后)较低阶指纹中,以经由优化步骤(OPT)426提供单个校正集合。
蚀刻后测量与显影后测量具有相同密度,或蚀刻后测量具有比显影后测量更高的密度,因此允许甚至更高阶拟合。
附加地或可替代地,可以借助于分布式采样来收集密集数据。不同晶片(和不同分组)具有不同的采样方案,因此,叠加许多晶片的采样方案的布局有效导致密集测量结果。在这种情况下,无需单独密集测量。
存在稀疏到密集失配的问题。稀疏测量的执行取决于可能通过有限数目的采样点对指纹的描述程度。如果所使用的模型没有完全描述指纹(通常是这种情况),则指纹捕获将不会像使用密集布局时那样好。
存在密集套刻到密集设备测量失配的问题。密集套刻数据并不总是代表晶片上实际产品结构之间的套刻。原因在于套刻目标的设计在本质上与产品结构不同,因此对用于计量的光学信号具有不同的响应。这有助于计量对设备偏移。计量设备的固有噪声在其中也起作用。如果可以训练套刻数据(诸如使用电气测量作为参考)以滤除此偏移,则‘更清洁’的套刻测量可能用以控制产品套刻。
存在分布式采样中的噪声传播的问题。这是一种稀疏到密集失配的形式,但是现在从晶片到晶片或从分组到分组都会发生变化(其取决于分布类型)。
另一问题是:稀疏数据上使用的模型的尺寸可能过大。
通常,每场模型被用于每次曝光(场)建模,其部分地将拾取稀疏到密集失配,该每场模型并非针对该稀疏到密集失配而设计。可以使用全局模型,但是会遗漏每场模型内容。就计量需求而言,组合很昂贵,并且会显示噪声性能增加。
另一问题是:每次曝光建模不会捕获每个堆的较高阶内容或稀疏到密集失配。
在分布式采样的情况下,每次曝光建模中的密集到稀疏处理并非是最佳的,除了当关于稀疏测量而对相同晶片进行测量时以及当特定稀疏布局被用于失配处理时之外。实际上,即使在分布式采样的情况下,也将不会对每个分组执行每次曝光建模更新。
在下文参考图5和6所描述的一个实施例中,历史数据用于着重于稀疏到密集失配,以解决上述问题。例如,在给定模型和采样布局的情况下,采取以下步骤:
根据历史数据,稀疏套刻测量用作可训练数据集,而密集套刻数据用作参考数据集。
根据模型的应用,使用自动算法,对模型校正参数的哪个或哪些部分负责显著稀疏到密集失配进行评估。根据该知识,获得加权因子集合,该加权因子集合使针对该历史数据集而言的稀疏到密集失配最小。该经调整模型被应用于未来数据集。
图5是根据一个实施例的用于确定对过程的校正的方法的流程图。该方法具有以下步骤:
获得使用稀疏采样布局测量502的第一(历史)稀疏数据504,该第一(历史)稀疏数据504表示跨经过该过程的衬底上的参数的测量值。参数的示例为套刻、对准、聚焦、以及剂量。
获得使用密集采样布局测量510的历史密集数据512,该历史密集数据512表示跨经过该过程的一个或多个衬底上的参数的测量值,该密集采样布局在空间上比稀疏采样布局更密集。
将模型508应用于506稀疏数据和密集数据,以确定稀疏到密集失配。
获得第二稀疏数据522,该第二稀疏数据522表示跨经过该过程的整个衬底上的参数的测量值。该第二稀疏数据522被使用稀疏采样布局测量516。
基于稀疏到密集失配,对模型508进行调整524。这可以通过评估模型的相应部分对稀疏到密集失配的不同贡献来进行。然后,确定加权514因子,以对模型的相应部分进行加权,以减少稀疏到密集失配或优选地使稀疏到密集失配最小。模型508使用加权因子514进行调整。确定稀疏到密集失配可以包括:在第一稀疏数据和密集数据上对矩阵进行训练。在这种情况下,基于稀疏到密集失配来调整模型的步骤然后包括:使用矩阵来修改第二稀疏数据。
将经调整模型应用于524第二稀疏数据522,以确定稀疏模型结果526。
稀疏模型结果526可以在几个分组上求平均532。基于稀疏模型结果526来确定542对过程的校正。
然后,将校正应用于过程以控制过程。例如,可以基于校正来调整扫描器设置,从而控制光刻过程。
半导体器件536的蚀刻后检查或电学测量可以用于确定计量到设备(MTD)模型偏移540,该偏移540与经确定校正542一起应用。当关注套刻与某种其他测量之间的MTD时,其他测量可以用作参考密集数据512,而稀疏套刻数据504本身可以被训练为该参考密集数据512。
图6是使用分布式密集采样的根据另一实施例的用于确定对过程的校正的方法的流程图。与图5的特征相同的特征具有相同附图标记。该方法具有以下步骤:
获得使用稀疏采样布局测量502的第一(历史)稀疏数据504,该第一(历史)稀疏数据504表示跨经过该过程的衬底的参数的测量值。
获得使用密集采样布局测量510的历史密集数据512,该历史密集数据512表示跨经过该过程的一个或多个衬底的参数的测量值,该密集采样布局在空间上比稀疏采样布局更密集。
将模型508应用于506稀疏数据和密集数据,以确定稀疏到密集失配。
通过对第二密集数据618进行下采样620获得第二稀疏数据622,该第二密集数据618表示跨经过过程的多个衬底的参数的测量值。密集数据618使用分布在多个衬底上的不同的稀疏采样布局来测量616。
基于稀疏到密集失配来对模型508进行调整524。这可以通过评估模型的相应部分对稀疏到密集失配的不同贡献来进行。确定加权514因子,以对模型的相应部分进行加权,以减少稀疏到密集失配或优选地使稀疏到密集失配最小。模型508使用加权因子514进行调整。确定稀疏到密集失配可以包括:在第一稀疏数据和密集数据上对矩阵进行训练。在这种情况下,基于稀疏到密集失配来调整模型的步骤然后包括:使用矩阵来修改第二稀疏数据。
将经调整模型应用于524第二稀疏数据522,以确定稀疏模型结果526、628。稀疏模型结果包括稀疏模型残差628。
将模型508应用于630稀疏模型残差628以确定密集模型结果634,该密集模型结果634是每次曝光建模指纹。密集模型结果634可以在几个分组上求平均638。
稀疏模型结果526可以在几个分组上求平均532。基于稀疏模型结果526和密集模型结果634来确定542对过程的校正。
然后,将校正应用于过程以控制过程。例如,可以基于校正来调整扫描器设置,从而控制光刻过程。
半导体器件536的蚀刻后检查或电学测量可以用于确定计量到设备(MTD)模型偏移540,该偏移540与经确定校正542一起应用。当关注套刻与某种其他测量之间的MTD时,其他测量可以用作参考密集数据512,而稀疏套刻数据504本身可以被训练为该参考密集数据512。
在实施例中,实现了一种将拟合稀疏参数数据(例如,稀疏ADI套刻、对准、焦距等)相关联的模型参数映射到经更新模型参数的方法,该经更新模型参数被更好地装配以描述跨晶片的感兴趣参数的值的密集分布。
例如,可以在历史稀疏和密集(ADI/AEI)数据上对矩阵进行训练,该矩阵将稀疏模型参数映射到经修改的稀疏模型参数,后者更适合于表示密集测量的参数数据。
使用经修改的模型参数在经建模的稀疏数据与密集测量的参数值之间产生较小的残差,从而得出更好的指纹描述(全局模型和每场模型),从而得出更优的每次曝光校正(CPE)的校正。
图7描绘了比较常规方法和实施例的密集布局的残余套刻性能的示例的结果。稀疏布局包含200个点,其中密集布局为
Figure BDA0003052911820000161
点。示出了套刻残差的晶片图702至710。较大残差被描绘为晶片上每个点上的较长向量。因此,晶片图的较暗区域表示较差的残余套刻性能。示出了使用常规方法(A)的经去校正702的结果和经校正704的结果。示出了根据使用参考图5所描述的实施例的方法(B)的经去校正708的结果和经校正710的结果。针对常规方法(A)和实施例(B),箱形图712以nm为单位比较了x方向(OVX)和y方向(OVY)上的均值3-sigma套刻(套刻残差)。与常规方法(A)相比,实施例(B)具有较低的套刻残差。这说明了实施例的优点。
图8描绘了用于分布式采样的示例的结果。分布式布局在每个不同的稀疏布局中的200个点上使用12晶片周期,以提供密集布局(~2000个点)。关于图7示出了套刻残差的晶片图802至812。示出了使用常规方法(A)的经去校正802的结果和经校正804、806的结果。示出了使用根据参考图6所描述的实施例的方法(B)的经去校正808的结果和经校正后810、812的结果。建模分两个步骤进行:在全局级别804、810上以及根据针对每次曝光校正(CPE)模型(每次曝光建模级别)806、812的全局残差。
针对在全局级别上的常规方法(A)和实施例(B)(步骤1),箱形图814以nm为单位比较了x方向(OVX)和y方向(OVY)上的均值3-sigma套刻(套刻残差)。与常规方法(A)相比,实施例(B)具有较低的套刻残差。
针对在每次曝光建模级别上的常规方法(A)和实施例(B)(步骤2),箱形图816以nm为单位比较了x方向(OVX)和y方向(OVY)上的均值3-sigma套刻(套刻残差)。与常规方法(A)相比,实施例(B)具有较低的套刻残差。实施例(B)的噪声抑制在全局级别814上已有所帮助,因此累积效应使得每次曝光建模校正甚至在每次曝光建模级别816上更加具有噪声自由。
可以在半导体制造过程中实现实施例,该半导体制造过程包括根据本文中所描述的实施例的用于确定对过程的校正的方法。
实施例可以在如参考图1和图2所描述的光刻装置或光刻单元中实现。
可以在包括机器可读指令的计算机程序产品中实现实施例,这些机器可读指令用于使得通用数据处理装置(诸如图2中的LACU)执行本文中所描述的方法的步骤。
实施例主动地关于历史数据调整套刻模型,从而抑制来自该套刻模型的噪声。从历史数据集中采集的信息被用于了解关于计量数据和模型/采样的相互作用的更多信息。实施例还提供了分布式采样时的两步噪声抑制。
如果我们观察训练结果并且确定哪些参数正在变得越来越重要而哪些参数正在变得不相关,则我们可能会了解哪个过程工具出现故障,然后主动地改善控制流程(诸如增加每次曝光建模频次等)。
如上文所提及的,通常每场模型用于每次曝光建模,该每次曝光建模部分地将拾取稀疏到密集失配,而该每次曝光建模并非针对该稀疏到密集失配而设计。实施例减少了每场模型的噪声影响,从而可以使用更少的测量点进行每次曝光建模更新。
总之,实施例提供了改进过程控制,从而导致了过程产率性能增益。
到目前为止所描述的与本发明各方面有关的其他实施例在如下经编号条款的列表中公开:
1.一种确定对过程的校正的方法,该方法包括:
-获得使用稀疏采样布局测量的第一稀疏数据,该第一稀疏数据表示跨经过该过程的一个或多个衬底的参数的测量值;
-获得使用密集采样布局测量的密集数据,该密集数据表示跨经过该过程的一个或多个衬底的参数的测量值,该密集采样布局在空间上比稀疏采样布局更密集;
-将模型应用于稀疏数据和密集数据,以确定稀疏到密集失配;
-获得使用稀疏采样布局测量的第二稀疏数据,该第二稀疏数据表示跨经过该过程的衬底的参数的测量值;
-基于稀疏到密度失配来调整模型;
-将经调整模型应用于第二稀疏数据,以确定稀疏模型结果;以及
-基于稀疏模型结果来确定对过程的校正。
2.根据条款1所述的方法,其中基于稀疏到密集失配来适配模型的步骤包括:
-评估模型的相应部分对稀疏到密集失配的不同贡献;
-确定加权因子,用于对模型的相应部分进行加权,以减少稀疏到密集失配;以及
-使用加权因子调整模型。
3.根据条款2所述的方法,其中确定加权因子的步骤包括:
-确定加权因子,该加权因子用于对模型的相应部分进行加权,以使稀疏到密集失配最小。
4.根据任何前述条款所述的方法,其中确定稀疏到密集失配包括:在第一稀疏数据和密集数据上对矩阵进行训练;并且基于稀疏到密集失配来调整模型的步骤包括:使用矩阵来修改第二稀疏数据。
5.根据任何前述条款所述的方法,其中
-获得第二稀疏数据的步骤包括:通过对第二密集数据进行下采样获得第二稀疏数据,该第二密集数据表示跨经过过程的多个衬底的参数的测量值,该密集数据使用分布在多个衬底上的不同的稀疏采样布局来测量;
-稀疏模型结果包括稀疏模型残差;
-该方法还包括:将模型应用于稀疏模型残差,以确定密集模型结果;以及
-确定对过程的校正的步骤还基于密集模型结果。
6.一种半导体制造过程,包括根据任何前述条款所述的方法的用于确定对过程的校正的方法。
7.一种光刻装置,包括:
-照射系统,被配置为提供投影辐射束;
-支撑结构,被配置为支撑图案形成设备,该图案形成设备被配置为根据期望图案对投影束进行图案化;
-衬底台,被配置为保持衬底;
-投影系统,被配置为将经图案化的束投影到衬底的目标部分上;以及
-处理单元,被配置为根据条款1至5中任一项所述的方法确定对过程的校正。
8.一种光刻单元,包括根据条款7所述的光刻装置。
9.一种计算机程序产品,包括机器可读指令,这些机器可读指令用于使得通用数据处理装置执行根据条款1至5中任一项所述的方法的步骤。
经稀疏测量的参数数据的基于机器学习的增强
在本发明的另一方面中,基于密集测量数据来对模型进行训练。典型模型包括模型系数和基函数,其中模型系数与基函数相关联。基函数可以在感兴趣测量网格上的坐标上进行评估,然后与模型系数相乘,以便获得感兴趣参数(例如,套刻等)的一个或多个建模值。
传统上讲,模型的使用通过构造设计矩阵来实现,该设计矩阵包括大小为N*M的矩阵DM,该矩阵DM包括:通过确定每个基函数1..M在每个坐标1..N处的值,而获得的针对M个基函数中的每个基函数的N个值。坐标通常与参数(套刻、对准、CD、或任何其他性能或过程参数)的测量值针对其可用的坐标相关联。将设计矩阵DM与拟合系数为f1..fM的列向量F相乘,得到值接近感兴趣参数的原始测量值的列向量MV。这在图9中进行了描绘。在数学上,基于可用测量数据的向量确定拟合系数的任务则为:DM*F=MV,其可以转换为:F=(DM)^-1*MV。进一步参考国际专利申请WO2013092106A1,特别是第[0387]段至第[0394]段,其解释了定义设计矩阵并确定采样方案的方法,该采样方案被定制以使与该采样方案相关联的模型不确定性最小。
所利用的基函数必须表示(例如,跨衬底、衬底上的场、甚至场内的区域(子场)的)感兴趣参数的值的典型空间行为。当例如跨衬底的套刻指纹通常被限制为六阶多项式阶时,所利用的基函数不必扩展到十阶多项式,因为这可能导致过度拟合行为。然而,情况可能恰恰相反;如果预期参数值表现出高阶行为,则基函数需要扩展到足够高阶,以便在精度足够高的情况下对测量数据进行建模。在后一情况下,必须要获得拥有足够高密度的测量数据,以防止发生拟合不足——通常被视为非物理拟合伪像,诸如建模参数值的高幅度和高阶波动。因此,现有技术建模方法的缺点是要求所选定的模型(例如,所选定的基函数集合)需要与在可能的长时间段期间实际发生的空间变化兼容。这可能需要在时间上频繁地且在空间上密集地对参数(值)进行测量,以便确保建模值表示实际参数指纹行为。然而,在大批量制造环境中,很少有机会满足该要求,因为这会对制造过程的吞吐量产生负面影响。
因此,需要一种建模方法,其确保对感兴趣参数的测量数据内所包括的所有实际发生的空间分量进行高精度建模,同时需要对密集测量数据进行训练,但要被部署在频繁测量的稀疏测量数据上。
提出了通过所谓的自由形式参数来扩展现有技术的建模方法,这些自由形式参数与基函数无关,但是要在关于密集测量数据对设计矩阵进行评估之外,对这些自由形式参数进行训练。图10中描绘了本发明的方法。自由形式参数被布局为尺寸为N*L的自由形式矩阵FM,其中L为与自由形式矩阵FM相关联的自由形式系数的数目,并且自由形式矩阵的值为(动态)可调整数字。拟合系数列向量F'现在包括与基函数相关联的拟合系数、以及还包括L个自由形式拟合系数。可以基于先前定义的矩阵方程(F=(DM^-1)*MV)来确定与基函数相关联的拟合系数,同时可以对自由形式参数进行调谐,以提高建模参数值的质量(例如,基于与测量值M的相似性)。可以例如通过使用应用于矩阵方程F’=((DM+FM)^-1)*MV的梯度下降方法来确定自由形式参数和自由形式系数。主要结果是获得自由形式参数和自由形式系数的优化集合。
可以使用密集测量的参数值的数据集通过机器学习方法获得自由形式参数。在这种情况下,自由形式参数被认为是与所观察的参数值(测量)相关的特征。训练方面确保模型(尤其是经训练的自由形式拟合系数)准确表示测量数据的较高阶行为。通常,通过使用较低阶基函数和与这些基函数相关联的拟合系数对频繁测量的稀疏数据进行建模,来准确地获取较低阶行为。然后,经建模的测量数据的高阶内容主要由经训练的自由形式参数和自由形式拟合系数提供。利用本发明的建模方法,无需频繁提供密集测量数据,因为模型本身提供了较高阶内容(预测),而不依赖于数据的某个最小所需密度。
在本发明的一个实施例中,提供了一种对参数数据进行建模的方法,该方法包括:获得与衬底上的多个位置相关联的参数的值;以及基于对多个位置处的一个或多个基函数的评估来提供第一值矩阵并且基于将可调整数字矩阵训练为与先前衬底相关联的参数的先前获得的值集合来提供第二值矩阵;合计第一值集合和第二值集合;使用所合计的集合和所获得的参数的值来确定模型的系数;以及使用系数和第二值矩阵来提供参数的经建模值。
在一个实施例中,该参数为套刻。
在一个实施例中,多个位置与跨一个或多个衬底的稀疏测量方案相关联,一个或多个衬底例如为在半导体制造过程中处理的晶片。
在一个实施例中,基函数为多项式。
在一个实施例中,基函数为相互正交。
在一个实施例中,基函数跨衬底至多为第六阶。
在一个实施例中,多个位置与晶片上的曝光场有关。
在一个实施例中,模型参数为自由形式模型参数。
在一个实施例中,经训练的关系基于跨一个或多个密集采样的先前衬底的参数的初始值来获得。
在一个实施例中,经训练的关系是基于使用诸如神经网络之类的机器学习方法以建立各个模型参数与跨一个或多个衬底的参数的指纹之间的关系。
在一个实施例中,参数的指纹包括较高阶分量。
在一个实施例中,较高阶分量与参数的样本点特定值有关。
可替代地,测量数据的建模可以完全基于自由形式模型参数和自由形式系数。在这种情况下,根据实施例的对参数数据进行建模的方法包括:获得与衬底上的多个位置相关联的参数的值集合;以及使用该值集合作为机器学习模型的输入,该机器学习模型被训练为将参数的一个或多个值映射到参数的预测值以获得参数的建模值。在这种情况下,与衬底上的各个位置相关联的参数的值被认为是可以映射到这些位置处的参数的(建模的)值的特征。例如,机器学习模型可以为具有权重和偏差的神经网络,该神经网络被优化为将所获得的值映射到建模值。训练可以是基于定义N*M个自由形式参数的矩阵以及与机器学习模型相关联的M个自由形式系数,其中N为衬底上的位置的数目,以及基于对参数的N个预测值与参数的测量值之间的相似性进行优化来确定机器学习模型的M个自由形式系数。使用所确定的M个自由形式系数和N*M个自由形式参数,可以确定参数的N个建模值。
本发明的其他实施例被公开在如下的经编号条款列表中:
10.一种对参数数据进行建模的方法,该方法包括:
获得与衬底上的多个位置相关联的参数的值集合;
基于对多个位置处的一个或多个基本函数的评估来获得第一值矩阵;
基于将可调整数字矩阵训练为与先前衬底相关联的先前获得的参数的值集合来获得第二值矩阵;
使用第一值矩阵和第二值矩阵以及所获得的值集合来确定模型的系数;以及
使用系数和第二值矩阵来提供参数的建模值。
11.根据条款10所述的方法,其中参数为套刻。
12.根据条款10或11所述的方法,其中多个位置与跨经过半导体制造过程的一个或多个衬底的稀疏测量方案相关联。
13.根据条款10至12中任一项所述的方法,其中基函数为多项式。
14.根据条款10至13中任一项所述的方法,其中基函数为相互正交。
15.根据条款13所述的方法,其中基函数跨衬底至多为第6阶。
16.根据条款10至15中任一项所述的方法,其中多个位置与衬底上的曝光场有关。
17.根据条款10至16中任一项所述的方法,其中可调整数字为自由形式模型参数。
18.根据条款10至17中任一项所述的方法,其中参数的先前获得的值的集合与密集测量的先前衬底相关联。
19.根据条款10至18中任一项所述的方法,其中训练是基于使用诸如神经网络之类的机器学习方法以建立可调整数字与跨先前衬底中的每个单独衬底的参数的指纹之间的关系。
20.根据条款19所述的方法,其中参数的指纹包括较高阶分量。
21.根据条款20所述的方法,其中较高阶分量与参数的样本点特定值有关。
22.一种计算机程序产品,包括机器可读指令,这些机器可读指令用于使得通用数据处理装置执行根据条款10至21中任一项所述的方法。
用于预测高阶指纹的递归神经网络
图11描绘了对半导体制造过程的套刻采样和控制的示意图。参考图11,示出了十个晶片分组(或批或晶片)上的曝光过程步骤的十个操作L1至L10的顺序。高阶套刻参数HO1的值是基于使用空间密集采样方案对第一分组L1的测量1104来获得的。高阶套刻参数HO1用于例如通过确定用于接下来的五个分组的后续曝光L2至L6的控制方案1106,来配置半导体制造过程。然后,基于较早1102的高阶套刻参数HO1并且基于使用空间密集采样方案对第六分组L6的测量1108,来获得高阶套刻参数HO6的更新值。在该示例中,较高阶参数更新在对每第五分组曝光时重复。
同时,对于每个分组的曝光,根据稀疏测量计算每个分组的低阶校正。例如,在曝光分组L1时,基于使用稀疏采样方案的测量1110来获得低阶套刻参数LO1,与空间密集采样方案相比,该稀疏采样方案在空间上较不密集而频次上更高。低阶参数LO1用于例如通过确定曝光步骤的后续操作L2的控制方案1112等来配置半导体制造过程。
因此,根据稀疏测量来计算每个分组的低阶校正,并且根据几个分组中的一次密集测量来获得高阶校正。
图12描绘了半导体制造过程的对准采样和控制的示意性概图。参考图12,晶片分组L1至L10具有离线对准标记测量步骤1202。测量1204由离线测量工具1206执行,该离线测量工具1206针对具有高空间采样密度的离线测量进行优化。对于每个晶片分组L1至L10,所测量的高阶对准参数值1208被存储在HO1至HO10中。然后,每个高阶对准参数值用于确定对应晶片分组L1至L10上的曝光步骤1214的操作的控制方案1212。
同时,对于每个分组的曝光,根据稀疏测量计算每个分组的低阶校正。例如,在对分组L1进行曝光1214时,基于使用稀疏采样方案的测量来获得低阶对准参数1216,该稀疏采样方案在空间上比空间密集采样方案更不密集。它具有与高阶对准参数的离线密集测量1204相同的频次(每个分组)。低阶参数1216用于确定相同曝光步骤的操作L1的控制方案。
实施例采用了使用长短期存储器(LSTM)预测算法在密集测量之间更新套刻和对准测量的策略。这提高了对准和套刻控制的性能,其对吞吐量的影响最小。完全独立的LSTM网络预测(训练后无需密集测量)也是可能的,然而如果学习不足,它可能会在某个时间后出现发散。
图13描绘了根据本发明的一个实施例的对半导体制造过程的采样和控制的方法的示意性概图。
参考图13,利用使用LSTM神经网络在分组/晶片之间进行预测实现对高阶参数的更新。这为对准和套刻两者都提供了改进的高阶校正。针对每个晶片测量低阶校正,而通过分组/晶片之间的LSTM来预测高阶校正。该神经网络使用初始训练(TRN)步骤1302进行配置。
图13描绘了用于预测与半导体制造过程相关联的高阶参数的值的方法。在该示例中,半导体制造过程为光刻曝光过程。该参数为y方向上的三阶扫描器曝光放大参数D3y。该方法包括:基于使用空间密集采样方案的测量1306来获得高阶参数的值1308。递归神经网络(NN)1304用于基于测量值1308来确定高阶参数的预测值1312。高阶参数的测量值1308可以直接用于1310确定用于对所测量的分组进行处理的控制方案。
高阶参数的预测值1312基于从半导体制造过程中的过程步骤的第一操作L1处的测量1306所获得的高阶参数的值1308来确定,以确定半导体制造过程中的过程步骤的后续操作L2的控制方案1314。预测值被反馈1324回到递归神经网络(NNt=2)中。对于分组L3-L5的曝光,递归神经网络(NNt=2..5)进行自我更新以生成用于确定连续分组的控制方案的预测值。基于使用密集采样方案的测量628来获得高阶参数的后续值626。使用后续值626更新递归神经网络(NNt=6)。
同时,基于使用空间稀疏采样方案的测量(例如,来自曝光步骤L2处的分组测量的值1320)获得低阶参数的值1318。与用于测量1306、1328的高阶采样方案相比,稀疏采样方案的空间密度更小而频次更高。低阶参数的值1320用于确定曝光过程步骤的后续操作L2的控制方案1322。
低阶参数的值1320可以基于对支撑在同一衬底台上的同一衬底所执行的测量来获得,在该衬底台处,执行过程步骤的后续操作L2。使用低阶参数的值1320确定控制方案1322。
在该示例中,半导体制造过程为对衬底进行图案化的分批过程。用于获取高阶参数的采样方案的测量频次为每5批(如图13所示)至每10批。第二采样方案的测量频次为每批一次。
在该示例中,半导体制造过程为使用曝光场对衬底进行图案化的过程。用于获得高阶参数的采样方案的空间密度为每场200个至300个测量点,并且用于获得低阶参数的采样方案的空间密度为每场2个至3个测量点。
如参考图6所描述的预测与半导体制造过程相关联的参数的值的方法可以在半导体制造过程内实现。该方法可以在具有处理单元(诸如图2中的LACU)的光刻装置中实现。它可以在图2的监管控制系统SCS或图3的计算机系统CL中的处理器中实现。
本发明还可以体现为计算机程序产品,该计算机程序产品包括计算机可读指令,这些机器可读指令用于使得通用数据处理装置执行参考图13所描述的方法的步骤。
图13的方法的优点在于,与图11的方法相比较,对于套刻,无需附加测量。对于对准,每分组仅需要很少晶片就可以进行空间密集测量,而所有晶片都可以接收基于不同的高阶参数而确定的不同的控制方案。(用于套刻的)中间分组或(用于对准的)晶片接收经更新的控制方案,该经更新的控制方案由LSTM预测的高阶参数确定。对于套刻参数和对准参数,因为在同一晶片台上执行低阶测量和对应的控制方案更新,所以无需晶片台(卡盘)匹配。
图14是与图11的采样和控制方案相对应的套刻高阶参数更新的图。在图14至图16中,水平轴为晶片编号WN。垂直轴为y方向上的三阶扫描器曝光放大参数D3y。在图14至图16中,实线图1402为来自密集测量采样的高阶参数的原始值。
参考图14,垂直点划线右侧的虚线图1404为高阶参数更新,其中参数每10个点进行更新(注意,图11示出了每5个点的这种更新)。
图15是根据本发明的一个实施例的没有更新的套刻较高阶参数更新的图。
参考图15,垂直点划线右侧的虚线图1504为没有更新的预测参数值。这将与图13相对应,但在L6或后续没有更新。因此,它使用免费LSTM,其在训练之后没有更新。
图16是根据本发明的一个实施例的更新与图13的采样和控制方案相对应的套刻较高阶参数更新的图。
参考图16,垂直点划线右侧的虚线图1604为预测参数值,其中每10个点进行更新(注意,图13示出了每5个点的这种更新)。LSTM网络使用垂直点划线左侧的数据的78%进行训练。LSTM网络在其余(22%-35个晶片,虚线904)数据上进行验证。原始D3y与预测D3y之间的均方根误差(RMSE)对图16而言是最佳的(RMSE=2.12e-05),而图15(RMSE=2.83e-05)和图14(RMSE=2.82e-05)相当。
图17描绘了没有高阶套刻参数校正、具有与图14相对应的套刻高阶参数更新以及具有与图15和图16相对应的套刻参数预测的所测量套刻的晶片图。
在晶片绘图中,在密集(每场13*19个点)布局上应用实际校正,并且比较每种情况的校正能力。该绘图示出了均值加3sigma套刻。较暗图案表示较差的套刻性能。示出了没有高阶校正的基线晶片图1702。对于常规套刻而言,晶片图1704由与图14的绘图相对应的套刻高阶参数更新产生。对于没有更新的自由LSTM,晶片图1706由与图15的绘图相对应的套刻较高阶参数更新产生。晶片图1708由与图16的绘图相对应的套刻较高阶参数更新产生,该图16的绘图是用于具有更新的LSTM预测。后者具有最佳的套刻校正性能,如较浅的图案所示。
实施例提供了一种在无需测量每个晶片的情况下将高阶参数包括到对准校正中的方法。实施例还改进了用于更新套刻测量的方法。
可替代地或除了使用该方法来更新(较高阶)参数之外,本发明的方法可以用于更新模型的参数,该模型被用于更新所述参数。例如,对半导体制造过程的批次控制通常是基于对使用周期性测量的过程(相关)参数的过程校正的确定。为了防止过程校正的太大波动,指数加权移动平均(EWMA)方案通常应用于历史过程参数测量数据集合,该集合不仅包括最后获得的过程参数的测量。EWMA方案可能具有相关加权参数集合,其中一个加权参数就是所谓的“平滑常数”λ。平滑常数决定了所测量的过程参数值用于未来过程校正的程度,或可替代地说,在时间上追溯多久所测量的过程参数值才用于确定当前过程校正。EWMA方案可以表示为:Zi=λ·Xi+(1-λ)·Zi-1,其中Zi-1例如可以表示先前确定为最适合校正运行(通常为很多衬底)‘i-1’的过程参数值,Xi为如针对运行‘i’而测量的过程参数,然后预测Zi以表示最适合校正运行‘i’(运行‘i-1’之后的运行)的过程参数的值。
例如,在全部内容通过引用并入于此的“Automated Process Controloptimization to control Low Volume Products based on High Volume Productsdata)”,Proceedings of SPIE 5755,2005年5月17日,doi:10.1117/12.598409中,提供了有关在过程控制中使用EWMA的更多信息。
平滑常数的取值会直接影响用于确定运行‘i’的过程校正的预测最佳过程参数。然而,可能会发生过程波动,这可能会影响平滑常数的最佳值(或与用于加权历史过程参数数据的模型相关联的任何其他参数)。
提议使用如先前实施例中所描述的递归神经网络或LSTM,以基于第一参数的历史测量值来预测与半导体制造过程相关联的第一参数的一个或多个值。代替或除了确定半导体制造过程中过程步骤的后续操作的控制方案外,建议基于第一参数的预测值来更新与加权模型相关联的一个或多个参数。所述一个以上的参数可以包括平滑常数。例如,该平滑常数可以基于使用递归神经网络(例如,通常为LSTM)的第一参数的预测值与使用加权模型(例如,通常为基于EWMA的模型)所预测的第一参数的值之间的一致性水平来确定。选择给出最佳一致性的加权参数(例如,通常为平滑常数)。当平滑常数作为基于LSTM的预测的基准时,定期对平滑常数的质量进行重新评估确保在任何时间点都对EWMA模型进行最佳配置。
在一个实施例中,公开了一种用于预测与半导体制造过程相关联的第一参数的值的方法,该方法包括:基于使用第一采样方案的测量来获得第一参数的第一值;使用递归神经网络基于第一值来确定第一参数的预测值;基于第一参数的预测值和所获得的第一参数的第一值来确定与半导体制造过程的控制器所使用的模型相关联的参数的值。
在一个实施例中,先前实施例的确定基于比较第一参数的预测值与通过将模型应用于所获得的第一参数的第一值而获得的第一参数的值。
在一个实施例中,模型为指数加权移动平均模型,并且参数为与所述指数加权移动平均模型相关联的平滑常数。
本发明的方面的其他实施例被公开在如下的编号条款列表中:
23.一种用于预测与半导体制造过程相关联的第一参数的值的方法,该方法包括:
-基于使用第一采样方案的测量来获得第一参数的第一值;以及
-使用递归神经网络基于第一值来确定第一参数的预测值。
24.根据条款23所述的方法,还包括:使用基于从半导体制造过程中的过程步骤的第一操作处的测量获得的第一值所确定的第一参数的预测值,来确定半导体制造过程中的过程步骤的后续操作的控制方案。
25.根据条款24所述的方法,还包括:
-基于使用第二采样方案的测量来获得第二参数的第二值,该第二采样方案在空间上比第一采样方案更不密集且在频次上更高;以及
-使用第二参数的第二值来确定过程步骤的后续操作的控制方案。
26.根据条款25所述的方法,其中第二值基于过程步骤的后续操作处的测量来获得。
27.根据条款26所述的方法,其中第二值基于对支撑在相同衬底台上的相同衬底执行的测量来获得,在该衬底台处,通过使用第二值确定的控制方案来执行过程步骤的后续操作。
28.根据条款25至27中任一项所述的方法,其中半导体制造过程为对衬底进行图案化的分批过程,并且其中第一采样方案的测量频次为每5批至每10批,并且第二采样方案的测量频次为每批一次。
29.根据条款25至28中任一项所述的方法,其中半导体制造过程为使用曝光场对衬底进行图案化的过程,并且其中第一采样方案的空间密度为每场200个至300个测量点,并且第二采样方案的空间密度为每场2个至3个测量点。
30.根据条款23至29中任一项所述的方法,还包括:基于使用第一采样方案的测量来获得第一参数的后续值,以及使用后续值来更新递归神经网络。
31.根据条款23至30中任一项所述的方法,其中参数包括曝光放大参数,并且过程步骤包括光刻曝光。
32.根据条款23至31中任一项所述的方法,其中递归神经网络包括长短期记忆网络。
33.一种半导体制造过程,包括一种用于根据条款23至32中任一项所述的方法预测与该半导体制造过程相关联的参数的值的方法。
34.一种光刻装置,包括:
-照射系统,被配置为提供投影辐射束;
-支撑结构,被配置为支撑图案形成设备,该图案形成设备被配置为根据期望图案对投影光束进行图案化;
-衬底台,被配置为保持衬底;
-投影系统,被配置为将经图案化光束投影到衬底的目标部分上;以及
-处理单元,被配置为:
根据条款23至32中任一项所述的方法预测与半导体制造过程相关联的参数的值。
35.一种计算机程序产品,包括机器可读指令,用于使得通用数据处理装置执行根据条款23至32中任一项所述的方法的步骤。
36.根据条款23至32中任一项所述的方法,还包括:基于第一参数的预测值以及使用第一采样方案的测量所获得的第一参数的第一值,来确定与半导体制造过程的控制器所使用的模型相关联的参数的值。
37.根据条款36所述的方法,其中确定基于对第一参数的预测值与第一参数的值的比较,该第一参数的值通过将模型应用于所获得的第一参数的第一值而获得。
38.根据条款36或37所述的方法,其中模型为指数加权移动平均模型,并且参数为与所述指数加权移动平均模型相关联的平滑常数。
39.一种用于配置半导体制造过程的方法,该方法包括:
-基于与半导体制造过程中的过程步骤的第一操作相关联的测量以及第一采样方案来获得第一参数的第一值;
-基于第一值,使用递归神经网络来确定第一参数的预测值;以及
-将第一参数的预测值用于配置半导体制造过程中的过程步骤的后续操作。
40.根据条款39所述的方法,还包括:
-基于使用第二采样方案的测量来获得第二参数的第二值,该第二采样方案在空间上比第一采样方案更不密集;以及
-将第二参数的第二值用于配置半导体制造过程中的过程步骤的后续操作。
41.根据条款40所述的方法,其中第二值基于在过程步骤的后续操作处的测量来获得。
42.根据条款41所述的方法,其中第二值基于对支撑在相同衬底台上的相同衬底上执行的测量来获得,在该衬底台处,执行过程步骤的后续操作,其中过程步骤的后续操作使用第二值配置。
43.根据条款40所述的方法,其中半导体制造过程为对衬底进行图案化的分批过程,并且其中第一采样方案的测量频次为每5批至每10批,并且第二采样方案的测量频次为每批一次。
44.根据条款40所述的方法,其中半导体制造过程是使用曝光场对衬底进行图案化的过程,并且其中第一采样方案的空间密度为每个曝光场200个至300个测量点,并且第二采样方案的空间密度为每个曝光场2个至3个测量点。
一般定义
尽管在本文中可以具体参考光刻装置在制造IC时的使用,但是应当理解,本文中所描述的光刻装置可以具有其他应用。其他可能应用包括制造集成光学系统、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。
尽管本文中可以在检查装置或计量装置的上下文中具体参考本发明的实施例,但是本发明的实施例可以用于其他装置。本发明的实施例可以形成掩模检查装置、光刻装置、或测量或处理诸如晶片(或其他衬底)或掩模(或其他图案形成设备)之类的对象的任何装置的一部分。还应当指出,术语计量装置或计量系统涵盖或可以使用术语检查装置或检查系统代替。如本文中所公开的计量装置或检查装置可以用于检测衬底上或内的缺陷和/或衬底上的结构的缺陷。在这种实施例中,例如,衬底上的结构的特征可以与结构中的缺陷、结构的特定部分的缺失或者衬底上的非想要结构的存在有关。
尽管具体提及了“计量装置/工具/系统”或“检查装置/工具/系统”,但是这些术语可以指代相同或相似类型的工具、装置或系统。例如,包括本发明的实施例的检查装置或计量装置可以用于确定物理系统的特点,诸如衬底或晶片上的结构。例如,包括本发明的实施例的检查装置或计量装置可以用于检测衬底的缺陷或衬底或晶片上的结构的缺陷。在这种实施例中,物理结构的特点可以与结构中的缺陷、结构的特定部分的缺失、或者衬底或晶片上的非想要结构的存在有关。
尽管上文可能已经在光学光刻的上下文中具体参考了本发明的实施例的使用,但是应当领会,在上下文允许的情况下,本发明不限于光学光刻,并且可以用于其他应用,例如,压印光刻。
虽然上文所描述的目标或目标结构(更一般地,衬底上的结构)是为测量目的而专门设计和形成的计量目标结构,但在其他实施例中,可以在一个或多个结构上测量感兴趣特性,该一个或多个结构为衬底上形成的器件的功能部分。许多设备具有规则的类光栅结构。如本文中所使用的术语结构、目标光栅和目标结构无需已经专门针对正在执行的测量而提供该结构。关于多灵敏度目标实施例,不同的产品特征可以包括灵敏度发生变化(间距发生变化等)的许多区域。进一步地,计量目标的间距p接近散射仪的光学系统的分辨率极限,但可能比目标部分C中通过光刻过程制作的典型产品特征的尺寸大得多。实际上,可以使目标结构内的套刻光栅的线条和/或空间包括在尺寸上与产品特征相似的较小结构。
虽然上文已经描述了本发明的特定实施例,但是应当领会,本发明可以以不同于所描述的方式来实践。上文的描述旨在是说明性的,而非限制性的。因此,对于本领域的技术人员而言,显而易见的是,在没有背离下文所阐述的权利要求的范围的情况下,可以对所描述的本发明进行修改。

Claims (15)

1.一种用于配置半导体制造过程的方法,所述方法包括:
-基于与所述半导体制造过程中的过程步骤的第一操作相关联的测量以及第一采样方案来获得第一参数的第一值;
-使用递归神经网络基于所述第一值来确定所述第一参数的预测值;以及
-将所述第一参数的所述预测值用于配置所述半导体制造过程中的所述过程步骤的后续操作。
2.根据权利要求1所述的方法,还包括:
-基于使用第二采样方案的测量来获得第二参数的第二值,所述第二采样方案在空间上没有所述第一采样方案密集;以及
-将所述第二参数的所述第二值用于配置所述半导体制造过程中的所述过程步骤的所述后续操作。
3.根据权利要求2所述的方法,其中所述第二值基于所述过程步骤的所述后续操作处的测量来获得。
4.根据权利要求3所述的方法,其中所述第二值基于对支撑在相同衬底台上的相同衬底执行的测量来获得,在所述衬底处,所述过程步骤的所述后续操作被执行,其中所述过程步骤的所述后续操作使用所述第二值来配置。
5.根据权利要求2所述的方法,其中所述半导体制造过程为对衬底进行图案化的分批过程,并且其中所述第一采样方案的测量频次为每5批至每10批,并且所述第二采样方案的测量频次为每批一次。
6.根据权利要求2所述的方法,其中所述半导体制造过程是使用曝光场对衬底进行图案化的过程,并且其中所述第一采样方案的空间密度为每个曝光场200个至300个测量点,并且所述第二采样方案的空间密度为每个曝光场2个至3个测量点。
7.根据权利要求1所述的方法,还包括:基于使用所述第一采样方案的测量来获得所述第一参数的后续值,以及使用所述后续值来更新所述递归神经网络。
8.根据权利要求1所述的方法,其中所述参数包括曝光放大参数,并且所述过程步骤包括光刻曝光。
9.根据权利要求1所述的方法,其中所述递归神经网络包括长短期记忆网络。
10.一种半导体制造过程,包括根据权利要求1所述的方法的用于配置半导体制造过程的方法。
11.根据权利要求1所述的方法,还包括:基于所述第一参数的所述预测值和基于使用所述第一采样方案的测量所获得的所述第一参数的所述第一值,来确定与半导体制造过程的控制器所使用的模型相关联的参数的值。
12.根据权利要求11所述的方法,其中所述确定基于比较所述第一参数的所述预测值与所述第一参数的值,所述第一参数的值通过将所述模型应用于所获得的所述第一参数的所述第一值而获得。
13.根据权利要求11所述的方法,其中所述模型为指数加权移动平均模型,并且所述参数为与所述指数加权移动平均模型相关联的平滑常数。
14.一种计算机程序产品,包括机器可读指令,所述机器可读指令用于使得通用数据处理装置执行根据权利要求1所述的方法的步骤。
15.一种光刻装置,包括:
-照射系统,被配置为提供投影辐射束;
-支撑结构,被配置为支撑图案形成设备,所述图案形成设备被配置为根据期望图案对所述投影束进行图案化;
-衬底台,被配置为保持衬底;
-投影系统,被配置为将经图案化的束投影到所述衬底的目标部分上;以及
-处理单元,被配置为根据权利要求1所述的方法配置所述半导体制造过程。
CN201980073186.4A 2018-11-07 2019-10-09 确定对过程的校正 Pending CN112969967A (zh)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
EP18204882.7A EP3650939A1 (en) 2018-11-07 2018-11-07 Predicting a value of a semiconductor manufacturing process parameter
EP18204882.7 2018-11-07
EP19150953 2019-01-09
EP19150953.8 2019-01-09
EP19173992.9 2019-05-13
EP19173992 2019-05-13
EP19199505.9 2019-09-25
EP19199505 2019-09-25
PCT/EP2019/077353 WO2020094325A1 (en) 2018-11-07 2019-10-09 Determining a correction to a process

Publications (1)

Publication Number Publication Date
CN112969967A true CN112969967A (zh) 2021-06-15

Family

ID=68210809

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980073186.4A Pending CN112969967A (zh) 2018-11-07 2019-10-09 确定对过程的校正

Country Status (8)

Country Link
US (2) US11086305B2 (zh)
EP (2) EP3974906A1 (zh)
JP (2) JP7280356B2 (zh)
KR (2) KR20210069104A (zh)
CN (1) CN112969967A (zh)
IL (1) IL282101B2 (zh)
TW (4) TWI703659B (zh)
WO (1) WO2020094325A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113758503A (zh) * 2021-08-12 2021-12-07 清华大学 一种过程参数估计方法、装置、电子设备及存储介质

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021254709A1 (en) * 2020-06-16 2021-12-23 Asml Netherlands B.V. A method for modeling measurement data over a substrate area and associated apparatuses
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US20220066411A1 (en) * 2020-08-31 2022-03-03 Applied Materials, Inc. Detecting and correcting substrate process drift using machine learning
TW202226078A (zh) * 2020-11-10 2022-07-01 日商東京威力科創股份有限公司 模型管理系統、模型管理方法及模型管理程式
EP4040233A1 (en) * 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
EP4050328A1 (en) * 2021-02-25 2022-08-31 ASML Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
EP4334782A1 (en) * 2021-05-06 2024-03-13 ASML Netherlands B.V. Causal convolution network for process control
EP4105719A1 (en) * 2021-06-15 2022-12-21 ASML Netherlands B.V. Causal convolution network for process control
EP4216106A1 (en) * 2022-01-19 2023-07-26 ASML Netherlands B.V. Method for controlling a production system and method for thermally controlling at least part of an environment
KR20240001593A (ko) * 2022-06-27 2024-01-03 주식회사 한화 인공 신경망을 이용하여 챔버의 상태를 예측하는 방법 및 장치
US20240037442A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Generating indications of learning of models for semiconductor processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090063378A1 (en) * 2007-08-31 2009-03-05 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US9087176B1 (en) * 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
US20170200260A1 (en) * 2016-01-11 2017-07-13 Kla-Tencor Corporation Accelerating semiconductor-related computations using learning based models
CN108463874A (zh) * 2016-01-11 2018-08-28 科磊股份有限公司 基于图像的样品过程控制

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002134395A (ja) 2000-10-25 2002-05-10 Sony Corp 半導体装置の製造方法および半導体装置の製造システム
US7006205B2 (en) 2002-05-30 2006-02-28 Applied Materials Inc. Method and system for event detection in plasma processes
SG121818A1 (en) 2002-11-12 2006-05-26 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
JP4480482B2 (ja) 2004-06-24 2010-06-16 株式会社日立ハイテクノロジーズ プラズマエッチング処理装置の制御方法およびトリミング量制御システム
US8043797B2 (en) 2004-10-12 2011-10-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4580338B2 (ja) 2004-12-23 2010-11-10 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、エキシマ・レーザ、およびデバイス製造方法
US8175831B2 (en) * 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
JP2009032183A (ja) 2007-07-30 2009-02-12 Fujitsu Microelectronics Ltd 予測装置、予測方法およびプロセス制御システム
TWI364061B (en) * 2008-08-20 2012-05-11 Inotera Memories Inc The method for forecasting wafer overlay error and critical dimension
US8078995B2 (en) * 2009-01-06 2011-12-13 International Business Machines Corporation Efficient isotropic modeling approach to incorporate electromagnetic effects into lithographic process simulations
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
JP2011233744A (ja) 2010-04-28 2011-11-17 Toshiba Corp 露光方法および半導体デバイスの製造方法
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
NL2013417A (en) 2013-10-02 2015-04-07 Asml Netherlands Bv Methods & apparatus for obtaining diagnostic information relating to an industrial process.
CN107004060B (zh) 2014-11-25 2022-02-18 Pdf决策公司 用于半导体制造工艺的经改进工艺控制技术
CN107438795A (zh) 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
TWI797699B (zh) 2015-12-22 2023-04-01 以色列商應用材料以色列公司 半導體試樣的基於深度學習之檢查的方法及其系統
US10648924B2 (en) * 2016-01-04 2020-05-12 Kla-Tencor Corp. Generating high resolution images from low resolution images for semiconductor applications
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10600026B2 (en) 2016-07-08 2020-03-24 Walmart Apollo, Llc Stocking level indication system and method
KR101917006B1 (ko) 2016-11-30 2018-11-08 에스케이 주식회사 머신 러닝 기반 반도체 제조 수율 예측 시스템 및 방법
US10496781B2 (en) * 2016-12-19 2019-12-03 Kla Tencor Corporation Metrology recipe generation using predicted metrology images
EP3379356A1 (en) * 2017-03-23 2018-09-26 ASML Netherlands B.V. Method of modelling lithographic systems for performing predictive maintenance
CN107369635B (zh) * 2017-06-06 2020-06-09 上海集成电路研发中心有限公司 一种基于深度学习的智能半导体装备系统
JP2019007555A (ja) * 2017-06-26 2019-01-17 プラトー株式会社 減速機および減速機付きモータ
US10784174B2 (en) 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
JP7262921B2 (ja) 2017-11-28 2023-04-24 キヤノン株式会社 情報処理装置、プログラム、リソグラフィ装置、リソグラフィシステム、および物品の製造方法
KR102549196B1 (ko) 2018-02-07 2023-06-30 어플라이드 머티리얼즈 이스라엘 리미티드 반도체 시편의 심층 학습 기반 검사 방법 및 그의 시스템
US11199506B2 (en) 2018-02-21 2021-12-14 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
US11030557B2 (en) 2018-06-22 2021-06-08 Applied Materials, Inc. Predicting arrival time of components based on historical receipt data
KR20210014747A (ko) * 2018-06-28 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 분광 모니터링을 위한 기계 학습 시스템을 위한 훈련 스펙트럼 생성
US20200104639A1 (en) * 2018-09-28 2020-04-02 Applied Materials, Inc. Long short-term memory anomaly detection for multi-sensor equipment monitoring
US20220222806A1 (en) 2019-05-22 2022-07-14 Applied Materials Israel Ltd. Machine learning-based classification of defects in a semiconductor specimen

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090063378A1 (en) * 2007-08-31 2009-03-05 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US9087176B1 (en) * 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
US20170200260A1 (en) * 2016-01-11 2017-07-13 Kla-Tencor Corporation Accelerating semiconductor-related computations using learning based models
CN108463874A (zh) * 2016-01-11 2018-08-28 科磊股份有限公司 基于图像的样品过程控制

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113758503A (zh) * 2021-08-12 2021-12-07 清华大学 一种过程参数估计方法、装置、电子设备及存储介质
CN113758503B (zh) * 2021-08-12 2022-03-18 清华大学 一种过程参数估计方法、装置、电子设备及存储介质

Also Published As

Publication number Publication date
JP7280356B2 (ja) 2023-05-23
KR20210069104A (ko) 2021-06-10
TWI703659B (zh) 2020-09-01
TW202135196A (zh) 2021-09-16
US20210165399A1 (en) 2021-06-03
TW202025329A (zh) 2020-07-01
JP2022511681A (ja) 2022-02-01
EP3974906A1 (en) 2022-03-30
IL282101B2 (en) 2023-02-01
TW202101633A (zh) 2021-01-01
TWI729918B (zh) 2021-06-01
US11994845B2 (en) 2024-05-28
IL282101A (en) 2021-05-31
WO2020094325A1 (en) 2020-05-14
TWI824707B (zh) 2023-12-01
TWI777585B (zh) 2022-09-11
US20210333785A1 (en) 2021-10-28
KR20230130767A (ko) 2023-09-12
EP3807720A1 (en) 2021-04-21
JP2023095988A (ja) 2023-07-06
EP3807720B1 (en) 2022-05-11
TW202301507A (zh) 2023-01-01
US11086305B2 (en) 2021-08-10
IL282101B (en) 2022-10-01

Similar Documents

Publication Publication Date Title
US11994845B2 (en) Determining a correction to a process
CN110622069B (zh) 用于预测器件制造工艺的良率的方法
CN111656282B (zh) 确定衬底栅格的测量设备和方法
CN113168111A (zh) 用于预测半导体制造过程的产率的方法
CN114207527B (zh) 用于控制半导体制造过程的方法
EP3650939A1 (en) Predicting a value of a semiconductor manufacturing process parameter
US11809088B2 (en) Method for controlling a lithographic apparatus
CN115427894A (zh) 插补器模型的配置
CN114391124A (zh) 确定光刻匹配性能
CN114270271B (zh) 用于控制光刻装置的方法
TWI814370B (zh) 用於程序控制之因果卷積網路
EP4105719A1 (en) Causal convolution network for process control
US20230400778A1 (en) Methods and computer programs for configuration of a sampling scheme generation model
TW202209018A (zh) 使用產品特徵上之在解析度度量衡之晶圓對準方法
CN117616340A (zh) 确定对半导体制造工艺中的至少一个控制参数的校正的方法
CN114746810A (zh) 用于确定光刻设备的控制数据的方法和设备
CN113168106A (zh) 确定处理装置对衬底参数的贡献的方法
CN113366498A (zh) 用于性质联合插值和预测的设备和方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination