CN108463874A - 基于图像的样品过程控制 - Google Patents

基于图像的样品过程控制 Download PDF

Info

Publication number
CN108463874A
CN108463874A CN201780005676.1A CN201780005676A CN108463874A CN 108463874 A CN108463874 A CN 108463874A CN 201780005676 A CN201780005676 A CN 201780005676A CN 108463874 A CN108463874 A CN 108463874A
Authority
CN
China
Prior art keywords
sample
image
subsystems
computer
defect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780005676.1A
Other languages
English (en)
Other versions
CN108463874B (zh
Inventor
A·帕克
高理升
A·V·库尔卡尼
S·巴纳吉
P·谷
S·龙
K·巴哈斯卡尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN108463874A publication Critical patent/CN108463874A/zh
Application granted granted Critical
Publication of CN108463874B publication Critical patent/CN108463874B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

本发明提供用于检测样品的图像的异常的方法及系统。一种系统包含经配置以获取由成像子系统产生的样品的图像的一或多个计算机子系统。所述计算机子系统还经配置以确定所述获取图像的一或多个特性。另外,所述计算机子系统经配置以在无需将缺陷检测算法应用于所述图像或所述图像的所述一或多个特性的情况下基于所述一或多个确定特性而识别所述图像的异常。

Description

基于图像的样品过程控制
技术领域
本发明大体上涉及用于基于图像的样品过程控制的方法及系统。
背景技术
以下描述及实例不因其包含于此章节中而承认其为现有技术。
制造例如逻辑及存储器装置的半导体装置通常包含:使用大量半导体制造过程来处理例如半导体晶片的衬底以形成半导体装置的各种特征及多个层级。举例来说,光刻是涉及将图案从光罩转印到布置于半导体晶片上的抗蚀剂的半导体制造过程。半导体制造过程的额外实例包含(但不限于)化学机械抛光(CMP)、蚀刻、沉积及离子植入。多个半导体装置可在单个半导体晶片上制造成某一布置且接着被分离成个别半导体装置。
在半导体制造过程期间的各种步骤中使用检验过程来检测样品上的缺陷以促成制造过程的较高良率且因此促成较高利润。检验始终为制造半导体装置的重要部分。然而,随着半导体装置的尺寸减小,检验对于可接受半导体装置的成功制造来说变得更重要,这是因为较小缺陷会引起装置故障。
缺陷检视通常涉及:重新检测本身已由检验过程检测的缺陷且使用高倍率光学系统或扫描电子显微镜(SEM)来以较高分辨率产生关于缺陷的额外信息。因此,在其中已由检验检测到缺陷的样品上的离散位置处执行缺陷检视。由缺陷检视产生的缺陷的较高分辨率数据更适合用于确定缺陷的属性,例如轮廓、粗糙度、更精确大小信息等等。
在半导体制造过程期间的各种步骤中还使用计量过程来监测及控制过程。计量过程与检验过程的不同点在于:不同于其中检测样品上的缺陷的检验过程,计量过程用于测量无法使用当前所使用的检验工具来确定的样品的一或多个特性。举例来说,计量过程用于测量样品的一或多个特性(例如在过程期间形成于样品上的特征的尺寸(例如线宽、厚度等等)),使得过程的性能可从所述一或多个特性确定。另外,如果样品的一或多个特性是不可接受的(例如,超出特性的预定范围),那么样品的一或多个特性的测量可用于更改过程的一或多个参数,使得由过程制造的额外样品具有可接受特性。
计量过程与缺陷检视过程的不同点还在于:不同于其中由检验检测的缺陷在缺陷检视中被再访的缺陷检视过程,计量过程可执行于其中未检测到缺陷的位置处。换句话来说,不同于缺陷检视,其中对样品执行计量过程的位置可与对样品所执行的检验过程的结果无关。特定来说,可无关于检验结果而选择其中执行计量过程的位置。
当前,使用线上检验及计量(例如上文所描述的检验及计量)来执行过程控制及良率分析。主要通过使用高于特定阈值的一或多个检验参数来界定缺陷。换句话来说,来自缺陷检验的事件必须在高于特定阈值时才被报告为缺陷。在大多数情况中,这些报告事件用于将晶片上的位置识别为有缺陷的。举例来说,如果灰阶差的阈值被设置为30,那么31的灰阶差将被报告为缺陷。接着,这些缺陷用于分类及识别过程或工具中的潜在模式故障。接着,缺陷可经分类且用于隔离半导体制造中的问题。然而,缺陷阈值的界定受限于基于检验内的操作参数所设置的值。
归因于图案变形或随机缺陷的表现的细微差别,对装置性能至关重要的一些边际事件会隐藏于数据中且决不会被检测为缺陷。图案的细微缺陷或变形可被分级为干扰或非关键缺陷。另外,对于具有较紧凑几何形状及较小工艺窗的高级设计,无法使用传统缺陷阈值来检测一些关键缺陷。印刷图像的某些特性(其可被描述为许多光学属性的组合)会影响装置性能,但难以界定阈值来将其检测为缺陷。某些细微故障模式仅可通过从检验图像(光学或SEM)提取多个属性且执行例如数据挖掘或高级相关技术的分析而识别。
缺陷的此类界定还取决于响应变量(例如参数测试数据或功能测试)且无法在报告缺陷时使用图像特性作为界定缺陷的度量。举例来说,关键缺陷可由视觉故障(例如敞开、短路、图案变形、缺陷大小等等)及电气测试(例如参数或功能测试或故障分析)相关性界定。当前所使用的方法的一个关键限制在于:检验数据必须在被用于装置特性化及良率改进工作之前被分级(例如设定大小)或分类(形状、干扰、真实等等)且因此主要使用监督方法。检验图像(光学图像及SEM图像两者)含有非完全用于装置特性化及良率学习的大量信息。
一些当前可用系统经配置以获取用于后处理应用的图像。举例来说,一些当前所使用的系统经配置以获取与晶片检验批结果中的缺陷相关联的图像图块。图像获取仅提供其中检测到一或多个缺陷的位置的图像图块。此图像获取还不具有获取大幅限制图像后处理应用的效用的任意所关注图像的能力。一些其它当前所使用的系统经配置以从电子束检视结果获取图像图块。此图像获取归因于电子束检视的相对较低晶片覆盖范围而仅提供有限数据样本。一些图像获取可使用存储于存储媒体中的图像数据的整个列行来执行。此图像获取可捕获提供后处理应用的最大量信息的整个列行图像。然而,此方法归因于极大数据大小(例如在一些当前所使用的检验工具上以标称检验像素大小的每全晶片扫描的40TB数据)而仅可提供有限图像数据集。此实践约束限制后处理应用的效用。另外,不存在使用上文所获取的图像及来自多个数据源的实时相关联数据来实现图像后处理应用的现存计算基础架构。
相应地,将有利地开发不具有上文所描述的缺点的一或多者的用于检测样品的图像的异常的系统及方法。
发明内容
各种实施例的以下描述决不应被解释为限制所附技术方案的标的物。
一个实施例涉及一种经配置以检测样品的图像的异常的系统。所述系统包含成像子系统,其经配置以通过将能量引导到样品及从所述样品检测能量而产生所述样品的图像。所述成像子系统包含:至少一个能量源,其经配置以产生引导到所述样品的能量;及至少一个检测器,其经配置以从所述样品检测能量。所述系统还包含耦合到所述成像子系统的一或多个计算机子系统。所述计算机子系统经配置以获取从所述样品产生的所述图像。所述计算机子系统还经配置以确定所述获取图像的一或多个特性。另外,所述计算机子系统经配置以在无需将缺陷检测算法应用于所述图像或所述图像的所述一或多个特性的情况下基于所述一或多个确定特性而识别所述图像的异常。所述系统可进一步如本文所描述那样配置。
另一实施例涉及一种用于检测样品的图像的异常的计算机实施方法。所述方法包含:由成像子系统通过将能量引导到样品及从所述样品检测能量而产生所述样品的图像。所述成像子系统包含:至少一个能量源,其经配置以产生引导到所述样品的能量;及至少一个检测器,其经配置以从所述样品检测能量。所述方法还包含:获取从所述样品产生的所述图像。另外,所述方法包含:确定所述获取图像的一或多个特性。所述方法进一步包含:在无需将缺陷检测算法应用于所述图像或所述图像的所述一或多个特性的情况下基于所述一或多个确定特性而识别所述图像的异常。所述获取步骤、所述确定步骤及所述识别步骤由耦合到所述成像子系统的一或多个计算机子系统执行。
上文所描述的方法的步骤中的每一者可进一步如本文进一步所描述那样执行。另外,上文所描述的方法的实施例可包含本文所描述的任何其它方法的任何其它步骤。此外,上文所描述的方法可由本文所描述的系统的任何者执行。
另一实施例涉及一种存储可在一或多个计算机系统上执行的程序指令的非暂时性计算机可读媒体,所述程序指令用于执行用于检测样品的图像的异常的计算机实施方法。所述计算机实施方法包含上文所描述的方法的步骤。所述计算机可读媒体可进一步如本文所描述那样配置。所述计算机实施方法的步骤可如本文进一步所描述那样执行。另外,可对其执行所述程序指令的所述计算机实施方法可包含本文所描述的任何其它方法的任何其它步骤。
附图说明
所属领域的技术人员将在优选实施例的以下详细描述的受益下且在参考附图之后明白本发明的另外优点,其中:
图1及1a是说明如本文所描述那样配置的系统的实施例的侧视图的示意图;
图2是说明如本文所描述那样配置的系统的另一实施例的框图;及
图3是说明存储程序指令的非暂时性计算机可读媒体的实施例的框图,所述程序指令用于使一或多个计算机系统执行本文所描述的计算机实施方法。
尽管本发明易受各种修改及替代形式影响,但其特定实施例以举例方式展示于图式中且在本文中被详细描述。图式可不按比例绘制。然而,应理解,图式及其详细描述不希望使本发明受限于所揭示的特定形式,而是相反地,本发明将涵盖落入如由所附权利要求书界定的本发明的精神及范围内的所有修改、等效物及替代方案。
具体实施方式
如本文所互换使用,术语“设计”、“设计数据”及“设计信息”一般是指IC的物理设计(布局)及从物理设计通过复杂模拟或简单几何及布尔(Boolean)运算所导出的数据。另外,由光罩检验系统及/或其衍生物获取的光罩的图像可用作为设计的一或若干“代理”。此光罩图像或其衍生物在使用设计的本文所描述的任何实施例中可充当设计布局的替代物。设计可包含共同拥有的扎法尔(Zafar)等人于2009年8月4日发布的第7,570,796号美国专利及库尔卡尼(Kulkarni)等人于2010年3月9日发布的第7,676,077号美国专利中所描述的任何其它设计数据或设计数据代理,所述两个美国专利以宛如全文陈述引用的方式并入本文中。另外,设计数据可为标准单元库数据、集成布局数据、一或多个层的设计数据、设计数据的衍生物及全部或部分芯片设计数据。
另外,本文所描述的“设计”、“设计数据”及“设计信息”是指由半导体装置设计者在设计过程中产生的信息及数据且因此完全可在将设计印刷于例如光罩及晶片的任何物理样品上之前用于本文所描述的实施例中。
现转到图式,应注意,图式未按比例绘制。特定来说,图中部分元件的比例经大幅放大以突显元件的特性。还应注意,图式未按相同比例绘制。已使用相同元件符号来指示可经类似配置的超过一个图中所展示的元件。除非本文另有说明,否则所描述及所展示的元件的任何者可包含任何适合市售元件。
一般来说,本文所描述的实施例经配置以用于基于图像的样品(例如晶片或光罩)过程控制。举例来说,一个实施例涉及一种经配置以检测样品的图像的异常的系统。
随着所谓的“一维(1D)设计”在半导体装置设计中变得日益流行,用于识别系统及随机缺陷的传统方法无法提供对过程变化的足够敏感度及对装置性能的影响。举例来说,在1D中,热点的界定略微不同于先前所使用的热点,这是因为所有图案是相当均匀的。相比而言,在二维(2D)设计中,时常存在趋向于故障的基本上唯一结构。1D的故障无法轻易地由简单特性描述。因而,本文所描述的实施例可使用本文所描述的机器学习技术来找到关于设计的特定位置的唯一性。然而,本文所描述的实施例的用途不限于为1D设计。
对于随机缺陷,需要捕获的最小缺陷大小变得越来越小。对于系统缺陷,亿万个相同或类似图案印刷于样品上,从而难以识别被视为热点的唯一图案类型。还难以界定何种变形程度会影响装置性能。任何偏差或异常(从典型值的相对较小变化)必须从相对较高检验数据量识别且经适当分析以监测过程及过程工具的健康。因而,检验信号(不仅为缺陷)将变成工厂监测的集成部分。
因此,本文所描述的实施例经配置以使用例如光学检验数据及特性的检验数据作为总体工厂数据分析的部分以在早期识别半导体装置的问题来防止良率损失。检验工具(例如可购自加利福尼亚州米尔皮塔斯(Milpitas,Calif.)的KLA-Tencor的检验工具)除报告缺陷之外,还产生大量数据,且检验参数及图像在解决良率改进及装置特性化难题方面具唯一性及专属性。
图1中展示系统的一个实施例。系统包含成像子系统,其经配置以通过将能量引导到样品及从所述样品检测能量而产生所述样品的图像。成像子系统包含:至少一个能量源,其经配置以产生引导到样品的能量;及至少一个检测器,其经配置以从样品检测能量。
在一些实施例中,成像子系统经配置为基于光学的成像子系统。举例来说,系统可包含基于光学的成像子系统10。以此方式,至少一个能量源可包含至少一个光源,且至少一个检测器可包含至少一个基于光的检测器。另外,引导到样品的能量及从样品检测的能量可包含光。在图1的实施例中,基于光学的成像子系统经配置以使光扫描样品的物理形式或将光引导到样品的物理形式且从样品检测光以借此产生样品的图像。基于光学的成像子系统还可经配置以使用多个模式来执行扫描(或引导)及检测,如本文进一步所描述。
在一个实施例中,样品是晶片。晶片可包含所属领域中已知的任何晶片。在另一实施例中,样品是光罩。光罩可包含所属领域中已知的任何光罩。
在图1所展示的系统的实施例中,基于光学的成像子系统10包含经配置以将光引导到样品14的照明子系统。照明子系统包含至少一个光源。举例来说,如图1中所展示,照明子系统包含光源16。在一个实施例中,照明子系统经配置以以一或多个入射角将光引导到样品,所述一或多个入射角可包含一或多个倾斜角及/或一或多个法向角。举例来说,如图1中所展示,来自光源16的光以一倾斜入射角引导穿过光学元件18且接着穿过透镜20而到样品14。倾斜入射角可包含可取决于(例如)样品的特性而变化的任何适合倾斜入射角。
基于光学的成像子系统可经配置以在不同时间以不同入射角将光引导到样品。举例来说,基于光学的成像子系统可经配置以更改照明子系统的一或多个元件的一或多个特性,使得光可以不同于图1中所展示的入射角的入射角引导到样品。在一个此实例中,基于光学的成像子系统可经配置以移动光源16、光学元件18及透镜20,使得光以不同倾斜入射角或法向(或近法向)入射角引导到样品。
在一些例子中,基于光学的成像子系统可经配置以在相同时间以超过一个入射角将光引导到样品。举例来说,照明子系统可包含超过一个照明通道,照明通道中的一者可包含光源16、光学元件18及透镜20(如图1中所展示),且照明通道中的另一者(未展示)可包含可以不同或相同方式配置的类似元件或可包含至少一光源及可能的一或多个其它组件,例如本文进一步所描述的组件。如果此光在相同于其它光的时间引导到样品,那么以不同入射角引导到样品的光的一或多个特性(例如波长、偏振等等)可不同,使得起因于以不同入射角照明样品的光在检测器处可彼此区别。
在另一例子中,照明子系统可仅包含一个光源(例如图1中所展示的光源16)且来自光源的光可由照明子系统的一或多个光学元件(未展示)分离成不同光学路径(例如,基于波长、偏振等等)。接着,不同光学路径中的每一者中的光可引导到样品。多个照明通道可经配置以在相同时间或不同时间(例如,当不同照明通道用于依序照明样品时)将光引导到样品。在另一例子中,相同照明通道可经配置以在不同时间将光引导到具有不同特性的样品。举例来说,在一些例子中,光学元件18可经配置为光谱滤波器且光谱滤波器的性质可以各种不同方式改变(例如,通过换出光谱滤波器),使得不同波长的光可在不同时间引导到样品。照明子系统可具有所属领域中已知的任何其它适合配置,其用于依序或同时以不同或相同入射角将具有不同或相同特性的光引导到样品。
在一个实施例中,光源16可包含宽带等离子(BBP)光源。以此方式,由光源产生且引导到样品的光可包含宽带光。然而,光源可包含例如激光器的任何其它适合光源。激光器可包含所属领域中已知的任何适合激光器且可经配置以产生所属领域中已知的一或若干个任何适合波长处的光。另外,激光器可经配置以产生单色光或近单色光。以此方式,激光器可为窄带激光器。光源还可包含产生多个离散波长或波带处的光的多色光源。
来自光学元件18的光可由透镜20聚焦到样品14上。尽管透镜20在图1中展示为单个折射光学元件,但应理解,透镜20实际上可包含组合地将来自光学元件的光聚焦到样品的若干折射及/或反射光学元件。图1中所展示及本文所描述的照明子系统可包含任何其它适合光学元件(未展示)。此类光学元件的实例包含(但不限于)偏振组件、光谱滤波器、空间滤波器、反射光学元件、变迹器、光束分离器、孔隙及其类似物(其可包含所属领域中已知的任何此类适合光学元件)。另外,基于光学的成像子系统可经配置以基于用于成像的照明的类型而更改照明子系统的元件中的一或多者。
基于光学的成像子系统还可包含经配置以引起光扫描样品的扫描子系统。举例来说,基于光学的成像子系统可包含在成像期间将样品14安置于其上的置物台22。扫描子系统可包含任何适合机械及/或机器人组合件(其包含置物台22),其可经配置以移动样品,使得光可扫描样品。另外或替代地,基于光学的成像子系统可经配置使得基于光学的成像子系统的一或多个光学元件执行光对样品的一些扫描。光可以任何适合方式(例如,沿类蛇形路径或螺旋路径)扫描样品。
基于光学的成像子系统进一步包含一或多个检测通道。一或多个检测通道中的至少一者包含检测器,其经配置以归因于由基于光学的成像子系统照明样品而从样品检测光且响应于检测到的光而产生输出。举例来说,图1中所展示的基于光学的成像子系统包含两个检测通道:一个检测通道由集光器24、元件26及检测器28形成且另一检测通道由集光器30、元件32及检测器34形成。如图1中所展示,两个检测通道经配置以以不同收集角收集及检测光。在一些例子中,两个检测通道经配置以检测散射光,且检测通道经配置以从样品检测以不同角度散射的光。然而,检测通道中的一或多者可经配置以从样品检测另一类型的光(例如反射光)。
如图1中进一步所展示,两个检测通道经展示为定位于纸面中且照明子系统也经展示为定位于纸面中。因此,在此实施例中,两个检测通道定位(例如,居中定位)于入射面中。然而,检测通道中的一或多者可定位于入射面外。举例来说,由集光器30、元件32及检测器34形成的检测通道可经配置以收集及检测从入射面散射出的光。因此,此检测通道通常可指称“侧”通道,且此侧通道可居中定位于基本上垂直于入射面的平面中。
尽管图1展示包含两个检测通道的基于光学的成像子系统的实施例,但基于光学的成像子系统可包含不同数目个检测通道(例如仅一个检测通道或两个或更多个检测通道)。在一个此例子中,由集光器30、元件32及检测器34形成的检测通道可形成如上文所描述的侧通道,且基于光学的成像子系统可包含形成为定位于入射面的对置侧上的另一侧通道的额外检测通道(未展示)。因此,基于光学的成像子系统可包含检测通道,其包含集光器24、元件26及检测器28且居中定位于入射面中且经配置以以正交于或几乎正交于样品表面的散射角收集及检测光。因此,此检测通道通常可指称“顶部”通道,且基于光学的成像子系统还可包含如上文所描述那样配置的两个或更多个侧通道。因而,基于光学的成像子系统可包含至少三个通道(即,一个顶部通道及两个侧通道),且至少三个通道中的每一者自身具有集光器,集光器中的每一者经配置以以不同于其它集光器中的每一者的散射角收集光。
如上文进一步所描述,包含于基于光学的成像子系统中的检测通道中的每一者可经配置以检测散射光。因此,图1中所展示的基于光学的成像子系统可经配置以用于样品的暗场(DF)成像。然而,基于光学的成像子系统还可包含或替代地包含经配置以用于样品的明场(BF)成像的检测通道。换句话来说,基于光学的成像子系统可包含经配置以检测从样品镜面反射的光。因此,本文所描述的基于光学的成像子系统可经配置以仅用于DF成像、仅用于BF成像或用于DF成像及BF成像两者。尽管集光器中的每一者在图1中经展示为单个折射光学元件,但应理解,集光器中的每一者可包含一或多个折射光学元件及/或一或多个反射光学元件。
一或多个检测通道可包含所属领域中已知的任何适合检测器。举例来说,检测器可包含光电倍增管(PMT)、电荷耦合装置(CCD)、时间延迟积分(TDI)摄影机及所属领域中已知的任何其它适合检测器。检测器还可包含非成像检测器或成像检测器。以此方式,如果检测器是非成像检测器,那么检测器中的每一者可经配置以检测散射光的某些特性(例如强度),但无法经配置以检测例如成像面内的位置的功能的特性。因而,由包含于基于光学的成像子系统的检测通道中的每一者中的检测器中的每一者产生的输出可为信号或数据,但非图像信号或图像数据。在此类例子中,例如计算机子系统36的计算机子系统可经配置以从检测器的非成像输出产生样品的图像。然而,在其它例子中,检测器可经配置为经配置以产生图像信号或图像数据的成像检测器。因此,基于光学的成像子系统可经配置以以若干方式产生本文所描述的图像。
应注意,本文提供图1来大体上说明可包含于本文所描述的系统实施例中或可产生由本文所描述的系统实施例使用的图像的基于光学的成像子系统的配置。显然,本文所描述的基于光学的成像子系统配置可经更改以优化设计基于光学的商用系统时正常所执行的基于光学的成像子系统的性能。另外,本文所描述的系统可使用基于光学的现存系统(例如可购自KLA-Tencor,Milpitas,Calif.的29xx/39xx及Puma 9xxx系列工具)来实施(例如,通过将本文所描述的功能新增到现存系统)。对于一些此类系统,本文所描述的实施例可经提供为系统的任选功能性(例如,除了系统的其它功能性外)。替代地,本文所描述的基于光学的成像子系统可“从头开始”设计以提供基于光学的全新系统。
系统还包含耦合到成像子系统的一或多个计算机子系统(例如计算机子系统36及/或计算机子系统102)。举例来说,计算机子系统36可以任何适合方式耦合到基于光学的成像子系统的检测器(例如,经由一或多个传输媒体,其可包含“有线”及/或“无线”传输媒体),使得计算机子系统可在样品的扫描期间接收由检测器产生的输出。计算机子系统36及/或计算机子系统102可经配置以使用检测器的输出来执行本文进一步所描述的若干功能。
图1中所展示的计算机子系统(以及本文所描述的其它计算机子系统)在本文中也可指称计算机系统。本文所描述的计算机子系统或系统中的每一者可呈各种形式,其包含个人计算机系统、图像计算机、大型主计算机系统、工作站、网络设备、因特网设备或其它装置。一般来说,术语“计算机系统”可经广义界定以涵盖具有一或多个处理器(其执行来自存储器媒体的指令)的任何装置。计算机子系统或系统还可包含所属领域中已知的任何适合处理器,例如并行处理器。另外,计算机子系统或系统可包含具有高速处理及软件的计算机平台作为独立或联网工具。
如果系统包含超过一个计算机子系统,那么不同计算机子系统可彼此耦合,使得图像、数据、信息、指令等等可发送于计算机子系统之间,如本文进一步所描述。举例来说,计算机子系统36可通过任何适合传输媒体(其可包含所属领域中已知的任何适合有线及/或无线传输媒体)而耦合到计算机子系统102,如图1中的虚线所展示。此类计算机子系统的两者或更多者也可由共享计算机可读存储媒体(未展示)有效耦合。
尽管成像子系统在上文中经描述为基于光学或光的成像子系统,但成像子系统可经配置为基于电子束的成像子系统。举例来说,在一个实施例中,针对样品所产生的图像包含基于电子束的图像。另外,至少一个能量源可包含至少一个电子束源,且至少一个检测器可包含至少一个基于电子束的检测器。以此方式,引导到样品的能量可包含电子,且从样品检测的能量可包含电子。在图1a所展示的一个此实施例中,基于电子束的成像子系统包含耦合到计算机子系统124的电子柱122。也如图1a中所展示,电子柱包含经配置以产生由一或多个元件130聚焦到样品128的电子的电子束源126。电子束源可包含(例如)阴极源或发射极尖端,且一或多个元件130可包含(例如)电子枪透镜、阳极、电子束限制孔隙、闸阀、电子束电流选择孔隙、物镜及扫描子系统,其全部可包含所属领域中已知的任何此类适合元件。
从样品回传的电子(例如二次电子)可由一或多个元件132聚焦到检测器134。一或多个元件132可包含(例如)扫描子系统,其可为包含于元件130中的相同扫描子系统。
电子柱可包含所属领域中已知的任何其它适合元件。另外,电子柱可进一步如以下每一者中所描述那样配置:蒋(Jiang)等人于2014年4月4日发布的第8,664,594号美国专利、小岛(Kojima)等人于2014年4月8日发布的第8,692,204号美国专利、固本(Gubbens)等人于2014年4月15日发布的第8,698,093号美国专利及麦克唐纳(MacDonald)等人于2014年5月6日发布的第8,716,662号美国专利,所述专利以宛如全文陈述引用的方式并入本文中。
尽管电子柱在图1a中经展示为经配置使得电子以倾斜入射角引导到样品且以另一倾斜角从样品散射,但应理解,电子束可以任何适合角引导到样品及从样品散射。另外,基于电子束的成像子系统可经配置以使用多个模式来产生样品的基于电子束的图像(例如,具有不同照明角、收集角等等),如本文进一步所描述。基于电子束的成像子系统的多个模式在成像子系统的任何成像参数中可不同。
计算机子系统124可耦合到检测器134,如上文所描述。检测器可检测从样品的表面回传的电子以借此形成样品的基于电子束的图像。基于电子束的图像可包含基于电子束的任何适合图像。计算机子系统124可经配置以使用由检测器134产生的图像来对样品执行本文进一步所描述的一或多个功能。计算机子系统124可经配置以执行本文所描述的任何额外步骤。包含图1a中所展示的基于电子束的成像子系统的系统可进一步如本文所描述那样配置。
应注意,本文提供图1a来大体上说明可包含于本文所描述的实施例中的基于电子束的成像子系统的配置。如同上文所描述的基于光学的成像子系统,本文所描述的基于电子束的成像子系统配置可经更改以优化设计基于电子束的商用系统时所正常执行的基于电子束的成像子系统的性能。另外,本文所描述的系统可使用基于电子束的现存成像子系统(例如可购自KLA-Tencor的eSxxx及eDR-xxxx系列工具)来实施(例如,通过将本文所描述的功能新增到基于电子束的现存系统)。对于一些此类系统,本文所描述的实施例可经提供为基于电子束的系统的任选功能性(例如,除了系统的其它功能性外)。替代地,本文所描述的基于电子束的子系统可“从头开始”设计以提供基于电子束的全新系统。
尽管成像子系统在上文中经描述为经配置为基于光学或基于电子束的成像子系统,但成像子系统可经配置为基于离子束的成像子系统。除电子束源可由所属领域中已知的任何适合离子束源替换之外,此工具可如图1a中所展示那样配置。另外,成像子系统可为基于离子束的任何其它适合成像子系统,例如包含于以下每一者中的基于离子束的成像子系统:市售聚焦离子束(FIB)系统、氦离子显微术(HIM)系统及二次离子质谱分析(SIMS)系统。
如上文所提及,成像子系统经配置以使能量(例如光或电子)扫描样品的物理形式以借此产生样品的物理版本的真实图像。以此方式,成像子系统可经配置为“真实”成像子系统而非“虚拟”成像子系统。举例来说,图1中所展示的存储媒体(未展示)及计算机子系统102可经配置为“虚拟”系统。特定来说,存储媒体及计算机子系统并非为基于光学的成像子系统10的部分且不具有用于处置样品的物理版本的任何能力。换句话来说,在经配置为虚拟系统的系统中,其一或多个“检测器”的输出可为先前由真实工具的一或多个检测器产生且存储于虚拟系统中的输出,且在“扫描”期间,虚拟系统可回放存储输出,好像样品被扫描一样。以此方式,使用虚拟系统扫描样品可似乎相同于使用真实系统扫描物理样品,而实际上,“扫描”仅涉及以相同于扫描样品的方式回放样品的输出。共同受让的巴斯卡(Bhaskar)等人于2012年2月28日发布的第8,126,255号美国专利及达菲(Duffy)等人于2015年12月29日发布的第9,222,895号美国专利中描述经配置为“虚拟”检验系统的系统及方法,所述两个专利以宛如全文陈述引用的方式并入本文中。本文所描述的实施例可进一步如这些专利中所描述那样配置。举例来说,本文所描述的一或多个计算机子系统可进一步如这些专利中所描述那样配置。
一或多个虚拟系统还可经配置为中央计算及存储(CCS)系统,其可经配置以执行本文所描述的计算机子系统的图像分析及其它功能的任何者。本文所描述的永久存储机构可具有例如CCS架构的分布式计算及存储机构,但本文所描述的实施例不受限于所述架构。图2中展示一个此实施例,图2说明检验图像(例如光学图像及扫描电子显微镜(SEM)图像)可如何用于识别半导体过程及良率改进中的关键问题,如本文进一步所描述。以此方式,图2展示检验图像及其它图像可如何用于工厂数据处理中。另外,图2展示检验图像及其它图像可如何在良率管理中与其它源协同使用。
特定来说,如图2中所展示,系统可包含或耦合到数据源200,数据源200可包含检验工具202、缺陷检视工具204、计量工具206、过程工具208、分析站210及设计服务212。数据源200中的元件中的每一者可经配置以将由元件产生的输出经由网络214发送到可经配置为CCS的处理单元216。用户接口218(例如笔记本电脑、个人计算机(PC)或本文所描述的其它计算机系统)也可经由网络214耦合到数据源200的元件中的每一者及/或处理单元216。处理单元216可经配置为本文所描述的计算机子系统的一或多者。数据源的元件中的每一者可如本文进一步所描述那样配置。网络214可进一步如本文所描述那样配置(例如,经配置为一或多个传输媒体)。
在一个此实施例中,检验工具202可包含经配置以检验例如晶片及/或光罩的样品的基于光学及/或基于电子束的检验工具。检验工具可经配置以产生信号、装置内容及样品内容。由检验工具产生的输出可包含图像、特性、特征、噪声图及来自检验工具的故障诊断控制(FDC)或系统数据(其可馈送到分析引擎中)。检验工具可用于产生输出的样品上的区域包含样品的静态随机存取存储器(SRAM)及逻辑区域。检验工具可经配置以产生其输出的样品的层包含当前层、多个焦平面、先前层及未来层。另外,检验工具可经配置以检测例如系统缺陷、随机缺陷及编程缺陷的多种缺陷类型。
缺陷检视工具204可包含可经配置以输出样品上检测到的缺陷的图像及/或测量的电子束或SEM检视工具。计量工具206可包含临界尺寸SEM(CDSEM)。另外,计量工具可经配置以测量形成于样品上的图案化特征或薄膜的CD、厚度、折射率(RI)、平坦度、电阻率(RS)或薄片电阻等等。过程工具208可经配置以提供过程工具数据记录,其可包含关于对样品所执行的一或多个过程的信息,例如温度、流率、压力、照明等等。分析站210可包含可输出参数功能测试值及其类似物的故障分析(FA)及/或工厂电气测试工具。设计服务212可包含适合于设计数据的任何源,其包含本文所描述的设计数据的任何者,例如电子设计自动化(EDA)工具或计算机辅助设计(CAD)工具(其可包含所属领域中已知的任何此类适合市售工具)。
处理单元216可具有如上文所描述的CCS的配置。另外,处理单元216可经配置为如上文所描述的虚拟系统。处理单元216可经配置以使用由图2中所展示的工具的一或多者产生的输出来执行本文所描述的一或多个计算机子系统的一或多个功能。另外,处理单元可经配置以使用由图2中所展示的工具的一或多者产生的输出来对样品执行一或多个额外功能。举例来说,处理单元可经配置以使用由图2中所展示的工具的一或多者产生的输出来对样品上检测到的缺陷分类、对样品上检测到的缺陷干扰过滤、对样品上检测到的缺陷取样来缺陷检视及/或对样品上检测到的缺陷的缺陷检视提供指导。另外,处理单元可经配置以使用由图2中所展示的工具中的一或多者产生的输出来进行光学接近校正(OPC)/图案验证及修复、印刷检查、方案建立、实时反馈、过程工具监测、过程监测、良率预测、施工中(WIP)调整等等。
处理单元216也可经配置以检测缺陷及使用多种处理方法及由图2中所展示的工具的一或多者产生的输出来执行本文所描述的其它功能。举例来说,处理单元可经配置以使用由图2中所展示的工具中的一或多者产生的输出来进行多模式检验(例如体积及/或多焦点平面检验)、裸片内检验、裸片到数据库检验及自动缺陷分类(ADC)。处理单元也可经配置以使用多种算法技术及由图2中所展示的工具中的一或多者产生的输出来执行本文所描述的功能。举例来说,处理单元可经配置以使用由图2中所展示的工具中的一或多者产生的输出及模型化及/或模拟、深度学习(例如卷积神经网络(CNN)、自动编码器等等)、相关性、图案辨识等等来执行本文所描述的一或多个功能。处理单元216可进一步如本文相对于一或多个计算机子系统所描述那样配置。
因此,处理单元可经配置为存储来自各种源的数据的集中计算存储装置及分析器。处理单元可存储“大数据”且能够实时及脱机分析检验及其它图像以根据用途而特性化图像。以此方式,处理单元可存储后处理数据且执行后处理应用。另外,处理单元可经配置以用于同时分析来自多个源的数据以识别故障、识别根本原因及识别校正动作的集中计算。处理单元还可包含硬件及/或软件的组合。举例来说,处理单元可经配置以使用单核及/或多核中央处理单元(CPU)/图形处理单元(GPU)/场可编程门阵列(FPGA)的集群或组合来进行集中计算以实现所要计算,尤其根据基于学习的模型及/或工厂内的实时产量要求。如上文进一步所描述,处理单元可使用与各种数据源(例如检验工具、过程工具及其它处理单元(其收集数据、预分析数据及接收数据作为反馈))连接的网络来提供集中计算。处理单元还可经配置以使用软件架构(其实现用于分析的输入数据选择、用于分析的算法选择及使用所选择数据及算法的分析处理能力)来进行集中计算。
本文所描述的实施例可经实施为独立于或集中于真实系统(即,具有样品处置能力的系统,例如检验系统)中的软件特征。作为独立系统,本文所描述的实施例还可使用可从检验图像提取的专属检验图像特性来提供数据分析。此类系统可连接到外部数据源或连接到容置外部数据源的数据库(例如可购自KLA-Tencor的Klarity系统)。
CCS也可通过构建硬件基础架构及软件平台而产生。举例来说,网络可经构建以连接图像后处理应用可使用的数据源,例如针对CCS的检验工具及设计数据服务。CCS硬件基础架构可提供足够存储及计算能力来实现“大数据”分析,其包含使用在计算及存储两者中具有可扩展性的存储图像及相关联数据来进行深度学习。CCS软件平台可在从应用程序编程接口(API)、交互式用户接口及命令行接口调用的批次模式及串流模式中使用分布式“大数据”技术来同时代管多个图像后处理应用。另外,CCS软件平台可将检验、计量、设计及任何其它数据的数据源集中于CCS内的图像后处理应用。CCS还可经配置以增强或新增所关注的所有数据源的数据收集能力。举例来说,CCS可包含用于界定数据源控制软件中的所关注数据的选择的机构。另外,CCS可包含用于收集所关注的数据且将所关注的数据输出到CCS的机构。
在CCS软件架构中,后处理应用可包含应用1、…、应用N。CCS软件平台可包含专属构架及开放原始码构架(例如Hadoop、Spark、深度学习等等)。CCS硬件基础架构可包含CPU、GPU、随机存取存储器(RAM)、存储装置、网络等等。
用于后处理的数据收集方法可使用数据源中的现存软件(例如数据产生、数据采集、数据选择及数据输出)来执行。后处理还可使用新增到数据源的新软件(其包含:用于后处理的数据选择,其可基于由现存数据采集软件及/或现存数据选择软件提供的数据而执行;及用于后处理的数据输出)来执行。后处理也可使用本文所描述的CCS(其可包含数据摄取软件)来执行。
如上文进一步所提及,成像子系统可经配置以使用多个模式来产生样品的图像。一般来说,“模式”可由用于产生样品的图像的成像子系统的参数的值或用于产生样品的图像的输出界定。因此,不同模式可具有用于成像子系统的成像参数中的至少一者的不同值。举例来说,在基于光学的成像子系统的实施例中,多个模式中的至少一者使用不同于用于多个模式中的至少另一者的照明光的至少一个波长的照明光的至少一个波长。对于不同模式,模式可具有不同照明波长,如本文进一步所描述(例如,通过使用不同光源、不同光谱滤波器等等)。在另一实施例中,多个模式中的至少一者使用不同于用于多个模式中的至少另一者的成像子系统的照明通道的成像子系统的照明通道。举例来说,如上文所提及,工具可包含超过一个照明通道。因而,不同照明通道可用于不同模式。
本文所描述的基于光学及电子束的成像子系统可经配置为检验成像子系统。本文所描述的基于光学及电子束的成像子系统也可或替代地经配置为缺陷检视成像子系统。本文所描述的基于光学及电子束的成像子系统也可或替代地经配置为计量成像子系统。特定来说,本文所描述及图1及1a中所展示的成像子系统的实施例可修改一或多个参数以取决于将使用其的应用而提供不同成像能力。在一个此实例中,图1中所展示的成像子系统可经配置以在其用于缺陷检视或计量而非用于检验时具有较高分辨率。换句话来说,图1及1a中所展示的成像子系统的实施例描述成像子系统的一些通用及各种配置,其可以对所属领域的技术人员而言显而易见的若干种方式调适以产生具有几乎适合于不同应用的不同成像能力的工具。
在另一实施例中,系统可包含经配置以对样品执行一或多个制造过程的半导体制造工具。在一个此实例中,如图1中所展示,系统可包含半导体制造工具106,其可耦合到本文所描述的系统的计算机子系统102及/或任何其它元件。半导体制造工具可包含所属领域中已知的任何适合半导体制造工具及/或腔室,例如光刻轨道、蚀刻腔室、化学机械抛光(CMP)工具、沉积腔室、剥离腔室或清洗腔室及其类似物。另外,半导体制造工具可包含经配置以产生样品的输出的一或多个检测器(图1中未展示),例如本文进一步所描述的检测器。利维(Levy)等人于2005年5月10日发布的第6,891,627号美国专利中描述可包含于本文所描述的实施例中的适合半导体制造工具的实例,所述专利以宛如全文陈述引用的方式并入本文中。此专利还描述可包含于或耦合到半导体制造工具中且可产生输出的各种检测器的实例,如本文进一步所描述。本文所描述的实施例可进一步如此专利中所描述那样配置。
一或多个计算机子系统经配置以获取从样品产生的图像。获取图像可使用本文所描述的成像子系统的一者来执行(例如,通过将光或电子束引导到样品及从样品检测光或电子束)。以此方式,获取图像可使用物理样品本身及某种成像硬件(例如晶片检验及/或缺陷检视工具)来执行。另外,图像可包含本文所描述的图像的任何者(例如检验图像,其可为光学或电子束图像、晶片检验图像、光罩检验图像、基于光学及SEM的缺陷检视图像、模拟图像、来自设计布局的片断)。然而,获取图像未必包含使用成像硬件来使样品成像。举例来说,另一系统及/或方法可产生图像且可将所产生的图像存储于一或多个存储媒体(例如本文所描述的虚拟检验系统或本文所描述的另一存储媒体)中。因此,获取图像可包含从其中已存储图像的存储媒体获取图像。
计算机子系统还经配置以确定获取图像的一或多个特性。所确定的特性可包含获取图像的任何适合特性,例如图像本身及/或可从图像导出的任何特性(或属性),例如亮度、能量、量值、强度等等。此类特性可使用任何适合算法及/或方法以任何适合方式确定。尽管提取的检验及其它图像特性可未必为新的,但从检验及其它图像产生的无监督特性及识别的异常(如本文进一步所描述)是新的。此外,从图像导出以产生界定缺陷的特性也是新的。
在一个实施例中,确定其的一或多个特性的获取图像在确定步骤之前未由一或多个计算机子系统处理。举例来说,本文所描述的实施例可使用未经处理(或参数化)检验或其它图像来尽力改进装置及良率。检验或其它图像可包含本文所描述的图像的任何者,例如基于光学及电子束的图像。因此,从检验系统(例如宽带、激光器及电子束)及本文所描述的其它成像系统直接产生的输出图像可馈送到一或多个应用的分析引擎中。如本文进一步所描述,应用可包含使图像或其特性与外部数据(例如(但不限于)电气测试及过程工具数据)相关、使与图像质量无关的各种图案类型之间的共同特性公式化、识别导致图像的某些特性的来源机构及预测装置性能或良率相关性。
以此方式,确定其特性的图像可为由成像子系统的检测器产生的原始图像。然而,在一些例子中,确定其的一或多个特性的图像可包含差异图像。差异图像可通过从测试图像减去参考而产生。参考及测试图像可为由成像子系统在样品上的不同位置处产生的两个不同原始图像。然而,参考可包含所属领域中已知的任何适合参考。就光学图像而言,差异图像可从产生于样品上的不同位置处的两个不同光学图像产生。就电子束图像而言,图像可包含多个透视图像,例如从左边、右边、顶部等等获取的图像。
计算机子系统进一步经配置以在无需将缺陷检测算法应用于图像或图像的一或多个特性的情况下基于一或多个确定特性而识别图像的异常。举例来说,计算机子系统可使用检验图像的任何或所有特性(例如图像、亮度、能量、量值、强度等等)来识别裸片内或整个样品中的异常。以此方式,本文所描述的实施例可检测针对样品所产生的图像的异常,未必为样品上的异常。接着,图像中检测到的任何异常可如本文进一步所描述那样用于各种应用。因此,不同于当前用于检测样品上的缺陷的方法及系统,检测图像的异常不包含将缺陷检测算法或阈值应用于图像或图像的一或多个特性。举例来说,预定缺陷检测算法或阈值不应用于图像或特性来检测图像的异常。另外,图像中检测到的异常可对应于也可不对应于样品上的缺陷。识别图像的异常可进一步如本文所描述那样执行。
与本文所描述的实施例相比,可使所有图像特性以原始形式用于外部处理,使得用户可处理图像特性且可使其用于任何缺陷检视或分析系统。然而,当用户无法完全知道数据特性的复杂性(例如成像条件)时,此方法留下出错空间。此替代方法也自我限制预界定特性的可用性及质量。
在一个实施例中,一或多个计算机子系统经配置以基于识别异常而识别形成于样品上的装置的一或多个问题。举例来说,如本文进一步所描述,图像中检测到的异常可用于识别形成于样品上的装置的任何问题。以此方式,由本文所描述的计算机子系统产生的结果可用于对形成于样品上的装置进行装置改进(如同原位控制环路及/或前馈控制环路)及对形成于任何其它样品上的装置进行装置改进(如同反馈控制环路)。
在另一实施例中,一或多个计算机子系统经配置以基于识别异常而识别对样品所执行的过程的一或多个问题。举例来说,计算机子系统可使用例如区域图像特性的局部图像特性来识别可与过程、工具、腔室或工艺时间相关的良率问题的潜在来源。因此,本文所描述的实施例能够在无需检测样品上的缺陷的情况下检测过程、过程工具或工艺时间的问题。区域图像特性可以任何适合方式确定(例如,基于与确定特性相关联的空间信息)。过程可包含本文所描述的过程的任何者。在一个此实例中,可识别对应于针对样品所产生的图像的特性的异常值的所述样品上的区域。接着,可检查那些区域的空间信息以确定所述区域与使用过程工具来对样品执行的过程的参数之间是否存在相关性。举例来说,一些过程工具可经了解以在过程工具正常及不正常(例如晶片上的特定区域中的膜厚度变化)运转时产生样品的特性的特定特征。因此,此类已知样品特性特征可与由本文所描述的计算机子系统确定的图像特性特征比较。如果已知样品特性特征的任何者的空间特性表现为匹配或基本上匹配(或以其它方式相关于)图像特性特征,那么可基于匹配已知样品特性特征而确定过程或过程工具的性能。然而,识别过程的问题可以所属领域中已知的任何适合方式执行。
在另一实施例中,一或多个计算机子系统经配置以基于异常而更改对样品所执行的过程以借此改进过程的良率。举例来说,与针对样品所产生的图像中所识别的异常有关的信息可如本文进一步所描述那样用于确定过程的问题及/或执行过程的方式。如果过程表现为不在其预定规格内执行,那个那些信息可用于确定修改过程的方式。举例来说,如果针对样品所确定的图像特性存在异常,那么那个异常可用于确定校正过程以减少在对其执行过程的额外样品上观察到异常的机会的方式。因此,过程参数可经更改以改进(即,提高)过程的良率。
在一些实施例中,当成像子系统产生样品的图像时,实时执行确定。举例来说,本文所描述的计算机子系统可使用检验及其它图像来实时提取给定检验或其它图像内的全局行为及局部行为两者的特性,且所述特性可进一步经分析以识别可指示过程或工具问题的所述特性的异常。另外,确定特性可包含从检验及其它图像实时动态确定特性(在存储器中或在本文所描述的基于学习的模型内)以描述给定检验或其它图像的条件。
在另一实施例中,在成像子系统完成产生样品的图像之后执行确定。举例来说,本文所描述的计算机子系统可使用检验及其它图像来提取给定检验或其它图像内的全局行为及局部行为两者在后处理中的特性,且所述特性可进一步经分析以识别可指示过程或工具问题的所述特性的异常。以此方式,确定图像的特性可包含在后处理中从本文所描述的检验及其它图像动态确定特性(在存储器中或在本文所描述的基于学习的模型内)以描述给定检验或其它图像的条件。
在额外实施例中,基于结合用于产生图像的成像子系统的一或多个参数所确定的一或多个特性而执行识别。举例来说,计算机子系统可经配置以使图像特性关联于检验或其它成像条件而进一步提高所述图像特性的质量。另外,计算机子系统可经配置以使用成像条件及检验或其它图像来识别一或多个图像样本中的异常。成像子系统的一或多个参数可以任何适合方式关联于图像特性。
在一个实施例中,计算机子系统包含由一或多个计算机子系统执行的一或多个组件,且所述组件包含基于学习的模型。举例来说,如图1中所展示,由计算机子系统(例如计算机子系统36及/或计算机子系统102)执行的组件100包含基于学习的模型104。一或多个组件可由计算机子系统以任何适合方式执行。基于学习的模型可进一步如本文所描述那样配置。
在一个此实施例中,模型经配置以执行确定获取图像的一或多个特性及识别针对获取图像所确定的一或多个特性。举例来说,一或多个计算机子系统可经配置以进行从图像的基于算法的特性提取及/或使用基于样品图像的基于学习的模型来从图像产生局部及/或全局特性。可不预界定特性,而是可配置计算机子系统及/或基于学习的模型以确定用于缺陷数据分析的一或多个特性。识别一或多个确定特性可如本文进一步所描述那样执行。
在一个实施例中,获取图像的一或多个特性包含获取图像的一或多个局部特性。在另一实施例中,获取图像的一或多个特性包含获取图像的一或多个全局特性。举例来说,计算机子系统可经配置以基于检验或其它图像而提取特性或使用基于检验或其它图像的基于学习的模型来产生检验或其它图像的局部及全局特性。局部特性可包含区域图像特性(例如裸片、晶片、光罩)。局部特性可为“局部的”,这是因为其未针对跨越样品的整个区域的样品上的区域来确定。举例来说,局部图像特性可仅针对晶片的部分来确定,仅针对晶片上的裸片的部分来确定,等等。全局特性可为“全局的”,这是因为其可针对产生图像的样品的整个区域来确定。
在一些实施例中,一或多个计算机子系统经配置以:堆叠获取图像的两者或更多者,检测获取图像的所述堆叠两者或更多者中的缺陷,且基于获取图像的所述堆叠两者或更多者而确定所述检测缺陷的一或多个属性。举例来说,计算机子系统可经配置以堆叠图像而识别潜在缺陷或定位缺陷位置且动态产生缺陷的特性。另外,对于给定图案或缺陷,可堆叠多个图像以减少噪声及识别实际上故障的位置。可使用图像处理来对准及叠加多个图像以从给定图案的共同性辨别噪声。在一个此实例中,到计算机子系统的输入可包含(例如)由光学模式、焦点、裸片、图案、过程步骤、工具等等堆叠的堆叠图像。计算机子系统可经配置以在相同邻区(例如相同光学接近校正(OPC))中执行堆叠及分析。计算机子系统可经配置以对例如缺陷检测、缺陷定位、与裸片间扣除无关的缺陷识别、噪声减少及热点的自动关心区域置放的应用执行此堆叠。检测获取图像的堆叠两者或更多者中的缺陷及基于获取图像的堆叠两者或更多者而确定检测缺陷的一或多个属性可以任何适合方式执行。换句话来说,堆叠图像可被视为任何其它图像及到用于检测缺陷且确定所述检测缺陷的属性的一或多个算法或方法的输入。
在另一实施例中,一或多个特性包含关联于用于获取图像的成像子系统的参数的获取图像的至少一个特性。举例来说,计算机子系统可经配置以使图像特性关联于成像条件而进一步提高所述图像特性的质量。在一个此实例中,图案的图像的特性可基于成像条件而变化。因此,一旦识别特定图案的特性,那么可通过控制成像条件而提高图像特性的质量。以此方式,可通过控制成像条件而改进图像质量。
在其中计算机子系统包含组件(其包含基于学习的模型)的一个实施例中,一或多个计算机子系统经配置以使用获取图像及样品的信息的一或多个额外类型来训练基于学习的模型,且基于学习的模型经配置以基于获取图像及信息的一或多个额外类型而预测样品上的缺陷的信息。以此方式,缺陷界定不再基于仅超过检验参数中的特定值的特性或一组特性。缺陷可被识别为有条件使特性或一组特性在个别值或指标偏离预期行为或来自基于学习的模型的输出超过“正常基准”且因此变异常时展现非典型特征。举例来说,裸片内的量值的较高σ可被视为异常且因此将由组分(例如本文进一步所描述的组分)进一步处理以识别故障模式。
另外,计算机子系统可经配置以用于基于特性的定限。举例来说,缺陷可不再为敞开或短路图案,而是为边际图案,且具有特定阈值的特性可用于安置。可对例如过程监测及线上良率预测的应用执行此缺陷检测。
基于学习的模型也可经配置以用于缺陷定位、相同图案比较及故障或变化的共同模式。举例来说,缺陷可基于物理外观、元件组成、设计等等而分类。术语“共同模式”在此处是指例如工艺条件或OPC处理的共同故障机制。多个图案会在故障的起因相同但经不同分类时故障。举例来说,若干图像类型通常会故障,但其可全部与次分辨率辅助特征(SRAF)应用于设计的方式相关。另一共同模式可为不同故障归因于相邻多边形的类似接近度或不同图案类型之间的一或多个检验属性类似的情况。
基于学习的模型也可经配置为关系数据库。基于学习的模型可进一步经配置以用于相互关联、特性提取、图案分析等等。举例来说,基于学习的模型可经配置为统计数据分析引擎或机器学习系统。基于学习的模型可经配置以使用各种源(例如统计、图案辨识、神经计算、机器学习、人工智能(AI)及可用于基于学习的模型的任何其它数据库)来进行数据挖掘或数据库知识发现(KDD)。KDD是指找到数据知识的广泛过程。以此方式,基于学习的模型可与样品图像一起用于识别处理中的缺陷或异常。另外,本文所描述的实施例可经配置以用于基于图像的分析、数据挖掘及使用检验及其它图像及从图像提取的特性来执行的深度学习技术的组合。基于学习的模型也可经配置以执行良率影响分析、来源隔离、预测及分类,其中的每一者可如本文进一步所描述那样执行。
在一个此实施例中,样品的信息的一或多个额外类型包含样品的设计数据。举例来说,基于学习的模型可经配置以基于例如设计的外部响应变量而识别缺陷。在一个此实例中,基于学习的模型可经配置以使样品图像与例如设计的外部响应变量一起构建用于监督方法及无监督方法两者中的相互关联及预测的基于学习的模型。基于学习的模型可基于(但不限于)深度学习技术(例如CNN、自动编码器或可应用于本文所描述的应用的任何深度学习架构)而构建。
在另一此实施例中,样品的信息的一或多个额外类型包含样品的电气测试及测量数据。电气测试及测量数据可包含任何适合电气数据,例如由样品的任何适合电气测试产生的电气测试数据。举例来说,基于学习的模型可经配置以基于例如电气测试数据的外部响应变量而识别缺陷。在一个此实例中,基于学习的模型可经配置以使样品图像与例如电气数据的外部响应变量一起用于构建用于监督方法及无监督方法两者中的相互关联及预测的基于学习的模型。以此方式,本文所描述的实施例可经配置为利用图像及外部数据源来进行存储及数据处理的功能引擎。另外,本文所描述的实施例可经配置为实现监督方法及无监督方法两者(其能够识别过程及预测对装置性能及良率的影响中的关键问题)的功能引擎。基于学习的模型可基于(但不限于)深度学习技术(例如CNN、自动编码器或可应用于本文所描述的应用的任何深度学习架构)而构建。
在另一此实施例中,样品的信息的一或多个额外类型包含样品的过程工具数据。举例来说,基于学习的模型可经配置以基于例如过程工具数据的外部响应变量而识别缺陷。在一个此实例中,基于学习的模型可经配置以使样品图像与结合例如过程工具数据的外部响应变量一起用于构建用于监督方法及无监督方法两者中的相互关联及预测的基于学习的模型。基于学习的模型可基于(但不限于)深度学习技术(例如CNN、自动编码器或可应用于本文所描述的应用的任何深度学习架构)而构建。
计算机子系统及/或基于学习的模型还可经配置以使用外部响应变量来执行一或多个额外功能。举例来说,计算机子系统及/或基于学习的模型可经配置以使图像特性中的一或多者或图像本身与其它响应变量(例如电气测试或工具数据)一起用于界定缺陷或良率及装置性能的临界状态。界定缺陷或良率及装置性能的临界状态可如本文进一步所描述以其它方式执行。
在一个此实例中,到计算机子系统的输入可包含一或多个层及/或一或多个装置的图像+特性。计算机子系统可经配置以使用此输入来分组及/或设置阈值。可对例如分级及/或评分、缺陷界定、确定故障的严重性的应用执行分组及/或设置阈值。
在另一个此实例中,到计算机子系统的输入可包含一或多个层及/或一或多个装置的图像(光学及电子束图像)、特性及电气及功能测试数据。计算机子系统可经配置以使用输入来进行高级相关模型化,例如数据挖掘或神经网络(NN)。另外或替代地,计算机子系统可经配置以不仅通过检验数据且还使用此类输入来确定缺陷。计算机子系统可执行例如缺陷界定、检视及计量取样、检验优化及良率预测的功能。
在额外此实例中,到计算机子系统的输入可包含一或多个层及/或一或多个装置的图像(例如光学及电子束图像)、特性及过程工具数据记录。计算机子系统可经配置以使用此输入来产生用于界定处理条件对检验图像特性的变化的影响的模型。计算机子系统可产生用于包含原位监测及原位过程优化的应用的此模型。
在另一实例中,到计算机子系统的输入可包含来自多个图像的共同特性。计算机子系统可经配置以使特性或一组特性与类似行为一起用于对装置分组。可对例如检验及/或计量设置、原位过程调整及良率相互关联的应用执行此类功能。
本文所描述的实施例可或可不经配置以训练本文所描述的基于学习的模型。举例来说,另一方法及/或系统可经配置以产生基于学习的训练模型,接着,所述基于学习的训练模型可由本文所描述的实施例存取及使用。
在一些此类实施例中,训练包含监督训练。举例来说,就CNN而言,在训练阶段期间,训练数据(例如测试、参考、设计等等)可为到缺陷检测及/或分类及人工缺陷检视的输入。缺陷检测可使用由可购自KLA-Tencor的一些检验工具使用的任何适合缺陷检测算法(例如MDAT)来执行。缺陷分类可使用任何适合分类方法(例如使缺陷图像与设计对准及基于对应于缺陷位置的设计数据而对缺陷分类)来执行。人工缺陷检视可由用户以所属领域中已知的任何适合方式执行。接着,可基于缺陷检测及/或分类及人工检视的结果而训练CNN。特定来说,可通过输入取样故障图像且允许系统识别故障模式而界定故障模式,其可用于对其它图案或缺陷分类。训练的结果可为训练CNN。接着,在运行时间期间,测试图像可输入到训练CNN且训练CNN的输出可包含缺陷预测、缺陷分类等等。
在一个此实施例中,输入可包含来自样品上的一或多个装置及/或一或多个层的图像(检验及其它图像)。一或多个组件可包含CNN、经配置以用于图像分析及/或特性提取的深度学习模型及/或自组织映射。一或多个组件及/或一或多个计算机子系统可经配置以用于监督学习及使用、偏差检测、共同故障模式识别、用于缺陷检视及计量的静态及自适应取样、故障模式及来源识别、工具及良率监测及模型及规则产生。举例来说,在监督方法中,图像可与数据库(其可包含多个数据源)中的信息比较。比较的结果可用于故障模式识别。
在监督检测及分类的另一实例中,用户标记参考及测试图像可输入到CNN。用户可通过查看检视工具图像而标记缺陷。CNN可如本文所描述那样配置。在监督检测及分类方法中,CNN的第i输出神经元可对缺陷类别i具有高活化作用。在此方法中,缺陷位置处的设计图像可任选地输入到CNN。缺陷位置处的设计图像也可任选地输入到几何提取器。几何提取器可产生也可任选地输入到CNN以用于监督检测及分类的几何编码图像。
监督分类的应用包含检视取样、方案优化(例如阈值优化、设计数据的微小关心区域产生等等)、工具监测(例如临界位置性质晶片级映射、从检验图像导出的临界位置属性)、计量(例如从检验图像导出的堆叠裸片属性的分析)、良率监测(例如检验图像特性与良率的相关性)及临界状态规则发现(例如设计属性与检验图像特性的相关性)。
在额外此类实施例中,训练包含无监督训练。举例来说,到基于学习的模型的输入可包含无标记输入(这是因为:输入未被标记为缺陷或非缺陷)。使用缺陷位置及无缺陷位置的混合,例如自动编码器的基于学习的模型可在无监督的情况下基于其特性而自组织数据且对缺陷分类。一旦可根据自组织特性而对数据分类,那么数据可自动分组或分离。
在一个此实施例中,输入可包含来自样品上的一或多个装置及/或一或多个层的图像(例如检验及其它图像)。一或多个组件可包含CNN、经配置以用于图像分析及/或特性提取的深度学习模型及/或自组织映射。一或多个组件及/或一或多个计算机子系统可经配置以用于无监督学习及使用、自适应学习、裸片内检验、裸片、光罩及晶片级特征识别及用于识别未知偏差的异常图案(特征)的识别。举例来说,在无监督方法中,图像可用于产生一或多个自组织映射。自组织映射可用于识别共同故障模式及/或偏差。所识别的共同故障模式及/或偏差可用于装置改进。
在无监督分类的一个此实例中,到自动编码器的输入可包含无标记检验批,其可包含检验参考图像及检验缺陷图像。在无监督分类方法中,自动编码器可具有一组输出神经元。以此方式,自动编码器可用作为深度无监督学习NN。在此方法中,缺陷位置处的设计图像可任选地输入到自动编码器。缺陷位置处的设计图像也可任选地输入到几何提取器。几何提取器可产生也可任选地输入到自动编码器以用于无监督检测及分类的几何编码图像。
无监督分类的应用包含用户指导的自适应检视取样(例如对应于输入图像的模糊集群的神经元的输出、对应于呈现给用户(其可动态调整其想要取样的内容)的每一输出神经元的最大活化作用的检视图像等等)、使用相同所关注图案(POI)的检验图像的裸片内检验(例如使用输出神经元活化值的离群值检测)、晶片特征识别(例如使用横跨晶片的POI检验图像的离群值检测)及用于监督分类的电力辅助缺陷取样及标记。可在使用相同图案的图像来分析图案或缺陷时执行使用输出神经元活化值的离群值检测。举例来说,可存在给定图案的某些预期特性。当图案正常时,其应展现给定图案所预期的类似性质。一旦图案偏离预期性质,样本就将被视为基于神经元活化值的离群值(例如给定图案的特性及/或性质/若干性质)。
基于学习的模型可包含机器学习模型。机器学习大体上可经界定为人工智能(AI)类型,其提供具有无需被明确编程的学习能力的计算机。机器学习聚焦于可自学成长且在接受新数据时更新的计算机过程的开发。换句话来说,机器学习可经界定为“给予计算机无需被明确编程的学习能力”的计算机科学的分支。机器学习探索可从数据学习且基于数据作出预测的算法的研究及构造,此类算法通过借助从样本输入构建模型作出数据驱动预测或决策而克服以下严格静态程序指令。
本文所描述的机器学习可进一步如以下各者中所描述那样执行:杉山(Sugiyama)的“引入到统计机器学习(Introduction to Statistical Machine Learning)”(摩根考夫曼(Morgan Kaufmann),2016年534页)、杰巴拉(Jebara)的“区别、生成及模仿学习(Discriminative,Generative,and Imitative Learning)”(MIT论文(MIT Thesis),2002年212页)及汉德(Hand)等人的“数据探勘的原理(自适应计算机机器学习)(Principles ofData Mining(Adaptive Computation and Machine Learning))”,(MIT出版社(MITPress),2001年578页),其以宛如全文陈述引用的方式并入本文中。本文所描述的实施例可进一步如这些参考文献中所描述那样配置。
在另一此实施例中,基于学习的模型包含基于深度学习的模型。一般来说,“深度学习”(也称为深度结构化学习、阶层式学习或深度机器学习)是基于试图模型化数据的高层抽象概念的一组算法的机器学习的分支。在简单情况中,可存在两组神经元:一组神经元接收输入信号及一组神经元发送输出信号。当输入层接收输入时,其将输入的修改形式传递到下一层。在深度网络中,输入与输出之间可存在许多层(且所述层并非由神经元构成,但其可有助于以那个方式思考)以允许算法使用由多个线性及非线性变换组成的多个处理层。
深度学习是基于数据的学习表示的机器学习方法的较广泛系列的部分。观察(例如图像)可以许多方式(例如每像素的强度值的向量)或以更抽象方式(例如一组边缘、特定形状的区域等等)表示。一些表示在简化学习任务(例如面部辨识或面部表情辨识)方面比其它表示更佳。深度学习的承诺是使用用于无监督或半监督特征学习及阶层式特征提取的高效算法来替换手工特征。
此领域的研究试图作出较佳表示且产生用于从大规模无标记数据学习这些表示的模型。一些表示因神经科学的进步而受启发且大致上基于神经系统中的信息处理及通信图案的解译,例如试图界定各种刺激与大脑中的相关联神经元响应之间的关系的神经编码。
各种深度学习架构(例如深度神经网络、卷积深度神经网络、深度自信网络及递归神经网络)已应用于比如计算机视觉、自动语音识别、自然语言处理、音频辨识及生物信息分析的领域,其中已证明深度学习架构产生各种任务的最高级结果。
在另一实施例中,基于学习的模型包含神经网络。举例来说,模型可为深度神经网络,其具有根据已被馈送来训练其的数据而模型化世界的一组权重。神经网络大体上可经界定为基于神经单元的相对较大集合的计算方法,神经单元的所述相对较大集合大致上模型化生物大脑解决由轴突连接的生物神经元的相对较大集群的问题的方式。每一神经单元与许多其它神经单元连接,且链路可加强或抑制其对连接神经单元的活化状态的影响。这些系统是自学习及经训练的而非被明确编程的且在其中解决方案或特征界定难以以传统计算机程序表达的区域中出类拔萃。
神经网络通常由多个层组成,且信号路径前后横贯。神经网络的目标是以相同于人脑的方式解决问题,但若干神经网络更抽象得多。现代神经网络工程通常与数千个到数百万个神经单元及数百万个连接一起工作。神经网络可具有所属技术已知的任何适合架构及/或配置。
在另一实施例中,基于学习的模型包含CNN。举例来说,本文所描述的实施例可利用例如CNN的深度学习概念来解决通常较棘手的表示转换问题(例如渲染)。模型可具有所属领域中已知的任何CNN配置或架构。
在另一实施例中,基于学习的模型包含深度神经网络。举例来说,模型可经配置以具有深度学习架构,这是因为模型可包含执行若干算法或变换的多个层。一般来说,模型中的层数并不多且与使用情况相依。为实际目的,包含于模型中的层的适合范围是从2个层到数十个层。深度神经网络可如本文所描述那样以其它方式配置。在一个此实施例中,基于学习的模型可经配置为深度CNN(DCNN),如克里泽夫斯基(Krizhevsky)等人的“具有深度卷积神经网络的图像网分类(ImageNet Classification with Deep Convolutional NeuralNetworks)”(NIPS,2012年9页)(其以宛如全文陈述引用的方式并入本文中)中所描述。本文所描述的实施例可进一步如此参考文献中所描述那样配置。
在额外实施例中,基于学习的模型包含辨别模型。辨别模型(也称为条件模型)是用于模型化未观察到变量y对已观察到变量x的相依性的机器学习中所使用的模型类别。在概率构架内,此通过模型化可用于从x预测y的条件概率分布P(y|x)而完成。与生成模型相反,辨别模型不允许从x及y的联合分布产生样本。然而,对于例如不需要联合分布的分类及回归的任务,辨别模型可产生优越性能。另一方面,生成模型在表达复杂学习任务的相依性方面通常比辨别模型灵活。另外,大多数辨别模型受固有监督且无法轻易地扩展到无监督学习。应用特定细节最终指示选择辨别对生成模型的适合性。辨别模型可进一步如上文所并入的Krizhevsky参考文献中所描述那样配置。以此方式,本文所描述的实施例可将辨别类型的深度学习网络用于本文所描述的应用(分类或回归目的)。
在一些实施例中,基于学习的模型包含生成模型。“生成”模型大体上可经界定为具概率性的模型。换句话来说,“生成”模型并非为执行正向模拟或基于规则的方法的模型,因而,涉及产生真实图像的过程的物理现象的模型(其模拟图像被产生)是非必要的。相反地,如本文进一步所描述,生成模型可基于一组适合训练数据而学习(这是因为其参数可被学习)。生成模型可进一步如张(Zhang)等人于2016年6月7日申请的第15/176,139号美国专利申请案中所描述那样配置,所述案以宛如全文陈述引用的方式并入本文中。本文所描述的实施例可进一步如此专利申请案中所描述那样配置。以此方式,本文所描述的实施例可将例如深度生成网络的深度学习网络用于本文所描述的应用(分类或回归目的)。
在一个实施例中,基于学习的模型包含深度生成模型。举例来说,模型可经配置以具有深度学习架构,这是因为模型可包含执行若干算法或变换的多个层。一般来说,生成模型的或两侧上的层数并不多且与使用情况相依。为实际目的,两侧上的层的适合范围是从2个层到数十个层。
在另一此实施例中,基于学习的模型包含自动编码器。自动编码器、自动关联器或Diabolo网络是用于高效编码的无监督学习的人工神经网络。自动编码器的目的是学习一组数据(通常为了减少维数)的表示(编码)。近年来,自动编码器概念已变得更广泛用于数据的学习生成模型。在架构上,自动编码器的最简形式是非常类似于多层感知器(MLP)的前馈非递归神经网络,其具有输入层、输出层及连接输入层及输出层的一或多个隐藏层,但其中输出层具有相同于输入层的节点数目,且其目的是重建其自身输入(替代预测目标值给定输入)。因此,自动编码器是无监督学习模式。自动编码器总是由两个部分(编码器及解码器)组成。存在用于防止自动编码器学习恒等函数且改进其捕获重要信息及学习较丰富表示的能力的各种技术。自动编码器可包含任何适合类型的自动编码器,例如去噪声自动编码器、稀疏自动编码器、变化自动编码器及收缩自动编码器。
在一个实施例中,计算机子系统经配置以基于样品的一或多个确定特性及一或多个额外信息类型而预测样品的缺陷信息。举例来说,一或多个计算机子系统可经配置以使用与其它响应变量(例如电气测试或工具数据)组合的一或多个图像特性或图像本身来界定样品上的缺陷。特定来说,可确定外部响应变量与图像特性之间的相关性。接着,可在无需外部响应变量及/或无需将缺陷检测算法应用于针对样品所产生的图像的情况下使用图像特性及相关性来预测样品上的缺陷。另外,如本文进一步所描述,一旦图像特性的异常已由计算机子系统识别(如本文进一步所描述),外部响应变量就可用于识别对应于缺陷的图像特性的异常及不对应于样品上的缺陷的图像特性的异常。
在另一实施例中,计算机子系统经配置以基于样品上的一或多个确定特性及一或多个额外信息类型而预测样品上的缺陷的良率临界状态。举例来说,一或多个计算机子系统可经配置以使用与其它响应变量(例如电气测试或工具数据)组合的一或多个图像特性或图像本身来界定良率的缺陷临界状态。在一个此实例中,图像特性及其它响应变量可用于确定缺陷将对形成于样品上的装置的影响且因此确定缺陷将对经执行以制造样品上的装置的过程的良率的影响。
在额外实施例中,计算机子系统经配置以基于样品的一或多个确定特性及一或多个额外信息类型而预测形成于样品上的装置的性能。举例来说,一或多个计算机子系统可经配置以使用与其它响应变量(例如电气测试或工具数据)组合的一或多个图像特性或图像本身来预测装置性能。在一个此实例中,图像特性及其它响应变量可用于确定缺陷将对形成于样品上的装置的影响且因此确定缺陷将对形成于样品上的装置的性能的影响。
在一些实施例中,计算机子系统经配置以基于信息的一或多个额外类型而实时产生一或多个特性。举例来说,计算机子系统可经配置以基于响应变量(例如缺陷类型,例如敞开或桥接、干扰等等)而实时产生相关特性。以此方式,计算机子系统可不经配置以产生预定特性或一组预定特性。相反地,本文所描述的实施例可经配置以分析可从样品图像确定的任何及所有图像特性且可经配置以基于响应变量而选择用于本文所描述的异常识别及/或其它步骤的特性中的一或多者。
在一个实施例中,计算机子系统经配置以:对多个样品执行获取、确定及识别步骤;监测异常变化的一或多个特性;及响应于由所述监测检测的变化而更改过程工具的参数。举例来说,计算机子系统可经配置以使用图像特性的图案(行为)的变化来检测问题及将后续变化应用于过程工具。换句话来说,当样品的图像特性表现为随时间漂移(或展现从一个样品到另一样品的戏剧性变化)时,图像特性的变化可经识别为对应于对样品所执行的过程的潜在问题。接着,图像特性的变化可用于确定改变过程以使图像特性恢复到其原始值的方式。
在另一实施例中,一或多个计算机子系统经配置以确定异常的一或多个空间特性且基于所述一或多个确定空间特性而识别异常的潜在来源。举例来说,计算机子系统可使用例如区域图像特性的局部图像特性来识别可与过程、工具、腔室或工艺时间相关的良率问题的潜在来源。以此方式,到由计算机子系统执行的步骤的输入可包含横跨晶片/光罩/裸片等等的检验图像的空间及时间分布。空间图案可表示归因于工艺/工具变化的不同潜在故障模式。接着,空间图案可用于基于空间图案与过程参数、工具参数及过程之间的相关性的过程监测、工具监测及过程改进。可以任何适合方式(例如,通过实验或从理论上)确定相关性。
在额外实施例中,计算机子系统经配置以堆叠获取图像的两者或更多者且基于获取图像的所述堆叠两者或更多者而识别样品的设计的一或多个弱点。举例来说,计算机子系统可经配置以使用堆叠图像来识别设计的弱点。特定来说,当超过一个样品图像彼此叠加(即,堆叠)时,重复出现于设计位置内的超过一个相同例子或设计中的相同图案化特征的超过一个例子处的任何异常或缺陷指示:设计的弱点在设计位置或图案化特征内(即,位置或图案化特征表现得比设计中的其它位置或图案化特征更易受缺陷影响)。
在另一实施例中,计算机子系统经配置以基于获取图像的一或多个特性而产生索引,所述索引表示样品的一或多个属性(其对应于图像的一或多个特性)对形成于样品上的装置的性能的潜在影响。举例来说,计算机子系统可经配置以使用图像特性的一或多者来使对装置性能的潜在影响的指标公式化。在一个此实例中,可基于来自图像的一或多个特性而确定异常指标。当经正规化时,可使用从图像确定的特性来预测每一装置的潜在风险。以此方式,指标可用于基于异常的严重性的装置评估及/或评级。
本文所描述的实施例具有优于当前所使用的方法及系统的若干优点。举例来说,使用本文所描述的检验及其它图像及其特性(如本文所描述)提供对制造问题的额外敏感度。使用如本文所描述的图像及其特性可通过使可用于图像中的信息最大化而减少假肯定(不相关事件)及遗漏真正问题(良率相关问题)。不是依赖报告缺陷或一组预界定特性,而是图像的使用允许通过使用例如神经网络及深度学习的无监督数据挖掘的高级相关引擎而发现隐藏问题。此外,通过使用图像,用户可利用数据处理或相关引擎的改进,其中可动态提取新特性用于改进模型化及应用。
上文所描述的系统中的每一者的实施例中的每一者可一起组合成单个实施例。
另一实施例涉及一种用于检测样品的图像的异常的计算机实施方法。所述方法包含:通过使用成像子系统将能量引导到样品及从样品检测能量而产生所述样品的图像。所述成像子系统包含:至少一个能量源,其经配置以产生引导到所述样品的能量;及至少一个检测器,其经配置以从所述样品检测能量。所述方法还包含:获取从所述样品产生的所述图像;及确定所述获取图像的一或多个特性。另外,所述方法包含:在无需将缺陷检测算法应用于所述图像或所述图像的所述一或多个特性的情况下基于所述一或多个确定特性而识别所述图像的异常。所述获取步骤、所述确定步骤及所述识别步骤由耦合到所述成像子系统的一或多个计算机子系统执行。
所述方法的所述步骤中的每一者可如本文进一步所描述那样执行。所述方法还可包含可由本文所描述的系统、成像子系统、计算机子系统、组件及/或模型执行的任何其它步骤。成像子系统、一或多个计算机系统、一或多个组件及模型可根据本文所描述的实施例的任何者而配置,例如,分别为成像子系统10、计算机子系统102、组件100及模型104。另外,上文所描述的方法可由本文所描述的系统实施例的任何者执行。
额外实施例涉及一种非暂时性计算机可读媒体,其存储可在一或多个计算机系统上执行的程序指令,所述程序指令用于执行用于检测样品的图像的异常的计算机实施方法。图3中展示一个此实施例。特定来说,如图3所展示,非暂时性计算机可读媒体300包含可在计算机系统304上执行的程序指令302。计算机实施方法可包含本文所描述的任何方法的任何步骤。
实施方法(例如本文所描述的方法)的程序指令302可存储于计算机可读媒体300上。计算机可读媒体可为存储媒体,例如磁盘或光盘、磁带或所属领域中已知的任何其它适合非暂时性计算机可读媒体。
程序指令可以各种方式的任何者(其尤其包含基于程序的技术、基于组件的技术及/或面向对象技术)实施。举例来说,程序指令可根据期望使用ActiveX控件、C++对象、JavaBeans、微软基础类别(“MFC”)、SSE(数据流SIMD扩展)或其它技术或方法来实施。
计算机系统304可根据本文所描述的实施例的任何者而配置。
鉴于此描述,所属领域的技术人员将明白本发明的各种方面的另外修改及替代实施例。举例来说,提供用于检测样品的图像的异常的方法及系统。因此,此描述应仅解释为说明性且是出于教示所属领域的技术人员执行本发明的一般方式的目的。应理解,本文中展示及描述的本发明的形式将视为当前优选实施例。如所属领域的技术人员在获益于本发明的此描述之后将明白,元件及材料可取代本文中说明及描述的元件及材料,部件及过程可颠倒,且可独立利用本发明的特定特征。在不脱离如在以下权利要求书中描述的本发明的精神及范围的情况下可对本文中描述的元件做出改变。

Claims (36)

1.一种经配置以检测样品的图像的异常的系统,其包括:
成像子系统,其经配置以通过将能量引导到样品及从所述样品检测能量而产生所述样品的图像,其中所述成像子系统包括:至少一个能量源,其经配置以产生引导到所述样品的所述能量;及至少一个检测器,其经配置以从所述样品检测所述能量;
一或多个计算机子系统,其耦合到所述成像子系统,其中所述一或多个计算机子系统经配置以:
获取从所述样品产生的所述图像;
确定所述获取图像的一或多个特性;及
在无需将缺陷检测算法应用于所述图像或所述图像的所述一或多个特性的情况下基于所述一或多个确定特性而识别所述图像的异常。
2.根据权利要求1所述的系统,其中确定其所述一或多个特性的所述获取图像在所述确定之前未由所述一或多个计算机子系统处理。
3.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以基于所述识别异常而识别形成于所述样品上的装置的一或多个问题。
4.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以基于所述识别异常而识别对所述样品所执行的过程的一或多个问题。
5.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以基于所述异常而更改对所述样品所执行的过程以借此改进所述过程的良率。
6.根据权利要求1所述的系统,其中在所述成像子系统产生所述样品的所述图像时实时执行所述确定。
7.根据权利要求1所述的系统,其中在所述成像子系统完成产生所述样品的所述图像之后执行所述确定。
8.根据权利要求1所述的系统,其中基于与用于产生所述图像的所述成像子系统的一或多个参数组合的所述一或多个确定特性而执行所述识别。
9.根据权利要求1所述的系统,其中所述一或多个计算机子系统包括由所述一或多个计算机子系统执行的一或多个组件,且其中所述一或多个组件包括基于学习的模型,所述基于学习的模型经配置以执行所述确定所述获取图像的所述一或多个特性且识别针对所述获取图像所确定的所述一或多个特性。
10.根据权利要求1所述的系统,其中所述获取图像的所述一或多个特性包括所述获取图像的一或多个局部特性。
11.根据权利要求1所述的系统,其中所述获取图像的所述一或多个特性包括所述获取图像的一或多个全局特性。
12.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以:堆叠所述获取图像的两者或更多者;检测所述获取图像的所述堆叠两者或更多者的缺陷;及基于所述获取图像的所述堆叠两者或更多者而确定所述检测到的缺陷的一或多个属性。
13.根据权利要求1所述的系统,其中所述一或多个特性包括关联于用于获取所述图像的所述成像子系统的参数的所述获取图像的至少一个特性。
14.根据权利要求1所述的系统,其中所述一或多个计算机子系统包括由所述一或多个计算机子系统执行的一或多个组件,其中所述一或多个组件包括基于学习的模型,其中所述一或多个计算机子系统进一步经配置以使用所述样品的所述获取图像及一或多个额外信息类型来训练所述基于学习的模型,且其中所述基于学习的模型经配置以基于所述获取图像及所述一或多个额外信息类型而预测所述样品上的缺陷的信息。
15.根据权利要求14所述的系统,其中所述样品的所述一或多个额外信息类型包括所述样品的设计数据。
16.根据权利要求14所述的系统,其中所述样品的所述一或多个额外信息类型包括所述样品的电气测试及测量数据。
17.根据权利要求14所述的系统,其中所述样品的所述一或多个额外信息类型包括所述样品的过程工具数据。
18.根据权利要求14所述的系统,其中所述训练包括监督训练。
19.根据权利要求14所述的系统,其中所述训练包括无监督训练。
20.根据权利要求14所述的系统,其中所述基于学习的模型包括基于深度学习的模型。
21.根据权利要求14所述的系统,其中所述基于学习的模型包括卷积神经网络。
22.根据权利要求14所述的系统,其中所述基于学习的模型包括自动编码器。
23.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以基于所述样品的所述一或多个确定特性及一或多个额外信息类型而预测所述样品的缺陷信息。
24.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以基于所述样品的所述一或多个确定特性及一或多个额外信息类型而预测所述样品上的缺陷的良率临界状态。
25.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以基于所述样品的所述一或多个确定特性及一或多个额外信息类型而预测形成于所述样品上的装置的性能。
26.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以基于一或多个额外信息类型而实时产生所述一或多个特性。
27.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以:对多个样品执行所述获取、确定及识别;监测所述一或多个特性或所述异常变化;及响应于由所述监测检测的所述变化而更改过程工具的参数。
28.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以确定所述异常的一或多个空间特性且基于所述一或多个确定空间特性而识别所述异常的潜在来源。
29.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以堆叠所述获取图像的两者或更多者且基于所述获取图像的所述堆叠两者或更多者而识别所述样品的设计的一或多个弱点。
30.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以基于所述获取图像的所述一或多个特性而产生索引,所述索引表示对应于所述图像的所述一或多个特性的所述样品的一或多个属性对形成于所述样品上的装置的性能的潜在影响。
31.根据权利要求1所述的系统,其中所述成像子系统进一步经配置为基于光学的成像子系统。
32.根据权利要求1所述的系统,其中所述成像子系统进一步经配置为基于电子束的成像子系统。
33.根据权利要求1所述的系统,其中所述样品是晶片。
34.根据权利要求1所述的系统,其中所述样品是光罩。
35.一种非暂时性计算机可读媒体,其存储可在一或多个计算机系统上执行的程序指令,所述程序指令用于执行用于检测样品的图像的异常的计算机实施方法,其中所述计算机实施方法包括:
通过使用成像子系统将能量引导到样品及从所述样品检测能量而产生所述样品的图像,其中所述成像子系统包括:至少一个能量源,其经配置以产生引导到所述样品的所述能量;及至少一个检测器,其经配置以从所述样品检测所述能量;
获取从所述样品产生的所述图像;
确定所述获取图像的一或多个特性;及
在无需将缺陷检测算法应用于所述图像或所述图像的所述一或多个特性的情况下基于所述一或多个确定特性而识别所述图像的异常,其中所述获取、所述确定及所述识别由耦合到所述成像子系统的一或多个计算机子系统执行。
36.一种用于检测样品的图像的异常的计算机实施方法,其包括:
通过使用成像子系统将能量引导到样品及从所述样品检测能量而产生所述样品的图像,其中所述成像子系统包括:至少一个能量源,其经配置以产生引导到所述样品的所述能量;及至少一个检测器,其经配置以从所述样品检测所述能量;
获取从所述样品产生的所述图像;
确定所述获取图像的一或多个特性;及
在无需将缺陷检测算法应用于所述图像或所述图像的所述一或多个特性的情况下基于所述一或多个确定特性而识别所述图像的异常,其中所述获取、所述确定及所述识别由耦合到所述成像子系统的一或多个计算机子系统执行。
CN201780005676.1A 2016-01-11 2017-01-10 基于图像的样品过程控制 Active CN108463874B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662277457P 2016-01-11 2016-01-11
US62/277,457 2016-01-11
US15/402,197 2017-01-09
US15/402,197 US10181185B2 (en) 2016-01-11 2017-01-09 Image based specimen process control
PCT/US2017/012890 WO2017123561A1 (en) 2016-01-11 2017-01-10 Image based specimen process control

Publications (2)

Publication Number Publication Date
CN108463874A true CN108463874A (zh) 2018-08-28
CN108463874B CN108463874B (zh) 2020-11-06

Family

ID=59275945

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780005676.1A Active CN108463874B (zh) 2016-01-11 2017-01-10 基于图像的样品过程控制

Country Status (6)

Country Link
US (1) US10181185B2 (zh)
KR (1) KR102422093B1 (zh)
CN (1) CN108463874B (zh)
IL (1) IL260102B (zh)
TW (1) TWI715703B (zh)
WO (1) WO2017123561A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111738398A (zh) * 2020-06-22 2020-10-02 河海大学常州校区 一种用于行星齿轮故障诊断的新型深度特征学习方法
CN112969911A (zh) * 2018-11-15 2021-06-15 科磊股份有限公司 使用基于深度学习的缺陷检测及分类方案进行像素级图像量化
CN112969967A (zh) * 2018-11-07 2021-06-15 Asml荷兰有限公司 确定对过程的校正
CN112997196A (zh) * 2018-11-20 2021-06-18 北伯林顿铁路公司 用于确定物理对象的缺陷的系统及方法
CN113168686A (zh) * 2019-01-31 2021-07-23 株式会社斯库林集团 信息处理装置、信息处理方法、信息处理程序、学习方法以及已学习模型
CN113412485A (zh) * 2019-02-14 2021-09-17 科磊股份有限公司 用于测试图像与设计对准的设计文件选择

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102211093B1 (ko) * 2014-02-12 2021-02-03 에이에스엠엘 네델란즈 비.브이. 프로세스 윈도우를 최적화하는 방법
US10186026B2 (en) * 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
JP2017191501A (ja) * 2016-04-14 2017-10-19 キヤノン株式会社 情報処理装置、情報処理方法及びプログラム
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
CN114972180A (zh) * 2017-04-13 2022-08-30 英卓美特公司 用于预测在装配单元中的缺陷的方法
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
US10713534B2 (en) 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
US11321831B2 (en) 2017-09-29 2022-05-03 The Brigham And Women's Hospital, Inc. Automated evaluation of human embryos
US10650001B2 (en) 2017-10-05 2020-05-12 Google Llc Disaggregating latent causes for computer system optimization
KR101916347B1 (ko) * 2017-10-13 2018-11-08 주식회사 수아랩 딥러닝 기반 이미지 비교 장치, 방법 및 컴퓨터 판독가능매체에 저장된 컴퓨터 프로그램
US11049744B2 (en) 2017-10-24 2021-06-29 International Business Machines Corporation Optimizing semiconductor binning by feed-forward process adjustment
JP2019086320A (ja) 2017-11-02 2019-06-06 セイコーエプソン株式会社 電子部品搬送装置および電子部品検査装置
CN111316412A (zh) 2017-11-03 2020-06-19 东京毅力科创株式会社 功能性微电子装置的产出的提高
US10429318B2 (en) * 2017-12-19 2019-10-01 Industrial Technology Research Institute Detection system for a multilayer film and method thereof using dual image capture devices for capturing forward scattered light and back scattered light
TWI653605B (zh) * 2017-12-25 2019-03-11 由田新技股份有限公司 利用深度學習的自動光學檢測方法、設備、電腦程式、電腦可讀取之記錄媒體及其深度學習系統
US10970834B2 (en) * 2018-01-05 2021-04-06 Kla-Tencor Corporation Defect discovery using electron beam inspection and deep learning with real-time intelligence to reduce nuisance
KR102549196B1 (ko) * 2018-02-07 2023-06-30 어플라이드 머티리얼즈 이스라엘 리미티드 반도체 시편의 심층 학습 기반 검사 방법 및 그의 시스템
US10818005B2 (en) * 2018-03-12 2020-10-27 Kla-Tencor Corp. Previous layer nuisance reduction through oblique illumination
US11170255B2 (en) 2018-03-21 2021-11-09 Kla-Tencor Corp. Training a machine learning model with synthetic images
US10599951B2 (en) 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
US10670536B2 (en) 2018-03-28 2020-06-02 Kla-Tencor Corp. Mode selection for inspection
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US11151711B2 (en) * 2018-06-06 2021-10-19 Kla-Tencor Corporation Cross layer common-unique analysis for nuisance filtering
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
DE102018211099B4 (de) 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
CN110717881A (zh) * 2018-07-12 2020-01-21 长鑫存储技术有限公司 晶圆缺陷识别方法、装置、存储介质和终端设备
CN109191558B (zh) * 2018-07-27 2020-12-08 深圳市商汤科技有限公司 图像打光方法和装置
US10818001B2 (en) * 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
KR20200039047A (ko) * 2018-10-01 2020-04-16 에스케이씨 주식회사 필름 결함 검출 방법 및 시스템
US11094053B2 (en) * 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
EP3637303B1 (en) * 2018-10-09 2024-02-14 Naver Corporation Methods for generating a base of training images, for training a cnn and for detecting a poi change in a pair of inputted poi images using said cnn
KR102585137B1 (ko) * 2018-10-17 2023-10-06 에이에스엠엘 네델란즈 비.브이. 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들
US11244873B2 (en) 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
KR102638267B1 (ko) * 2018-12-03 2024-02-21 삼성전자주식회사 반도체 웨이퍼 불량 분석 시스템 및 그것의 동작 방법
KR102179949B1 (ko) 2018-12-26 2020-11-17 한국생산기술연구원 딥러닝 기반 영상 데이터 생성 기술을 이용한 분류 시스템 및 그 제어 방법
US10853932B2 (en) * 2019-01-16 2020-12-01 Applied Material Israel, Ltd. Method of defect detection on a specimen and system thereof
WO2020176926A1 (en) * 2019-03-01 2020-09-10 The University Of Melbourne Automated determination of locations of donor atoms
TWI717716B (zh) * 2019-04-01 2021-02-01 陳膺任 防偽元件驗證方法及系統
US11551348B2 (en) * 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
KR20220002572A (ko) * 2019-06-13 2022-01-06 주식회사 히타치하이테크 화상 처리 프로그램, 화상 처리 장치 및 화상 처리 방법
US11619592B2 (en) * 2019-07-09 2023-04-04 KLA Corp. Selecting defect detection methods for inspection of a specimen
US11580650B2 (en) 2019-10-01 2023-02-14 KLA Corp. Multi-imaging mode image alignment
US11415531B2 (en) * 2019-10-11 2022-08-16 KLA Corp. Statistical learning-based mode selection for multi-mode inspection
US11087449B2 (en) 2019-10-24 2021-08-10 KLA Corp. Deep learning networks for nuisance filtering
KR20210057518A (ko) * 2019-11-12 2021-05-21 라온피플 주식회사 불량 이미지 생성 장치 및 방법
US20210158223A1 (en) * 2019-11-22 2021-05-27 Kla Corporation Finding Semiconductor Defects Using Convolutional Context Attributes
US11113580B2 (en) 2019-12-30 2021-09-07 Industrial Technology Research Institute Image classification system and method
US11256967B2 (en) * 2020-01-27 2022-02-22 Kla Corporation Characterization system and method with guided defect discovery
KR102486230B1 (ko) * 2020-03-20 2023-01-10 라온피플 주식회사 딥러닝을 이용한 신규 제품의 품질 검사 방법 및 시스템
US11599690B2 (en) * 2020-07-20 2023-03-07 International Business Machines Corporation Wafer asset modeling using language processing methods
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
CN111930926B (zh) * 2020-08-05 2023-08-29 南宁师范大学 结合评论文本挖掘的个性化推荐算法
CN113487533B (zh) * 2021-05-19 2023-11-14 东北大学 一种基于机器学习的零件装配质量数字化检测系统及方法
US11868119B2 (en) 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection
WO2024049199A1 (ko) * 2022-08-31 2024-03-07 주식회사 엘지에너지솔루션 학습 모델 기반의 치수 측정 장치 및 방법
US20240120221A1 (en) * 2022-10-03 2024-04-11 Kla Corporation Correcting target locations for temperature in semiconductor applications
EP4361903A1 (en) * 2022-10-25 2024-05-01 ASML Netherlands B.V. Failure mode identification

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103168227A (zh) * 2010-10-19 2013-06-19 3M创新有限公司 已制造幅材产品数字样品的计算机辅助评级分配
CN103180724A (zh) * 2010-10-19 2013-06-26 3M创新有限公司 对用于检测幅材型材料中可变性的不均匀度严重性进行连续制图
CN103221807A (zh) * 2010-11-12 2013-07-24 3M创新有限公司 快速处理和检测幅材型材料中的不均匀因素

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP2003243470A (ja) * 2002-02-18 2003-08-29 Mitsubishi Electric Corp 異常検出システム、プログラムおよび記録媒体
US7469057B2 (en) * 2003-02-26 2008-12-23 Taiwan Semiconductor Manufacturing Corp System and method for inspecting errors on a wafer
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US8344685B2 (en) * 2004-08-20 2013-01-01 Midtronics, Inc. System for automatically gathering battery information
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7571422B2 (en) 2006-09-21 2009-08-04 Kla-Tencor Technologies Corporation Method for generating a design rule map having spatially varying overlay budget
US7904845B2 (en) 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
JP2008261790A (ja) 2007-04-13 2008-10-30 Hitachi High-Technologies Corp 欠陥検査装置
US9151712B1 (en) 2007-05-30 2015-10-06 Kla-Tencor Corporation Rule checking for metrology and inspection
WO2008152020A1 (en) 2007-06-12 2008-12-18 Icos Vision Systems Nv Method for semiconductor substrate inspection
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US8131107B2 (en) 2008-05-12 2012-03-06 General Electric Company Method and system for identifying defects in NDT image data
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
JP5243335B2 (ja) * 2009-04-21 2013-07-24 東京エレクトロン株式会社 欠陥検査方法、欠陥検査装置、欠陥検査プログラム、及びそのプログラムを記録した記録媒体
US8442301B2 (en) * 2009-04-30 2013-05-14 General Electric Company Nondestructive inspection method and system
KR101732750B1 (ko) 2009-07-17 2017-05-24 케이엘에이-텐코 코포레이션 설계 및 결함 데이터를 사용한 스캐너 성능 비교 및 매칭
JP5550862B2 (ja) * 2009-07-23 2014-07-16 株式会社日立ハイテクノロジーズ 欠陥分類装置及び分類調整方法
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
US8656323B2 (en) 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US9575013B2 (en) * 2011-05-17 2017-02-21 Gii Acquisition, Llc Non-contact method and system for inspecting a manufactured part at an inspection station having a measurement axis
US20120316855A1 (en) 2011-06-08 2012-12-13 Kla-Tencor Corporation Using Three-Dimensional Representations for Defect-Related Applications
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
DE102012101301B4 (de) * 2012-02-17 2014-11-06 Kocos Automation Gmbh Vorrichtung zur berührungslosen Kantenprofilbestimmung an einem dünnen scheibenförmigen Objekt
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US8948495B2 (en) 2012-08-01 2015-02-03 Kla-Tencor Corp. Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
US9576861B2 (en) 2012-11-20 2017-02-21 Kla-Tencor Corporation Method and system for universal target based inspection and metrology
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9183624B2 (en) * 2013-06-19 2015-11-10 Kla-Tencor Corp. Detecting defects on a wafer with run time use of design data
US8987010B1 (en) * 2013-08-29 2015-03-24 International Business Machines Corporation Microprocessor image correction and method for the detection of potential defects
US20150120220A1 (en) 2013-10-29 2015-04-30 Kla-Tencor Corporation Detecting IC Reliability Defects
US9518932B2 (en) 2013-11-06 2016-12-13 Kla-Tencor Corp. Metrology optimized inspection
US9506873B2 (en) * 2014-04-15 2016-11-29 Kla-Tencor Corp. Pattern suppression in logic for wafer inspection
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
JP6499898B2 (ja) * 2014-05-14 2019-04-10 株式会社ニューフレアテクノロジー 検査方法、テンプレート基板およびフォーカスオフセット方法
JP2016058465A (ja) * 2014-09-08 2016-04-21 株式会社日立ハイテクノロジーズ 欠陥定量化方法、欠陥定量化装置、および欠陥評価値表示装置
US9754188B2 (en) * 2014-10-23 2017-09-05 Microsoft Technology Licensing, Llc Tagging personal photos with deep networks
DE102015207415A1 (de) * 2015-04-23 2016-10-27 Adidas Ag Verfahren und Gerät zum Verknüpfen von Bildern in einem Video einer Aktivität einer Person mit einem Ereignis
DE102015217429A1 (de) * 2015-09-11 2017-03-16 Siemens Healthcare Gmbh Diagnosesystem und Diagnoseverfahren

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103168227A (zh) * 2010-10-19 2013-06-19 3M创新有限公司 已制造幅材产品数字样品的计算机辅助评级分配
CN103180724A (zh) * 2010-10-19 2013-06-26 3M创新有限公司 对用于检测幅材型材料中可变性的不均匀度严重性进行连续制图
CN103221807A (zh) * 2010-11-12 2013-07-24 3M创新有限公司 快速处理和检测幅材型材料中的不均匀因素

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112969967A (zh) * 2018-11-07 2021-06-15 Asml荷兰有限公司 确定对过程的校正
CN112969911A (zh) * 2018-11-15 2021-06-15 科磊股份有限公司 使用基于深度学习的缺陷检测及分类方案进行像素级图像量化
CN112969911B (zh) * 2018-11-15 2022-09-06 科磊股份有限公司 使用基于深度学习的缺陷检测及分类方案进行像素级图像量化
CN112997196A (zh) * 2018-11-20 2021-06-18 北伯林顿铁路公司 用于确定物理对象的缺陷的系统及方法
CN113168686A (zh) * 2019-01-31 2021-07-23 株式会社斯库林集团 信息处理装置、信息处理方法、信息处理程序、学习方法以及已学习模型
CN113412485A (zh) * 2019-02-14 2021-09-17 科磊股份有限公司 用于测试图像与设计对准的设计文件选择
CN113412485B (zh) * 2019-02-14 2022-09-23 科磊股份有限公司 用于选择设计文件的系统、计算机可读媒体及实施方法
CN111738398A (zh) * 2020-06-22 2020-10-02 河海大学常州校区 一种用于行星齿轮故障诊断的新型深度特征学习方法

Also Published As

Publication number Publication date
US20170200264A1 (en) 2017-07-13
US10181185B2 (en) 2019-01-15
TWI715703B (zh) 2021-01-11
KR20180094111A (ko) 2018-08-22
IL260102B (en) 2020-06-30
WO2017123561A1 (en) 2017-07-20
TW201732305A (zh) 2017-09-16
KR102422093B1 (ko) 2022-07-15
IL260102A (en) 2018-07-31
CN108463874B (zh) 2020-11-06

Similar Documents

Publication Publication Date Title
CN108463874A (zh) 基于图像的样品过程控制
CN111819676B (zh) 训练用于低分辨率图像中的缺陷检测的神经网络
US10360477B2 (en) Accelerating semiconductor-related computations using learning based models
US10699926B2 (en) Identifying nuisances and defects of interest in defects detected on a wafer
EP3465552B1 (en) Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10395356B2 (en) Generating simulated images from input images for semiconductor applications
TWI713672B (zh) 為樣品產生模擬輸出之系統,非暫時性電腦可讀媒體及電腦實施方法
CN108431587A (zh) 混合检验器
CN109844918A (zh) 用于经配置用于半导体应用的深度学习模型的诊断系统及方法
CN110494894A (zh) 基于轮廓的缺陷检测
CN108475351A (zh) 用于半导体应用的基于机器学习的模型的加速训练
US11694327B2 (en) Cross layer common-unique analysis for nuisance filtering
US11774371B2 (en) Defect size measurement using deep learning methods

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant