TWI715703B - 用以偵測一樣本之影像之異常的系統及方法,以及非暫時性電腦可讀媒體 - Google Patents

用以偵測一樣本之影像之異常的系統及方法,以及非暫時性電腦可讀媒體 Download PDF

Info

Publication number
TWI715703B
TWI715703B TW106100816A TW106100816A TWI715703B TW I715703 B TWI715703 B TW I715703B TW 106100816 A TW106100816 A TW 106100816A TW 106100816 A TW106100816 A TW 106100816A TW I715703 B TWI715703 B TW I715703B
Authority
TW
Taiwan
Prior art keywords
sample
images
image
computer
learning
Prior art date
Application number
TW106100816A
Other languages
English (en)
Other versions
TW201732305A (zh
Inventor
艾倫 派克
理升 高
艾斯霍克 庫爾卡尼
賽寶 巴納吉
平 谷
宋尼恩 羅
克里斯 畢海斯卡
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201732305A publication Critical patent/TW201732305A/zh
Application granted granted Critical
Publication of TWI715703B publication Critical patent/TWI715703B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Automation & Control Theory (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

本發明提供用於偵測一樣本之影像之異常之方法及系統。一種系統包含經組態以獲取由一成像子系統產生之一樣本之影像的一或多個電腦子系統。該(等)電腦子系統亦經組態以判定該等獲取影像之一或多個特性。另外,該(等)電腦子系統經組態以在無需將一缺陷偵測演算法應用於該等影像或該等影像之該一或多個特性之情況下基於該一或多個判定特性而識別該等影像之異常。

Description

用以偵測一樣本之影像之異常的系統及方法,以及非暫時性電腦可讀媒體
本發明大體上係關於用於以影像為基礎之樣本程序控制之方法及系統。
以下描述及實例不因其包含於此章節中而承認其為先前技術。
製造諸如邏輯及記憶體裝置之半導體裝置通常包含:使用大量半導體製程來處理諸如一半導體晶圓之一基板以形成半導體裝置之各種特徵及多個層級。例如,微影係涉及將一圖案自一主光罩轉印至配置於一半導體晶圓上之一光阻劑的一半導體製程。半導體製程之額外實例包含(但不限於)化學機械拋光(CMP)、蝕刻、沈積及離子植入。多個半導體裝置可在一單一半導體晶圓上經製造成一配置且接著被分離成個別半導體裝置。
在一半導體製程期間之各種步驟中使用檢驗程序來偵測樣本上之缺陷以促成製程之較高良率且因此促成較高利潤。檢驗始終為製造半導體裝置之一重要部分。然而,隨著半導體裝置之尺寸減小,檢驗對於可接受半導體裝置之成功製造而言變得更重要,此係因為較小缺陷會引起裝置失效。
缺陷審查通常涉及:重新偵測本身已由一檢驗程序偵測之缺陷且使用一高倍率光學系統或一掃描電子顯微鏡(SEM)來依一較高解析度產生關 於缺陷之額外資訊。因此,在其中已由檢驗偵測到缺陷之樣本上之離散位置處執行缺陷審查。由缺陷審查產生之缺陷之較高解析度資料更適合用於判定缺陷之屬性,諸如輪廓、粗糙度、更精確大小資訊等等。
在一半導體製程期間之各種步驟中亦使用度量程序來監測及控制程序。度量程序與檢驗程序之不同點在於:不同於其中偵測樣本上之缺陷的檢驗程序,度量程序用於量測無法使用當前所使用之檢驗工具來判定之樣本之一或多個特性。例如,度量程序用於量測樣本之一或多個特性(諸如在一程序期間形成於樣本上之特徵之一尺寸(例如線寬、厚度等等)),使得程序之效能可自該一或多個特性判定。另外,若樣本之一或多個特性係不可接受的(例如,超出(若干)特性之一預判定範圍),則樣本之一或多個特性之量測可用於更改程序之一或多個參數,使得由程序製造之額外樣本具有(若干)可接受特性。
度量程序與缺陷審查程序之不同點亦在於:不同於其中由檢驗偵測之缺陷在缺陷審查中被再訪之缺陷審查程序,度量程序可執行於其中未偵測到缺陷之位置處。換言之,不同於缺陷審查,其中對樣本執行一度量程序之位置可與對樣本所執行之一檢驗程序之結果無關。特定言之,可無關於檢驗結果而選擇其中執行一度量程序之位置。
當前,使用在線檢驗及度量(諸如上文所描述之檢驗及度量)來執行程序控制及良率分析。主要藉由使用高於一特定臨限值之一或多個檢驗參數來界定缺陷。換言之,來自缺陷檢驗之事件必須在高於一特定臨限值時才被報告為缺陷。在大多數情況中,此等報告事件用於將晶圓上之位置識別為有缺陷的。例如,若灰階差之臨限值被設定為30,則31之一灰階差將被報告為一缺陷。接著,此等缺陷用於分類及識別程序或工具中之潛在模 式失效。接著,缺陷可經分類且用於隔離半導體製造中之問題。然而,缺陷臨限值之界定受限於基於檢驗內之操作參數所設定之值。
歸因於圖案變形或隨機缺陷之表現之細微差別,對製造效能至關重要之一些邊際事件會隱藏於資料中且決不會被偵測為缺陷。圖案之細微缺陷或變形可被分級為干擾或非關鍵缺陷。另外,對於具有較緊湊幾何形狀及較小程序窗之先進設計,無法使用傳統缺陷臨限值來偵測一些關鍵缺陷。印刷影像之某些特性(其可被描述為諸多光學屬性之一組合)會影響裝置效能,但難以界定一臨限值來將其偵測為缺陷。某些細微失效模式僅可藉由自檢驗影像(光學或SEM)提取多個屬性且執行諸如資料探勘或先進相關技術之分析而識別。
缺陷之此等界定亦取決於回應變數(諸如參數測試資料或功能測試)且無法在報告缺陷時使用影像特性作為界定缺陷之度量。例如,關鍵缺陷可由視覺失效(諸如敞開、短路、圖案變形、缺陷大小等等)及電氣測試(諸如參數或功能測試或失效分析)相關性界定。當前所使用之方法之一關鍵限制在於:檢驗資料必須在被用於裝置特性化及良率改良工作之前被分級(例如大小分級)或分類(形狀、干擾、真實等等)且因此主要使用監督方法。檢驗影像(光學影像及SEM影像兩者)含有非完全用於裝置特性化及良率學習之大量資訊。
一些當前可用系統經組態以獲取用於後處理應用之影像。例如,一些當前所使用之系統經組態以獲取與晶圓檢驗批結果中之缺陷相關聯之影像斑塊。影像獲取僅提供其中偵測到一或多個缺陷之位置之影像斑塊。此影像獲取亦不具有獲取大幅限制影像後處理應用之效用之任意所關注影像的能力。一些其他當前所使用之系統經組態以自電子束審查結果獲取影像 斑塊。此影像獲取歸因於電子束審查之相對較低晶圓覆蓋範圍而僅提供有限資料取樣。一些影像獲取可使用儲存於一儲存媒體中之影像資料之整個列行來執行。此影像獲取可擷取提供後處理應用之最大量資訊之整個列行影像。然而,此方法歸因於極大資料大小(例如在一些當前所使用之檢驗工具上依標稱檢驗像素大小之每全晶圓掃描之40TB資料)而僅可提供有限影像資料集。此實踐約束限制後處理應用之效用。另外,不存在使用上文所獲取之影像及來自多個資料源之即時相關聯資料來實現影像後處理應用之既有計算基礎架構。
相應地,將有利地開發不具有上文所描述之缺點之一或多者之用於偵測一樣本之影像之異常的系統及方法。
各種實施例之以下描述決不應被解釋為限制隨附技術方案之標的。
一實施例係關於一種經組態以偵測一樣本之影像之異常的系統。該系統包含一成像子系統,其經組態以藉由將能量導引至一樣本及自該樣本偵測能量而產生該樣本之影像。該成像子系統包含:至少一能量源,其經組態以產生導引至該樣本之能量;及至少一偵測器,其經組態以自該樣本偵測能量。該系統亦包含耦合至該成像子系統之一或多個電腦子系統。該(等)電腦子系統經組態以獲取自該樣本產生之該等影像。該(等)電腦子系統亦經組態以判定該等獲取影像之一或多個特性。另外,該(等)電腦子系統經組態以在無需將一缺陷偵測演算法應用於該等影像或該等影像之該一或多個特性之情況下基於該一或多個判定特性而識別該等影像之異常。該系統可進一步如本文所描述般組態。
另一實施例係關於一種用於偵測一樣本之影像之異常的電腦實施方 法。該方法包含:由一成像子系統藉由將能量導引至一樣本及自該樣本偵測能量而產生該樣本之影像。該成像子系統包含:至少一能量源,其經組態以產生導引至該樣本之能量;及至少一偵測器,其經組態以自該樣本偵測能量。該方法亦包含:獲取自該樣本產生之該等影像。另外,該方法包含:判定該等獲取影像之一或多個特性。該方法進一步包含:在無需將一缺陷偵測演算法應用於該等影像或該等影像之該一或多個特性之情況下基於該一或多個判定特性而識別該等影像之異常。該獲取步驟、該判定步驟及該識別步驟由耦合至該成像子系統之一或多個電腦子系統執行。
上文所描述之方法之步驟之各者可進一步如本文進一步所描述般執行。另外,上文所描述之方法之實施例可包含本文所描述之任何其他(若干)方法之任何其他(若干)步驟。此外,上文所描述之方法可由本文所描述之系統之任何者執行。
另一實施例係關於一種儲存可在一或多個電腦系統上執行之程式指令的非暫時性電腦可讀媒體,該等程式指令用於執行用於偵測一樣本之影像之異常的一電腦實施方法。該電腦實施方法包含上文所描述之方法之步驟。該電腦可讀媒體可進一步如本文所描述般組態。該電腦實施方法之步驟可如本文進一步所描述般執行。另外,可對其執行該等程式指令之該電腦實施方法可包含本文所描述之任何其他(若干)方法之任何其他(若干)步驟。
10:以光學為基礎之成像子系統
14:樣本
16:光源
18:光學元件
20:透鏡
22:置物台
24:集光器
26:元件
28:偵測器
30:集光器
32:元件
34:偵測器
36:電腦子系統
100:組件
102:電腦子系統
104:以學習為基礎之模型
106:半導體製造工具
122:電子柱
124:電腦子系統
126:電子束源
128:樣本
130:元件
132:元件
134:偵測器
200:資料源
202:檢驗工具
204:缺陷審查工具
206:度量工具
208:處理工具
210:分析站
212:設計服務
214:網路
216:處理單元
218:使用者介面
300:非暫時性電腦可讀媒體
302:程式指令
304:電腦系統
熟習技術者將在較佳實施例之以下詳細描述之受益下且在參考附圖之後明白本發明之進一步優點,其中:圖1及圖1a係繪示如本文所描述般組態之一系統之實施例之側視圖的 示意圖;圖2係繪示如本文所描述般組態之一系統之另一實施例的一方塊圖;及圖3係繪示儲存程式指令之一非暫時性電腦可讀媒體之一實施例的一方塊圖,該等程式指令用於引起一或多個電腦系統執行本文所描述之一電腦實施方法。
儘管本發明可接受各種修改及替代形式,但其特定實施例以舉例方式展示於圖式中且在本文中被詳細描述。圖式可不按比例繪製。然而,應瞭解,圖式及其詳細描述不意欲使本發明受限於所揭示之特定形式,而是相反地,本發明將涵蓋落入如由隨附申請專利範圍界定之本發明之精神及範疇內之所有修改、等效物及替代方案。
如本文所互換使用,術語「設計」、「設計資料」及「設計資訊」一般係指一IC之實體設計(佈局)及自實體設計透過複雜模擬或簡單幾何及布林(Boolean)運算所導出之資料。另外,由一主光罩檢驗系統及/或其衍生物獲取之一主光罩之一影像可用作為設計之一或若干「代理」。此一光罩影像或其衍生物在使用一設計之本文所描述之任何實施例中可充當設計佈局之一替代物。設計可包含共同擁有之Zafar等人於2009年8月4日發佈之美國專利第7,570,796號及Kulkarni等人於2010年3月9日發佈之美國專利第7,676,077號中所描述之任何其他設計資料或設計資料代理,該兩個美國專利以宛如全文闡述引用的方式併入本文中。另外,設計資料可為標準單元庫資料、整合佈局資料、一或多個層之設計資料、設計資料之衍生物及全部或部分晶片設計資料。
另外,本文所描述之「設計」、「設計資料」及「設計資訊」係指由半導體裝置設計者在一設計程序中產生之資訊及資料且因此完全可在將設計印刷於諸如主光罩及晶圓之任何實體樣本上之前用於本文所描述之實施例中。
現轉至圖式,應注意,圖式未按比例繪製。特定言之,圖中部分元件之比例經大幅放大以突顯元件之特性。亦應注意,圖式未按相同比例繪製。已使用相同元件符號來指示可經類似組態之一個以上圖中所展示之元件。除非本文另有說明,否則所描述及所展示之元件之任何者可包含任何適合市售元件。
一般而言,本文所描述之實施例經組態以用於以影像為基礎之樣本(例如晶圓或主光罩)程序控制。例如,一實施例係關於一種經組態以偵測一樣本之影像之異常的系統。
隨著所謂之「一維(1D)設計」在半導體裝置設計中變得日益流行,用於識別系統及隨機缺陷之傳統方法無法提供對程序變動之足夠敏感度及對裝置效能之影響。例如,在1D中,熱點之界定略微不同於先前所使用之熱點,此係因為所有圖案係相當均勻的。相比而言,在二維(2D)設計中,時常存在趨向於失效之實質上唯一結構。1D之失效無法由簡單特性輕易描述。因而,本文所描述之實施例可使用本文所描述之機器學習技術來找到關於設計之特定位置之唯一性。然而,本文所描述之實施例之用途不限於為1D設計。
對於隨機缺陷,需要擷取之最小缺陷大小變得越來越小。對於系統缺陷,億萬個相同或類似圖案印刷於樣本上,從而難以識別被視為熱點之唯一圖案類型。亦難以界定何種變形程度會影響裝置效能。任何偏差或異 常(自典型值之相對較小變動)必須自相對較高檢驗資料量識別且經適當分析以監測一程序及(若干)處理工具之健康。因而,檢驗信號(不僅為缺陷)將變成工廠監測之一整合部分。
因此,本文所描述之實施例經組態以使用諸如光學檢驗資料及特性之檢驗資料作為總體工廠資料分析之部分以在早期識別半導體裝置之問題來防止良率損失。檢驗工具(諸如可購自KLA-Tencor,Milpitas,Calif.之檢驗工具)除報告缺陷之外,亦產生大量資料,且檢驗參數及影像在解決良率改良及裝置特性化難題方面具唯一性及專屬性。
圖1中展示一系統之一實施例。系統包含一成像子系統,其經組態以藉由將能量導引至一樣本及自該樣本偵測能量而產生該樣本之影像。成像子系統包含:至少一能量源,其經組態以產生導引至樣本之能量;及至少一偵測器,其經組態以自樣本偵測能量。
在一些實施例中,成像子系統經組態為一以光學為基礎之成像子系統。例如,系統可包含以光學為基礎之成像子系統10。依此方式,至少一能量源可包含至少一光源,且至少一偵測器可包含至少一以光為基礎之偵測器。另外,導引至樣本之能量及自樣本偵測之能量可包含光。在圖1之實施例中,以光學為基礎之成像子系統經組態以使光掃描樣本之一實體形式或將光導引至樣本之一實體形式且自樣本偵測光以藉此產生樣本之影像。以光學為基礎之成像子系統亦可經組態以使用多個模式來執行掃描(或導引)及偵測,如本文進一步所描述。
在一實施例中,樣本係一晶圓。晶圓可包含此項技術中已知之任何晶圓。在另一實施例中,樣本係一主光罩。主光罩可包含此項技術中已知之任何主光罩。
在圖1所展示之系統之實施例中,以光學為基礎之成像子系統10包含經組態以將光導引至樣本14之一照明子系統。照明子系統包含至少一光源。例如,如圖1中所展示,照明子系統包含光源16。在一實施例中,照明子系統經組態以依一或多個入射角將光導引至樣本,該一或多個入射角可包含一或多個傾斜角及/或一或多個法線角。例如,如圖1中所展示,來自光源16之光依一傾斜入射角導引穿過光學元件18且接著穿過透鏡20而至樣本14。傾斜入射角可包含可取決於(例如)樣本之特性而變動之任何適合傾斜入射角。
以光學為基礎之成像子系統可經組態以在不同時間依不同入射角將光導引至樣本。例如,以光學為基礎之成像子系統可經組態以更改照明子系統之一或多個元件之一或多個特性,使得光可依不同於圖1中所展示之入射角的一入射角導引至樣本。在一此實例中,以光學為基礎之成像子系統可經組態以移動光源16、光學元件18及透鏡20,使得光依一不同傾斜入射角或一法線(或近法線)入射角導引至樣本。
在一些例項中,以光學為基礎之成像子系統可經組態以在相同時間依一個以上入射角將光導引至樣本。例如,照明子系統可包含一個以上照明通道,照明通道之一者可包含光源16、光學元件18及透鏡20(如圖1中所展示),且照明通道之另一者(圖中未展示)可包含可依不同或相同方式組態之類似元件或可包含至少一光源及可能之一或多個其他組件,諸如本文進一步所描述之組件。若此光在相同於其他光之時間導引至樣本,則依不同入射角導引至樣本之光之一或多個特性(例如波長、偏振等等)可不同,使得起因於依不同入射角照射樣本之光在(若干)偵測器處可彼此區別。
在另一例項中,照明子系統可僅包含一個光源(例如圖1中所展示之光源16)且來自光源之光可由照明子系統之一或多個光學元件(圖中未展示)分離成不同光學路徑(例如,基於波長、偏振等等)。接著,不同光學路徑之各者中之光可導引至樣本。多個照明通道可經組態以在相同時間或不同時間(例如,當不同照明通道用於依序照射樣本時)將光導引至樣本。在另一例項中,相同照明通道可經組態以在不同時間將光導引至具有不同特性之樣本。例如,在一些例項中,光學元件18可經組態為一光譜濾波器且光譜濾波器之性質可依各種不同方式改變(例如,藉由換出光譜濾波器),使得不同波長之光可在不同時間導引至樣本。照明子系統可具有此項技術中已知之任何其他適合組態,其用於依序或同時依不同或相同入射角將具有不同或相同特性之光導引至樣本。
在一實施例中,光源16可包含一寬頻電漿(BBP)光源。依此方式,由光源產生且導引至樣本之光可包含寬頻光。然而,光源可包含諸如一雷射之任何其他適合光源。雷射可包含此項技術中已知之任何適合雷射且可經組態以產生此項技術中已知之一或若干任何適合波長處之光。另外,雷射可經組態以產生單色光或近單色光。依此方式,雷射可為一窄頻雷射。光源亦可包含產生多個離散波長或波帶處之光之一多色光源。
來自光學元件18之光可由透鏡20聚焦至樣本14上。儘管透鏡20在圖1中展示為一單一折射光學元件,但應瞭解,透鏡20實際上可包含組合地將來自光學元件之光聚焦至樣本之若干折射及/或反射光學元件。圖1中所展示及本文所描述之照明子系統可包含任何其他適合光學元件(圖中未展示)。此等光學元件之實例包含(但不限於)(若干)偏振組件、(若干)光譜濾波器、(若干)空間濾波器、(若干)反射光學元件、(若干)變跡器、(若干)光 束分離器、(若干)孔隙及其類似者(其可包含此項技術中已知之任何此等適合光學元件)。另外,以光學為基礎之成像子系統可經組態以基於用於成像之照明之類型而更改照明子系統之元件之一或多者。
以光學為基礎之成像子系統亦可包含經組態以引起光掃描樣本之一掃描子系統。例如,以光學為基礎之成像子系統可包含在成像期間將樣本14安置於其上之置物台22。掃描子系統可包含任何適合機械及/或機器人總成(其包含置物台22),其可經組態以移動樣本,使得光可掃描樣本。另外或替代地,以光學為基礎之成像子系統可經組態使得以光學為基礎之成像子系統之一或多個光學元件執行光對樣本之一些掃描。光可依任何適合方式(諸如,沿一類蛇形路徑或一螺旋路徑)掃描樣本。
以光學為基礎之成像子系統進一步包含一或多個偵測通道。一或多個偵測通道之至少一者包含一偵測器,其經組態以歸因於由以光學為基礎之成像子系統照射樣本而自樣本偵測光且回應於所偵測之光而產生輸出。例如,圖1中所展示之以光學為基礎之成像子系統包含兩個偵測通道:一偵測通道由集光器24、元件26及偵測器28形成且另一偵測通道由集光器30、元件32及偵測器34形成。如圖1中所展示,兩個偵測通道經組態以依不同收集角收集及偵測光。在一些例項中,兩個偵測通道經組態以偵測散射光,且偵測通道經組態以自樣本偵測依不同角度散射之光。然而,偵測通道之一或多者可經組態以自樣本偵測另一類型之光(例如反射光)。
如圖1中進一步所展示,兩個偵測通道經展示為定位於紙面中且照明子系統亦經展示為定位於紙面中。因此,在此實施例中,兩個偵測通道定位(例如,居中定位)於入射面中。然而,偵測通道之一或多者可定位於入射面外。例如,由集光器30、元件32及偵測器34形成之偵測通道可經組 態以收集及偵測自入射面散射出之光。因此,此一偵測通道通常可指稱一「側」通道,且此一側通道可居中定位於實質上垂直於入射面之一平面中。
儘管圖1展示包含兩個偵測通道之以光學為基礎之成像子系統之一實施例,但以光學為基礎之成像子系統可包含不同數目個偵測通道(例如僅一個偵測通道或兩個或兩個以上偵測通道)。在一此例項中,由集光器30、元件32及偵測器34形成之偵測通道可形成如上文所描述之一側通道,且以光學為基礎之成像子系統可包含形成為定位於入射面之對置側上之另一側通道的一額外偵測通道(圖中未展示)。因此,以光學為基礎之成像子系統可包含偵測通道,其包含集光器24、元件26及偵測器28且居中定位於入射面中且經組態以依正交於或幾乎正交於樣本表面之(若干)散射角收集及偵測光。因此,此偵測通道通常可指稱一「頂部」通道,且以光學為基礎之成像子系統亦可包含如上文所描述般組態之兩個或兩個以上側通道。因而,以光學為基礎之成像子系統可包含至少三個通道(即,一個頂部通道及兩個側通道),且至少三個通道之各者自身具有集光器,集光器之各者經組態以依不同於其他集光器之各者之散射角收集光。
如上文進一步所描述,包含於以光學為基礎之成像子系統中之偵測通道之各者可經組態以偵測散射光。因此,圖1中所展示之以光學為基礎之成像子系統可經組態以用於樣本之暗場(DF)成像。然而,以光學為基礎之成像子系統亦可包含或替代地包含經組態以用於樣本之明場(BF)成像的(若干)偵測通道。換言之,以光學為基礎之成像子系統可包含經組態以偵測自樣本鏡面反射之光。因此,本文所描述之以光學為基礎之成像子系統可經組態以僅用於DF成像、僅用於BF成像或用於DF成像及BF成像兩 者。儘管集光器之各者在圖1中經展示為單一折射光學元件,但應瞭解,集光器之各者可包含一或多個折射光學元件及/或一或多個反射光學元件。
一或多個偵測通道可包含此項技術中已知之任何適合偵測器。例如,偵測器可包含光電倍增管(PMT)、電荷耦合裝置(CCD)、時間延遲積分(TDI)攝影機及此項技術中已知之任何其他適合偵測器。偵測器亦可包含非成像偵測器或成像偵測器。依此方式,若偵測器係非成像偵測器,則偵測器之各者可經組態以偵測散射光之某些特性(諸如強度),但無法經組態以偵測諸如成像面內之位置之一功能的特性。因而,由包含於以光學為基礎之成像子系統之偵測通道之各者中之偵測器之各者產生之輸出可為信號或資料,但非影像信號或影像資料。在此等例項中,諸如電腦子系統36之一電腦子系統可經組態以自偵測器之非成像輸出產生樣本之影像。然而,在其他例項中,偵測器可經組態為經組態以產生影像信號或影像資料之成像偵測器。因此,以光學為基礎之成像子系統可經組態以依若干方式產生本文所描述之影像。
應注意,本文提供圖1來大體上繪示可包含於本文所描述之系統實施例中或可產生由本文所描述之系統實施例使用之影像的一以光學為基礎之成像子系統之一組態。顯然,本文所描述之以光學為基礎之成像子系統組態可經更改以最佳化設計一以光學為基礎之商用系統時正常所執行之以光學為基礎之成像子系統之效能。另外,本文所描述之系統可使用一以光學為基礎之既有系統(諸如可購自KLA-Tencor,Milpitas,Calif.之29xx/39xx及Puma 9xxx系列工具)來實施(例如,藉由將本文所描述之功能新增至一既有系統)。對於一些此等系統,本文所描述之實施例可經提供為系統之 選用功能(例如,以及系統之其他功能)。替代地,本文所描述之以光學為基礎之成像子系統可「從頭」設計以提供一以光學為基礎之全新系統。
系統亦包含耦合至成像子系統之一或多個電腦子系統(例如電腦子系統36及/或(若干)電腦子系統102)。例如,電腦子系統36可依任何適合方式耦合至以光學為基礎之成像子系統之偵測器(例如,經由一或多個傳輸媒介,其可包含「有線」及/或「無線」傳輸媒介),使得電腦子系統可在樣本之掃描期間接收由偵測器產生之輸出。電腦子系統36及/或(若干)電腦子系統102可經組態以使用偵測器之輸出來執行本文進一步所描述之若干功能。
圖1中所展示之電腦子系統(以及本文所描述之其他電腦子系統)在本文中亦可指稱(若干)電腦系統。本文所描述之(若干)電腦子系統或系統之各者可呈各種形式,其包含個人電腦系統、影像電腦、大型主機電腦系統、工作站、網路設備、網際網路設備或其他裝置。一般而言,術語「電腦系統」可經廣義界定以涵蓋具有一或多個處理器(其執行來自一記憶體媒體之指令)之任何裝置。(若干)電腦子系統或系統亦可包含此項技術中已知之任何適合處理器,諸如一並行處理器。另外,(若干)電腦子系統或系統可包含具有高速處理及軟體之一電腦平台作為一獨立或聯網工具。
若系統包含一個以上電腦子系統,則不同電腦子系統可彼此耦合,使得影像、資料、資訊、指令等等可發送於電腦子系統之間,如本文進一步所描述。例如,電腦子系統36可藉由任何適合傳輸媒介(其可包含此項技術中已知之任何適合有線及/或無線傳輸媒介)而耦合至(若干)電腦子系統102,如圖1中之虛線所展示。此等電腦子系統之兩者或兩者以上亦可由一共用電腦可讀儲存媒體(圖中未展示)有效耦合。
儘管成像子系統在上文中經描述為一以光學或光為基礎之成像子系統,但成像子系統可經組態為一以電子束為基礎之成像子系統。例如,在一實施例中,針對樣本所產生之影像包含以電子束為基礎之影像。另外,至少一能量源可包含至少一電子束源,且至少一偵測器可包含至少一以電子束為基礎之偵測器。依此方式,導引至樣本之能量可包含電子,且自樣本偵測之能量可包含電子。在圖1a所展示之一此實施例中,以電子束為基礎之成像子系統包含耦合至電腦子系統124之電子柱122。亦如圖1a中所展示,電子柱包含經組態以產生由一或多個元件130聚焦至樣本128之電子的電子束源126。電子束源可包含(例如)一陰極源或發射極尖端,且一或多個元件130可包含(例如)一電子槍透鏡、一陽極、一電子束限制孔隙、一閘閥、一電子束電流選擇孔隙、一物鏡及一掃描子系統,其等全部可包含此項技術中已知之任何此等適合元件。
自樣本回傳之電子(例如二次電子)可由一或多個元件132聚焦至偵測器134。一或多個元件132可包含(例如)一掃描子系統,其可為包含於(若干)元件130中之相同掃描子系統。
電子柱可包含此項技術中已知之任何其他適合元件。另外,電子柱可進一步如以下各者中所描述般組態:Jiang等人於2014年4月4日發佈之美國專利第8,664,594號、Kojima等人於2014年4月8日發佈之美國專利第8,692,204號、Gubbens等人於2014年4月15日發佈之美國專利第8,698,093號及MacDonald等人於2014年5月6日發佈之美國專利第8,716,662號,該等專利以宛如全文闡述引用的方式併入本文中。
儘管電子柱在圖1a中經展示為經組態使得電子依一傾斜入射角導引至樣本且依另一傾斜角自樣本散射,但應瞭解,電子束可依任何適合角導 引至樣本及自樣本散射。另外,以電子束為基礎之成像子系統可經組態以使用多個模式來產生樣本之以電子束為基礎之影像(例如,具有不同照明角、收集角等等),如本文進一步所描述。以電子束為基礎之成像子系統之多個模式在成像子系統之任何成像參數中可不同。
電腦子系統124可耦合至偵測器134,如上文所描述。偵測器可偵測自樣本之表面回傳之電子以藉此形成樣本之以電子束為基礎之影像。以電子束為基礎之影像可包含以電子束為基礎之任何適合影像。電腦子系統124可經組態以使用由偵測器134產生之影像來對樣本執行本文進一步所描述之一或多個功能。電腦子系統124可經組態以執行本文所描述之任何(若干)額外步驟。包含圖1a中所展示之以電子束為基礎之成像子系統之一系統可進一步如本文所描述般組態。
應注意,本文提供圖1a來大體上繪示可包含於本文所描述之實施例中之一以電子束為基礎之成像子系統之一組態。如同上文所描述之以光學為基礎之成像子系統,本文所描述之以電子束為基礎之成像子系統組態可經更改以最佳化設計一以電子束為基礎之商用系統時所正常執行之以電子束為基礎之成像子系統之效能。另外,本文所描述之系統可使用一以電子束為基礎之既有成像子系統(諸如可購自KLA-Tencor之eSxxx及eDR-xxxx系列工具)來實施(例如,藉由將本文所描述之功能新增至一以電子束為基礎之既有系統)。對於一些此等系統,本文所描述之實施例可經提供為以電子束為基礎之系統之選用功能(例如,以及系統之其他功能)。替代地,本文所描述之以電子束為基礎之子系統可「從頭」設計以提供一以電子束為基礎之全新系統。
儘管成像子系統在上文中經描述為經組態為一以光學為基礎或以電 子束為基礎之成像子系統,但成像子系統可經組態為一以離子束為基礎之成像子系統。除電子束源可由此項技術中已知之任何適合離子束源替換之外,此一工具可如圖1a中所展示般組態。另外,成像子系統可為以離子束為基礎之任何其他適合成像子系統,諸如包含於以下各者中之以離子束為基礎之成像子系統:市售聚焦離子束(FIB)系統、氦離子顯微術(HIM)系統及二次離子質譜分析(SIMS)系統。
如上文所提及,成像子系統經組態以使能量(例如光或電子)掃描樣本之一實體形式以藉此產生樣本之實體形式之真實影像。依此方式,成像子系統可經組態為一「真實」成像子系統而非一「虛擬」成像子系統。例如,圖1中所展示之一儲存媒體(圖中未展示)及(若干)電腦子系統102可經組態為一「虛擬」系統。特定言之,儲存媒體及(若干)電腦子系統並非為以光學為基礎之成像子系統10之部分且不具有用於處置樣本之實體形式之任何能力。換言之,在經組態為虛擬系統之系統中,其一或多個「偵測器」之輸出可為先前由一真實工具之一或多個偵測器產生且儲存於虛擬系統中之輸出,且在「掃描」期間,虛擬系統可重播儲存輸出,好像樣本被掃描一樣。依此方式,使用一虛擬系統掃描樣本可似乎相同於使用一真實系統掃描一實體樣本,而實際上,「掃描」僅涉及依相同於掃描樣本之方式重播樣本之輸出。共同受讓之Bhaskar等人於2012年2月28日發佈之美國專利第8,126,255號及Duffy等人於2015年12月29日發佈之美國專利第9,222,895號中描述經組態為「虛擬」檢驗系統之系統及方法,該兩個專利以宛如全文闡述引用的方式併入本文中。本文所描述之實施例可進一步如此等專利中所描述般組態。例如,本文所描述之一或多個電腦子系統可進一步如此等專利中所描述般組態。
一或多個虛擬系統亦可經組態為一中央計算及儲存(CCS)系統,其可經組態以執行本文所描述之(若干)電腦子系統之影像分析及其他功能之任何者。本文所描述之持續儲存機構可具有諸如CCS架構之分佈式計算及儲存機構,但本文所描述之實施例不受限於該架構。圖2中展示一此實施例,圖2繪示檢驗影像(例如光學影像及掃描電子顯微鏡(SEM)影像)可如何用於識別半導體程序及良率改良中之關鍵問題,如本文進一步所描述。依此方式,圖2展示檢驗影像及其他影像可如何用於工廠資料處理中。另外,圖2展示檢驗影像及其他影像可如何在良率管理中與其他源協同使用。
特定言之,如圖2中所展示,系統可包含或耦合至資料源200,資料源200可包含(若干)檢驗工具202、(若干)缺陷審查工具204、(若干)度量工具206、(若干)處理工具208、(若干)分析站210及設計服務212。資料源200中之元件之各者可經組態以將由元件產生之輸出經由網路214發送至可經組態為一CCS之處理單元216。使用者介面218(諸如膝上型電腦、個人電腦(PC)或本文所描述之其他電腦系統)亦可經由網路214耦合至資料源200之元件之各者及/或處理單元216。處理單元216可經組態為本文所描述之電腦子系統之一或多者。資料源之元件之各者可如本文進一步所描述般組態。網路214可進一步如本文所描述般組態(例如,經組態為一或多個傳輸媒介)。
在一此實施例中,(若干)檢驗工具202可包含經組態以檢驗諸如晶圓及/或主光罩之樣本的(若干)以光學為基礎及/或以電子束為基礎之檢驗工具。(若干)檢驗工具可經組態以產生信號、裝置內容及樣本內容。由(若干)檢驗工具產生之輸出可包含影像、特性、特徵、雜訊圖及來自(若干) 檢驗工具之故障診斷控制(FDC)或系統資料(其可饋送至一分析引擎中)。(若干)檢驗工具可用於產生輸出之樣本上之區域包含樣本之靜態隨機存取記憶體(SRAM)及邏輯區域。(若干)檢驗工具可經組態以產生其輸出之樣本之層包含當前層、多個焦平面、先前層及未來層。另外,(若干)檢驗工具可經組態以偵測諸如系統缺陷、隨機缺陷及程式化缺陷之各種缺陷類型。
(若干)缺陷審查工具204可包含可經組態以輸出一樣本上所偵測之缺陷之影像及/或量測的(若干)電子束或SEM審查工具。(若干)度量工具206可包含一臨界尺寸SEM(CDSEM)。另外,(若干)度量工具可經組態以量測形成於樣本上之圖案化特徵或薄膜之CD、厚度、折射率(RI)、平坦度、電阻率(RS)或薄片電阻等等。(若干)處理工具208可經組態以提供一處理工具資料記錄,其可包含關於對樣本所執行之一或多個程序之資訊,諸如溫度、流率、壓力、照明等等。(若干)分析站210可包含可輸出參數功能測試值及其類似者之(若干)失效分析(FA)及/或工廠電氣測試工具。設計服務212可包含適合於設計資料之任何源,其包含本文所描述之設計資料之任何者,諸如一電子設計自動化(EDA)工具或一電腦輔助設計(CAD)工具(其可包含此項技術中已知之任何此等適合市售工具)。
處理單元216可具有如上文所描述之一CCS之組態。另外,處理單元216可經組態為如上文所描述之一虛擬系統。處理單元216可經組態以使用由圖2中所展示之工具之一或多者產生之輸出來執行本文所描述之一或多個電腦子系統之一或多個功能。另外,處理單元可經組態以使用由圖2中所展示之工具之一或多者產生之輸出來對樣本執行一或多個額外功能。例如,處理單元可經組態以使用由圖2中所展示之工具之一或多者產生之 輸出來對樣本上所偵測之缺陷分類、對樣本上所偵測之缺陷干擾過濾、對樣本上所偵測之缺陷取樣來缺陷審查及/或對樣本上所偵測之缺陷之缺陷審查提供指導。另外,處理單元可經組態以使用由圖2中所展示之工具之一或多者產生之輸出來進行光學鄰近校正(OPC)/圖案驗證及修復、印刷檢查、方案建立、即時回饋、處理工具監測、程序監測、良率預測、施工中(WIP)調整等等。
處理單元216亦可經組態以偵測缺陷及使用各種處理方法及由圖2中所展示之工具之一或多者產生之輸出來執行本文所描述之其他功能。例如,處理單元可經組態以使用由圖2中所展示之工具之一或多者產生之輸出來進行多模式檢驗(例如體積及/或多焦點平面檢驗)、晶粒內檢驗、晶粒至資料庫檢驗及自動缺陷分類(ADC)。處理單元亦可經組態以使用各種演算法技術及由圖2中所展示之工具之一或多者產生之輸出來執行本文所描述之功能。例如,處理單元可經組態以使用由圖2中所展示之工具之一或多者產生之輸出及模型化及/或模擬、深度學習(例如卷積神經網路(CNN)、自動編碼器等等)、相關性、圖案辨識等等來執行本文所描述之一或多個功能。處理單元216可進一步如本文相對於一或多個電腦子系統所描述般組態。
因此,處理單元可經組態為儲存來自各種源之資料的一集中計算儲存器及分析器。處理單元可儲存「大資料」且能夠即時及離線分析檢驗及其他影像以根據用途而特性化影像。依此方式,處理單元可儲存後處理資料且執行後處理應用。另外,處理單元可經組態以用於同時分析來自多個源之資料以識別失效、識別根本原因及識別校正動作之集中計算。處理單元亦可包含硬體及/或軟體之一組合。例如,處理單元可經組態以使用單 核及/或多核中央處理單元(CPU)/圖形處理單元(GPU)/場可程式閘陣列(FPGA)之一叢集或組合來進行集中計算以達成所要計算,尤其根據一以學習為基礎之模型及/或一工廠內之即時產量要求。如上文進一步所描述,處理單元可使用與各種資料源(諸如一檢驗工具、一處理工具及其他處理單元(其收集資料、預分析資料及接收資料作為回饋))連接之一網路來提供集中計算。處理單元亦可經組態以使用軟體架構(其實現用於分析之輸入資料選擇、用於分析之演算法選擇及使用選定資料及(若干)演算法之分析處理能力)來進行集中計算。
本文所描述之實施例可經實施為獨立於或整合至真實系統(即,具有樣本處置能力之系統,諸如檢驗系統)中之軟體特徵。作為一獨立系統,本文所描述之實施例亦可使用可自檢驗影像提取之專屬檢驗影像特性來提供資料分析。此等系統可連接至外部資料源或連接至收容外部資料源之資料庫(諸如可購自KLA-Tencor之Klarity系統)。
CCS亦可藉由構建硬體基礎架構及(若干)軟體平台而產生。例如,網路可經構建以連接影像後處理應用可使用之資料源,諸如針對一CCS之檢驗工具及設計資料服務。CCS硬體基礎架構可提供足夠儲存及計算能力來實現「大資料」分析,其包含使用在計算及儲存兩者中具有可擴充性之儲存影像及相關聯資料來進行深度學習。CCS軟體平台可在自一應用程式介面(API)、互動式使用者介面及命令行介面調用之批次模式及串流模式中使用分佈式「大資料」技術來同時代管多個影像後處理應用。另外,CCS軟體平台可將檢驗、度量、設計及任何其他資料之資料源整合至CCS內之影像後處理應用。CCS亦可經組態以增強或新增所關注之所有資料源之資料收集能力。例如,CCS可包含用於界定資料源控制軟體中之所關注資料 之選擇的機構。另外,CCS可包含用於收集所關注之資料且將所關注之資料輸出至CCS的機構。
在CCS軟體架構中,後處理應用可包含應用1、…、應用N。CCS軟體平台可包含專屬構架及開放原始碼構架(例如Hadoop、Spark、深度學習等等)。CCS硬體基礎架構可包含CPU、GPU、隨機存取記憶體(RAM)、儲存器、網路等等。
用於後處理之資料收集方法可使用資料源中之既有軟體(諸如資料產生、資料獲取、資料選擇及資料輸出)來執行。後處理亦可使用新增至資料源之新軟體(其包含:用於後處理之資料選擇,其可基於由既有資料獲取軟體及/或既有資料選擇軟體提供之資料而執行;及用於後處理之資料輸出)來執行。後處理亦可使用本文所描述之CCS(其可包含資料攝取軟體)來執行。
如上文進一步所提及,成像子系統可經組態以使用多個模式來產生樣本之影像。一般而言,一「模式」可由用於產生一樣本之影像的成像子系統之參數之值或用於產生樣本之影像的輸出界定。因此,不同模式可具有用於成像子系統之成像參數之至少一者的不同值。例如,在一以光學為基礎之成像子系統之一實施例中,多個模式之至少一者使用不同於用於多個模式之至少一其他者之照明光之至少一波長的照明光之至少一波長。對於不同模式,模式可具有不同照明波長,如本文進一步所描述(例如,藉由使用不同光源、不同光譜濾波器等等)。在另一實施例中,多個模式之至少一者使用不同於用於多個模式之至少一其他者之成像子系統之一照明通道的成像子系統之一照明通道。例如,如上文所提及,工具可包含一個以上照明通道。因而,不同照明通道可用於不同模式。
本文所描述之以光學及電子束為基礎之成像子系統可經組態為檢驗成像子系統。本文所描述之以光學及電子束為基礎之成像子系統亦可或替代地經組態為缺陷審查成像子系統。本文所描述之以光學及電子束為基礎之成像子系統亦可或替代地經組態為度量成像子系統。特定言之,本文所描述及圖1及圖1a中所展示之成像子系統之實施例可修改一或多個參數以取決於將使用其之應用而提供不同成像能力。在一此實例中,圖1中所展示之成像子系統可經組態以在其用於缺陷審查或度量而非用於檢驗時具有一較高解析度。換言之,圖1及圖1a中所展示之成像子系統之實施例描述一成像子系統之一些通用及各種組態,其可依對熟習技術者而言顯而易見之若干方式調適以產生具有幾乎適合於不同應用之不同成像能力的工具。
在另一實施例中,系統可包含經組態以對樣本執行一或多個製程之一半導體製造工具。在一此實例中,如圖1中所展示,系統可包含半導體製造工具106,其可耦合至本文所描述之系統之(若干)電腦子系統102及/或任何其他元件。半導體製造工具可包含此項技術中已知之任何適合半導體製造工具及/或製造室,諸如一微影軌道、一蝕刻室、一化學機械拋光(CMP)工具、一沈積室、一剝離室或清洗室及其類似者。另外,半導體製造工具可包含經組態以產生樣本之輸出的一或多個偵測器(圖1中未展示),諸如本文進一步所描述之偵測器。Levy等人於2005年5月10日發佈之美國專利第6,891,627號中描述可包含於本文所描述之實施例中之適合半導體製造工具之實例,該專利以宛如全文闡述引用的方式併入本文中。此專利亦描述可包含於或耦合至半導體製造工具中且可產生輸出的各種偵測器之實例,如本文進一步所描述。本文所描述之實施例可進一步如此專利中所描述般組態。
一或多個電腦子系統經組態以獲取自樣本產生之影像。獲取影像可使用本文所描述之成像子系統之一者來執行(例如,藉由將光或一電子束導引至樣本及自樣本偵測光或一電子束)。依此方式,獲取影像可使用實體樣本本身及某種成像硬體(例如一晶圓檢驗及/或缺陷審查工具)來執行。另外,影像可包含本文所描述之影像之任何者(例如檢驗影像,其可為光學或電子束影像、晶圓檢驗影像、主光罩檢驗影像、以光學及SEM為基礎之缺陷審查影像、模擬影像、來自一設計佈局之片斷)。然而,獲取影像未必包含使用成像硬體來使樣本成像。例如,另一系統及/或方法可產生影像且可將所產生之影像儲存於一或多個儲存媒體(諸如本文所描述之一虛擬檢驗系統或本文所描述之另一儲存媒體)中。因此,獲取影像可包含自其中已儲存影像之儲存媒體獲取影像。
(若干)電腦子系統亦經組態以判定獲取影像之一或多個特性。所判定之(若干)特性可包含獲取影像之任何適合特性,諸如影像本身及/或可自影像導出之任何(若干)特性(或(若干)屬性),諸如亮度、能量、量值、強度等等。此等特性可使用任何適合演算法及/或方法依任何適合方式判定。儘管提取之檢驗及其他影像特性可未必為新的,但自檢驗及其他影像產生之無監督特性及識別之異常(如本文進一步所描述)係新的。此外,自影像導出以產生界定缺陷之(若干)特性亦係新的。
在一實施例中,判定其之一或多個特性之獲取影像在判定步驟之前未由一或多個電腦子系統處理。例如,本文所描述之實施例可使用未經處理(或參數化)檢驗或其他影像來盡力改良裝置及良率。檢驗或其他影像可包含本文所描述之影像之任何者,諸如以光學及電子束為基礎之影像。因此,自檢驗系統(例如寬頻、雷射及電子束)及本文所描述之其他成像系統 直接產生之輸出影像可饋送至一或多個應用之一分析引擎中。如本文進一步所描述,應用可包含使影像或其(若干)特性與外部資料(諸如(但不限於)電氣測試及處理工具資料)相關、使與影像品質無關之各種圖案類型之間的(若干)共同特性公式化、識別導致影像之某些特性的一來源機構及預測裝置效能或良率相關性。
依此方式,判定其之(若干)特性之影像可為由一成像子系統之(若干)偵測器產生之原始影像。然而,在一些例項中,判定其之一或多個特性之影像可包含差異影像。差異影像可藉由自一測試影像減去一參考而產生。參考及測試影像可為由成像子系統在樣本上之不同位置處產生之兩個不同原始影像。然而,參考可包含此項技術中已知之任何適合參考。就光學影像而言,差異影像可自產生於一樣本上之不同位置處之兩個不同光學影像產生。就電子束影像而言,影像可包含多個透視影像,例如自左邊、右邊、頂部等等獲取之影像。
(若干)電腦子系統經進一步組態以在無需將一缺陷偵測演算法應用於影像或影像之一或多個特性之情況下基於一或多個判定特性而識別影像之異常。例如,(若干)電腦子系統可使用檢驗影像之任何或所有特性(諸如影像、亮度、能量、量值、強度等等)來識別一晶粒內或整個樣本中之異常。依此方式,本文所描述之實施例可偵測針對一樣本所產生之影像之異常,未必為一樣本上之異常。接著,影像中所偵測之任何異常可如本文進一步所描述般用於各種應用。因此,不同於當前用於偵測一樣本上之缺陷的方法及系統,偵測影像之異常不包含將一缺陷偵測演算法或(若干)臨限值應用於影像或影像之一或多個特性。例如,一預判定缺陷偵測演算法或(若干)臨限值不應用於影像或(若干)特性來偵測影像之異常。另外,影像 中所偵測之異常可或可不對應於樣本上之缺陷。識別影像之異常可進一步如本文所描述般執行。
與本文所描述之實施例相比,可使所有影像特性以原始形式用於外部處理,使得一使用者可處理影像特性且可使其用於任何缺陷審查或分析系統。然而,當使用者無法完全知道資料特性之複雜性(諸如成像條件)時,此方法留下出錯空間。此一替代方法亦自我限制預界定特性之可用性及品質。
在一實施例中,一或多個電腦子系統經組態以基於識別異常而識別形成於樣本上之一裝置之一或多個問題。例如,如本文進一步所描述,影像中所偵測之異常可用於識別形成於樣本上之一裝置之任何問題。依此方式,由本文所描述之電腦子系統產生之結果可用於對形成於樣本上之裝置進行裝置改良(如同一原位控制迴路及/或一前饋控制迴路)及對形成於任何其他樣本上之裝置進行裝置改良(如同一回饋控制迴路)。
在另一實施例中,一或多個電腦子系統經組態以基於識別異常而識別對樣本所執行之一程序之一或多個問題。例如,(若干)電腦子系統可使用諸如區域影像特性之局部影像特性來識別可與程序、工具、腔室或處理時間相關之良率問題之潛在來源。因此,本文所描述之實施例能夠在無需偵測樣本上之缺陷之情況下偵測程序、處理工具或處理時間之問題。區域影像特性可依任何適合方式判定(例如,基於與(若干)判定特性相關聯之空間資訊)。程序可包含本文所描述之程序之任何者。在一此實例中,可識別對應於針對一樣本所產生之影像之(若干)特性之異常值的該樣本上之(若干)區域。接著,可審查該(等)區域之空間資訊以判定該(等)區域與使用一處理工具來對樣本執行之一程序之參數之間是否存在一相關性。例 如,一些處理工具可經瞭解以在處理工具正常及不正常(諸如一晶圓上之特定區域中之膜厚度變動)運轉時產生一樣本之特性之特定特徵。因此,此等已知樣本特性特徵可與由本文所描述之(若干)電腦子系統判定之影像特性特徵比較。若已知樣本特性特徵之任何者之空間特性表現為匹配或實質上匹配(或依其他方式相關於)影像特性特徵,則可基於匹配已知樣本特性特徵而判定程序或處理工具之效能。然而,識別程序之(若干)問題可依此項技術中已知之任何適合方式執行。
在另一實施例中,一或多個電腦子系統經組態以基於異常而更改對樣本所執行之一程序以藉此改良程序之良率。例如,與針對樣本所產生之影像中所識別之異常有關之資訊可如本文進一步所描述般用於判定程序之問題及/或執行程序之方式。若程序表現為不在其預判定規格內執行,則該資訊可用於判定修改程序之方式。例如,若針對一樣本所判定之(若干)影像特性存在一異常,則該異常可用於判定校正程序以減少在對其執行程序之額外樣本上觀察到異常之機會的方式。因此,(若干)程序參數可經更改以改良(即,提高)程序之良率。
在一些實施例中,當成像子系統產生樣本之影像時,即時執行判定。例如,本文所描述之(若干)電腦子系統可使用檢驗及其他影像來即時提取一給定檢驗或其他影像內之全局行為及局部行為兩者之(若干)特性,且該(等)特性可經進一步分析以識別可指示程序或工具問題之該(等)特性之異常。另外,判定(若干)特性可包含自檢驗及其他影像即時動態判定(若干)特性(在記憶體中或在本文所描述之一以學習為基礎之模型內)以描述一給定檢驗或其他影像之條件。
在另一實施例中,在成像子系統完成產生樣本之影像之後執行判 定。例如,本文所描述之(若干)電腦子系統可使用檢驗及其他影像來提取一給定檢驗或其他影像內之全局行為及局部行為兩者在後處理中之(若干)特性,且該(等)特性可經進一步分析以識別可指示程序或工具問題之該(等)特性之異常。依此方式,判定影像之(若干)特性可包含在後處理中自本文所描述之檢驗及其他影像動態判定(若干)特性(在記憶體中或在本文所描述之一以學習為基礎之模型內)以描述一給定檢驗或其他影像之條件。
在一額外實施例中,基於結合用於產生影像之成像子系統之一或多個參數所判定之一或多個特性而執行識別。例如,(若干)電腦子系統可經組態以使(若干)影像特性關聯於檢驗或其他成像條件而進一步提高該(等)影像特性之品質。另外,(若干)電腦子系統可經組態以使用成像條件及檢驗或其他影像來識別一或多個影像取樣中之異常。成像子系統之一或多個參數可依任何適合方式關聯於(若干)影像特性。
在一實施例中,(若干)電腦子系統包含由一或多個電腦子系統執行之一或多個組件,且該(等)組件包含一以學習為基礎之模型。例如,如圖1中所展示,由(若干)電腦子系統(例如電腦子系統36及/或(若干)電腦子系統102)執行之(若干)組件100包含以學習為基礎之模型104。一或多個組件可由(若干)電腦子系統依任何適合方式執行。以學習為基礎之模型可進一步如本文所描述般組態。
在一此實施例中,模型經組態以執行判定獲取影像之一或多個特性及識別針對獲取影像所判定之一或多個特性。例如,一或多個電腦子系統可經組態以進行自影像之以演算法為基礎之特性提取及/或使用基於樣本影像之一以學習為基礎之模型來自影像產生(若干)局部及/或全局特性。可 不預界定(若干)特性,而是可組態(若干)電腦子系統及/或以學習為基礎之模型以判定用於缺陷資料分析之一或多個特性。識別一或多個判定特性可如本文進一步所描述般執行。
在一實施例中,獲取影像之一或多個特性包含獲取影像之一或多個局部特性。在另一實施例中,獲取影像之一或多個特性包含獲取影像之一或多個全局特性。例如,(若干)電腦子系統可經組態以基於檢驗或其他影像而提取(若干)特性或使用基於檢驗或其他影像之一以學習為基礎之模型來產生檢驗或其他影像之局部及全局特性。局部特性可包含區域影像特性(例如晶粒、晶圓、主光罩)。局部特性可為「局部的」,此係因為其未針對跨越樣本之整個區域之樣本上之一區域來判定。例如,局部影像特性可僅針對一晶圓之一部分來判定,僅針對晶圓上之一晶粒之一部分來判定,等等。全局特性可為「全局的」,此係因為其可針對產生影像之樣本之整個區域來判定。
在一些實施例中,一或多個電腦子系統經組態以:堆疊獲取影像之兩者或兩者以上,偵測獲取影像之該堆疊兩者或兩者以上中之缺陷,且基於獲取影像之該堆疊兩者或兩者以上而判定該等偵測缺陷之一或多個屬性。例如,(若干)電腦子系統可經組態以堆疊影像而識別潛在缺陷或定位缺陷位置且動態產生缺陷之(若干)特性。另外,對於一給定圖案或缺陷,可堆疊多個影像以減少雜訊及識別實際上失效之位置。可使用影像處理來對準及重疊多個影像以自一給定圖案之共同性辨別雜訊。在一此實例中,至(若干)電腦子系統之輸入可包含(例如)由光學模式、焦點、晶粒、圖案、處理步驟、工具等等堆疊之堆疊影像。(若干)電腦子系統可經組態以在相同鄰區(例如相同光學鄰近校正(OPC))中執行堆疊及分析。(若干)電 腦子系統可經組態以對諸如缺陷偵測、缺陷定位、與晶粒間扣除無關之缺陷識別、雜訊減少及熱點之自動關心區域置放之應用執行此堆疊。偵測獲取影像之堆疊兩者或兩者以上中之缺陷及基於獲取影像之堆疊兩者或兩者以上而判定偵測缺陷之一或多個屬性可依任何適合方式執行。換言之,堆疊影像可被視為任何其他影像及至用於偵測缺陷且判定該等偵測缺陷之屬性之一或多個演算法或方法的輸入。
在另一實施例中,一或多個特性包含關聯於用於獲取影像之成像子系統之參數的獲取影像之至少一特性。例如,(若干)電腦子系統可經組態以使(若干)影像特性關聯於成像條件而進一步提高該(等)影像特性之品質。在一此實例中,圖案之影像之特性可基於成像條件而變動。因此,一旦識別特定圖案之特性,則可藉由控制成像條件而提高影像特性之品質。依此方式,可藉由控制成像條件而改良影像品質。
在其中(若干)電腦子系統包含(若干)組件(其包含一以學習為基礎之模型)的一實施例中,一或多個電腦子系統經組態以使用獲取影像及樣本之資訊之一或多個額外類型來訓練以學習為基礎之模型,且以學習為基礎之模型經組態以基於獲取影像及資訊之一或多個額外類型而預測樣本上之缺陷之資訊。依此方式,缺陷界定不再基於僅超過檢驗參數中之特定值的一特性或一組特性。一缺陷可被識別為有條件使一特性或一組特性在個別值或指標偏離預期行為或來自以學習為基礎之模型之輸出超過「正常基準」且因此變異常時展現非典型特徵。例如,一晶粒內之量值之較高σ可被視為一異常且因此將由組分(諸如本文進一步所描述之組分)進一步處理以識別失效模式。
另外,(若干)電腦子系統可經組態以用於以特性為基礎之定限。例 如,一缺陷可不再為一敞開或短路圖案,而是為一邊際圖案,且具有特定臨限值之(若干)特性可用於部署。可對諸如程序監測及在線良率預測之應用執行此缺陷偵測。
以學習為基礎之模型亦可經組態以用於缺陷定位、相同圖案比較及失效或變動之共同模式。例如,缺陷可基於實體外觀、元件組成、設計等等而分類。術語「共同模式」在此處係指諸如程序條件或OPC處理之共同失效機制。多個圖案會在失效之起因相同但經不同分類時失效。例如,若干影像類型通常會失效,但其等可全部與次解析度輔助特徵(SRAF)應用於一設計之方式相關。另一共同模式可為不同失效歸因於相鄰多邊形之類似接近度或不同圖案類型之間的一或多個檢驗屬性類似的一情況。
以學習為基礎之模型亦可經組態為一關聯式資料庫。以學習為基礎之模型可經進一步組態以用於相互關聯、特性提取、圖案分析等等。例如,以學習為基礎之模型可經組態為一統計資料分析引擎或機器學習系統。以學習為基礎之模型可經組態以使用各種源(諸如統計、圖案辨識、神經計算、機器學習、人工智慧(AI)及可用於以學習為基礎之模型之任何其他資料庫)來進行資料探勘或資料庫知識發現(KDD)。KDD係指找到資料知識之廣泛程序。依此方式,一以學習為基礎之模型可與樣本影像一起用於識別處理中之缺陷或異常。另外,本文所描述之實施例可經組態以用於以影像為基礎之分析、資料探勘及使用檢驗及其他影像及自影像提取之(若干)特性來執行之深度學習技術之一組合。以學習為基礎之模型亦可經組態以執行良率影響分析、來源隔離、預測及分類,其等之各者可如本文進一步所描述般執行。
在一此實施例中,樣本之資訊之一或多個額外類型包含樣本之設計 資料。例如,以學習為基礎之模型可經組態以基於諸如設計之一外部回應變數而識別缺陷。在一此實例中,以學習為基礎之模型可經組態以使樣本影像與諸如設計之外部回應變數一起構建用於監督方法及無監督方法兩者中之相互關聯及預測之一以學習為基礎之模型。以學習為基礎之模型可基於(但不限於)深度學習技術(諸如CNN、自動編碼器或可應用於本文所描述之應用之任何深度學習架構)而構建。
在另一此實施例中,樣本之資訊之一或多個額外類型包含樣本之電氣測試及量測資料。電氣測試及量測資料可包含任何適合電氣資料,諸如由樣本之任何適合電氣測試產生之電氣測試資料。例如,以學習為基礎之模型可經組態以基於諸如電氣測試資料之一外部回應變數而識別缺陷。在一此實例中,以學習為基礎之模型可經組態以使樣本影像與諸如電氣資料之外部回應變數一起用於構建用於監督方法及無監督方法兩者中之相互關聯及預測之一以學習為基礎之模型。依此方式,本文所描述之實施例可經組態為利用影像及外部資料源來進行儲存及資料處理之一功能引擎。另外,本文所描述之實施例可經組態為實現監督方法及無監督方法兩者(其等能夠識別程序及預測對裝置效能及良率之影響中之關鍵問題)之一功能引擎。以學習為基礎之模型可基於(但不限於)深度學習技術(諸如CNN、自動編碼器或可應用於本文所描述之應用之任何深度學習架構)而構建。
在另一此實施例中,樣本之資訊之一或多個額外類型包含樣本之處理工具資料。例如,以學習為基礎之模型可經組態以基於諸如處理工具資料之一外部回應變數而識別缺陷。在一此實例中,以學習為基礎之模型可經組態以使樣本影像與結合諸如處理工具資料之一外部回應變數一起用於構建用於監督方法及無監督方法兩者中之相互關聯及預測之一以學習為基 礎之模型。以學習為基礎之模型可基於(但不限於)深度學習技術(諸如CNN、自動編碼器或可應用於本文所描述之應用之任何深度學習架構)而構建。
(若干)電腦子系統及/或以學習為基礎之模型亦可經組態以使用外部回應變數來執行一或多個額外功能。例如,(若干)電腦子系統及/或以學習為基礎之模型可經組態以使(若干)影像特性之一或多者或影像本身與其他回應變數(例如電氣測試或工具資料)一起用於界定缺陷或良率及裝置效能之臨界狀態。界定缺陷或良率及裝置效能之臨界狀態可如本文進一步所描述依其他方式執行。
在一此實例中,至(若干)電腦子系統之輸入可包含一或多個層及/或一或多個裝置之影像+(若干)特性。(若干)電腦子系統可經組態以使用此輸入來分組及/或設定臨限值。可對諸如分級及/或評分、缺陷界定、判定失效之嚴重性的應用執行分組及/或設定臨限值。
在另一此實例中,至(若干)電腦子系統之輸入可包含一或多個層及/或一或多個裝置之影像(光學及電子束影像)、(若干)特性及電氣及功能測試資料。(若干)電腦子系統可經組態以使用輸入來進行先進相關模型化,諸如資料探勘或神經網路(NN)。另外或替代地,(若干)電腦子系統可經組態以不僅藉由檢驗資料且亦使用此等輸入來判定缺陷。(若干)電腦子系統可執行諸如缺陷界定、審查及度量取樣、檢驗最佳化及良率預測之功能。
在一額外此實例中,至(若干)電腦子系統之輸入可包含一或多個層及/或一或多個裝置之影像(例如光學及電子束影像)、(若干)特性及(若干)處理工具資料記錄。(若干)電腦子系統可經組態以使用此輸入來產生用於界定處理條件對檢驗影像特性之變化之影響的一模型。(若干)電腦子系統可 產生用於包含原位監測及原位程序最佳化之應用的此一模型。
在另一實例中,至(若干)電腦子系統之輸入可包含來自多個影像之共同特性。(若干)電腦子系統可經組態以使一特性或一組特性與類似行為一起用於對裝置分組。可對諸如檢驗及/或度量設置、原位程序調整及良率相互關聯之應用執行此等功能。
本文所描述之實施例可或可不經組態以訓練本文所描述之(若干)以學習為基礎之模型。例如,另一方法及/或系統可經組態以產生一以學習為基礎之訓練模型,接著,該以學習為基礎之訓練模型可由本文所描述之實施例存取及使用。
在一些此等實施例中,訓練包含監督訓練。例如,就一CNN而言,在訓練階段期間,訓練資料(例如測試、參考、設計等等)可為至缺陷偵測及/或分類及人工缺陷審查之輸入。缺陷偵測可使用由可購自KLA-Tencor之一些檢驗工具使用之任何適合缺陷偵測演算法(諸如MDAT)來執行。缺陷分類可使用任何適合分類方法(諸如使缺陷影像與設計對準及基於對應於缺陷位置之設計資料而對缺陷分類)來執行。人工缺陷審查可由一使用者依此項技術中已知之任何適合方式執行。接著,可基於缺陷偵測及/或分類及人工審查之結果而訓練CNN。特定言之,可藉由輸入取樣失效影像且允許系統識別失效模式而界定失效模式,其可用於對其他圖案或缺陷分類。訓練之結果可為一訓練CNN。接著,在運行時間期間,一測試影像可輸入至訓練CNN且訓練CNN之輸出可包含一缺陷預測、一缺陷分類等等。
在一此實施例中,輸入可包含來自一樣本上之一或多個裝置及/或一或多個層之影像(檢驗及其他影像)。一或多個組件可包含一CNN、經組態 以用於影像分析及/或特性提取之一深度學習模型及/或自組織映射。一或多個組件及/或一或多個電腦子系統可經組態以用於監督學習及使用、偏差偵測、共同失效模式識別、用於缺陷審查及度量之靜態及自適應取樣、失效模式及來源識別、工具及良率監測及模型及規則產生。例如,在一監督方法中,(若干)影像可與一資料庫(其可包含多個資料源)中之資訊比較。比較之結果可用於失效模式識別。
在監督偵測及分類之另一實例中,使用者標記參考及測試影像可輸入至一CNN。使用者可藉由查看審查工具影像而標記缺陷。CNN可如本文所描述般組態。在監督偵測及分類方法中,CNN之第i輸出神經元可對缺陷類別i具有高活化作用。在此一方法中,一缺陷位置處之設計影像可視情況輸入至CNN。缺陷位置處之設計影像亦可視情況輸入至一幾何提取器。幾何提取器可產生亦可視情況輸入至CNN以用於監督偵測及分類之一幾何編碼影像。
監督分類之應用包含審查取樣、方案最佳化(例如臨限值最佳化、設計資料之微小關心區域產生等等)、工具監測(例如臨界位置性質晶圓級映射、自檢驗影像導出之臨界位置屬性)、度量(例如自檢驗影像導出之堆疊晶粒屬性之分析)、良率監測(例如檢驗影像特性與良率之相關性)及臨界狀態規則發現(例如設計屬性與檢驗影像特性之相關性)。
在額外此等實施例中,訓練包含無監督訓練。例如,至以學習為基礎之模型之輸入可包含無標記輸入(此係因為:輸入未被標記為缺陷或非缺陷)。使用缺陷位置及無缺陷位置之一混合,諸如一自動編碼器之一以學習為基礎之模型可在無監督之情況下基於其(若干)特性而自組織資料且對缺陷分類。一旦可根據(若干)自組織特性而對資料分類,則資料可自動 分組或分離。
在一此實施例中,輸入可包含來自一樣本上之一或多個裝置及/或一或多個層之影像(例如檢驗及其他影像)。一或多個組件可包含一CNN、經組態以用於影像分析及/或特性提取之一深度學習模型及/或自組織映射。一或多個組件及/或一或多個電腦子系統可經組態以用於無監督學習及使用、自適應學習、晶粒內檢驗、晶粒、主光罩及晶圓級特徵識別及用於識別未知偏差之異常圖案(特徵)之識別。例如,在一無監督方法中,(若干)影像可用於產生一或多個自組織映射。自組織映射可用於識別共同失效模式及/或偏差。所識別之共同失效模式及/或偏差可用於裝置改良。
在無監督分類之一此實例中,至一自動編碼器之輸入可包含一無標記檢驗批,其可包含檢驗參考影像及檢驗缺陷影像。在無監督分類方法中,自動編碼器可具有一組輸出神經元。依此方式,一自動編碼器可用作為一深度無監督學習NN。在此一方法中,一缺陷位置處之設計影像可視情況輸入至自動編碼器。缺陷位置處之設計影像亦可視情況輸入至一幾何提取器。幾何提取器可產生亦可視情況輸入至自動編碼器以用於無監督偵測及分類之一幾何編碼影像。
無監督分類之應用包含使用者指導之自適應審查取樣(例如對應於輸入影像之模糊叢集的神經元之輸出、對應於呈現給使用者(其可動態調整其想要取樣之內容)之各輸出神經元之最大活化作用的審查影像等等)、使用相同所關注圖案(POI)之檢驗影像之晶粒內檢驗(例如使用輸出神經元活化值之離群值偵測)、晶圓特徵識別(例如使用橫跨一晶圓之POI檢驗影像之離群值偵測)及用於監督分類之電力輔助缺陷取樣及標記。可在使用相同圖案之一影像來分析一圖案或一缺陷時執行使用輸出神經元活化值之離 群值偵測。例如,可存在一給定圖案之某些預期特性。當一圖案正常時,其應展現給定圖案所預期之類似性質。一旦一圖案偏離預期性質,則取樣將被視為基於神經元活化值值一離群值(例如一給定圖案之(若干)特性及/或性質/若干性質)。
以學習為基礎之模型可包含一機器學習模型。機器學習大體上可經界定為一人工智慧(AI)類型,其提供具有無需被明確程式化之學習能力的電腦。機器學習聚焦於可自學成長且在接受新資料時更新之電腦程式之開發。換言之,機器學習可經界定為「給予電腦無需被明確程式化之學習能力」之電腦科學之分支。機器學習探索可自資料學習且基於資料作出預測之演算法之研究及構造,此等演算法藉由透過自取樣輸入構建一模型作出資料驅動預測或決策而克服以下嚴格靜態程式指令。
本文所描述之機器學習可進一步如以下各者中所描述般執行:Sugiyama之「Introduction to Statistical Machine Learning」(Morgan Kaufmann,2016年534頁)、Jebara之「Discriminative,Generative,and Imitative Learning」(MIT Thesis,2002年212頁)及Hand等人之「Principles of Data Mining(Adaptive Computation and Machine Learning)」(MIT Press,2001年578頁),其等以宛如全文闡述引用的方式併入本文中。本文所描述之實施例可進一步如此等參考文獻中所描述般組態。
在另一此實施例中,以學習為基礎之模型包含一以深度學習為基礎之模型。一般而言,「深度學習」(亦稱為深度結構化學習、階層式學習或深度機器學習)係基於試圖模型化資料之高層抽象概念之一組演算法的機器學習之一分支。在一簡單情況中,可存在兩組神經元:一組神經元接 收一輸入信號及一組神經元發送一輸出信號。當輸入層接收一輸入時,其將輸入之一修改形式傳遞至下一層。在一深度網路中,輸入與輸出之間可存在諸多層(且該等層並非由神經元構成,但其可有助於依該方式思考)以允許演算法使用由多個線性及非線性變換組成之多個處理層。
深度學習係基於資料之學習表示之機器學習方法之一較廣泛家族之部分。一觀察(例如一影像)可依諸多方式(諸如每像素之強度值之一向量)或依一更抽象方式(諸如一組邊緣、特定形狀之區域等等)表示。一些表示在簡化學習任務(例如面部辨識或面部表情辨識)方面比其他表示更佳。深度學習之一承諾係使用用於無監督或半監督特徵學習及階層式特徵提取之高效演算法來替換手工特徵。
此領域之研究試圖作出較佳表示且產生用於自大規模無標記資料學習此等表示之模型。一些表示因神經科學之進步而受啟發且大致上基於一神經系統中之資訊處理及通信型樣之解譯,諸如試圖界定各種刺激與大腦中之相關聯神經元回應之間的一關係之神經編碼。
各種深度學習架構(諸如深度神經網路、卷積深度神經網路、深度認知網路及遞歸神經網路)已應用於比如電腦視覺、自動語音辨識、自然語言處理、音訊辨識及生物資訊分析之領域,其中已證明深度學習架構產生各種任務之最先進結果。
在另一實施例中,以學習為基礎之模型包含一神經網路。例如,模型可為一深度神經網路,其具有根據已被饋送來訓練其之資料而模型化世界的一組權重。神經網路大體上可經界定為基於神經單元之一相對較大集合之一計算方法,神經單元之該相對較大集合大致上模型化一生物大腦解決由軸突連接之生物神經元之相對較大叢集之問題的方式。各神經單元與 諸多其他神經單元連接,且鏈路可加強或抑制其對連接神經單元之活化狀態之影響。此等系統係自學習及經訓練的而非被明確程式化的且在其中解決方案或特徵界定難以依一傳統電腦程式表達之區域中出類拔萃。
神經網路通常由多個層組成,且信號路徑前后橫貫。神經網路之目標係依相同於人腦之方式解決問題,但若干神經網路更抽象得多。現代神經網路工程通常與數千個至數百萬個神經單元及數百萬個連接一起工作。神經網路可具有此項技術已知之任何適合架構及/或組態。
在另一實施例中,以學習為基礎之模型包含一CNN。例如,本文所描述之實施例可利用諸如一CNN之深度學習概念來解決通常較棘手之表示轉換問題(例如渲染)。模型可具有此項技術中已知之任何CNN組態或架構。
在另一實施例中,以學習為基礎之模型包含一深度神經網路。例如,模型可經組態以具有一深度學習架構,此係因為模型可包含執行若干演算法或變換之多個層。一般而言,模型中之層數並不多且與使用情況相依。為實際目的,包含於模型中之層之一適合範圍係自2個層至數十個層。深度神經網路可如本文所描述般依其他方式組態。在一此實施例中,以學習為基礎之模型可經組態為一深度CNN(DCNN),如Krizhevsky等人之「ImageNet Classification with Deep Convolutional Neural Networks」(NIPS,2012年9頁)(其以宛如全文闡述引用的方式併入本文中)中所描述。本文所描述之實施例可進一步如此參考文獻中所描述般組態。
在一額外實施例中,以學習為基礎之模型包含一辨別模型。辨別模型(亦稱為條件模型)係用於模型化一未觀察到變數y對一已觀察到變數x之 相依性之機器學習中所使用之一模型類別。在一概率構架內,此藉由模型化可用於自x預測y之條件概率分佈P(y|x)而完成。與生成模型相反,辨別模型不允許吾人自x及y之聯合分佈產生取樣。然而,對於諸如不需要聯合分佈之分類及回歸之任務,辨別模型可產生優越效能。另一方面,生成模型在表達複雜學習任務之相依性方面通常比辨別模型靈活。另外,大多數辨別模型受固有監督且無法輕易地擴展至無監督學習。應用特定細節最終指示選擇一辨別對生成模型之適合性。辨別模型可進一步如上文所併入之Krizhevsky參考文獻中所描述般組態。依此方式,本文所描述之實施例可將一辨別類型之一深度學習網路用於本文所描述之應用(分類或回歸目的)。
在一些實施例中,以學習為基礎之模型包含一生成模型。一「生成」模型大體上可經界定為具概率性之一模型。換言之,一「生成」模型並非為執行正向模擬或以規則為基礎之方法的模型,因而,涉及產生一真實影像之程序之物理現象之一模型(其之一模擬影像被產生)係非必要。相反地,如本文進一步所描述,生成模型可基於一組適合訓練資料而學習(此係因為其參數可被學習)。生成模型可進一步如Zhang等人於2016年6月7日申請之美國專利申請案第15/176,139號中所描述般組態,該案以宛如全文闡述引用的方式併入本文中。本文所描述之實施例可進一步如此專利申請案中所描述般組態。依此方式,本文所描述之實施例可將諸如一深度生成網路之一深度學習網路用於本文所描述之應用(分類或回歸目的)。
在一實施例中,以學習為基礎之模型包含一深度生成模型。例如,模型可經組態以具有一深度學習架構,此係因為模型可包含執行若干演算法或變換之多個層。一般而言,生成模型之一或兩側上之層數並不多且與 使用情況相依。為實際目的,兩側上之層之一適合範圍係自2個層至數十個層。
在另一此實施例中,以學習為基礎之模型包含一自動編碼器。一自動編碼器、自動關聯器或Diabolo網路係用於高效編碼之無監督學習之一人工神經網路。一自動編碼器之目的係學習一組資料(通常為了減少維數)之一表示(編碼)。近年來,自動編碼器概念已變得更廣泛用於資料之學習生成模型。在架構上,一自動編碼器之最簡形式係非常類似於多層感知器(MLP)之一前饋非遞歸神經網路,其具有一輸入層、一輸出層及連接輸入層及輸出層之一或多個隱藏層,但其中輸出層具有相同於輸入層之節點數目,且其目的係重建其自身輸入(替代預測目標值給定輸入)。因此,自動編碼器係無監督學習模式。一自動編碼器總是由兩個部分(編碼器及解碼器)組成。存在用於防止自動編碼器學習恆等函數且改良其擷取重要資訊及學習較豐富表示之能力的各種技術。自動編碼器可包含任何適合類型之自動編碼器,諸如去雜訊自動編碼器、稀疏自動編碼器、變動自動編碼器及收縮自動編碼器。
在一實施例中,(若干)電腦子系統經組態以基於樣本之一或多個判定特性及一或多個額外資訊類型而預測樣本之缺陷資訊。例如,一或多個電腦子系統可經組態以使用與其他回應變數(例如電氣測試或工具資料)組合之一或多個影像特性或影像本身來界定樣本上之缺陷。特定言之,可判定外部回應變數與(若干)影像特性之間的相關性。接著,可在無需外部回應變數及/或無需將一缺陷偵測演算法應用於針對樣本所產生之影像之情況下使用(若干)影像特性及相關性來預測樣本上之缺陷。另外,如本文進一步所描述,一旦(若干)影像特性之異常已由(若干)電腦子系統識別(如本文 進一步所描述),則外部回應變數可用於識別對應於缺陷的(若干)影像特性之異常及不對應於樣本上之缺陷的(若干)影像特性之異常。
在另一實施例中,(若干)電腦子系統經組態以基於樣本上之一或多個判定特性及一或多個額外資訊類型而預測樣本上之缺陷之良率臨界狀態。例如,一或多個電腦子系統可經組態以使用與其他回應變數(例如電氣測試或工具資料)組合之一或多個影像特性或影像本身來界定良率之缺陷臨界狀態。在一此實例中,(若干)影像特性及其他回應變數可用於判定缺陷將對形成於樣本上之裝置的影響且因此判定缺陷將對經執行以製造樣本上之裝置之程序之良率的影響。
在一額外實施例中,(若干)電腦子系統經組態以基於樣本之一或多個判定特性及一或多個額外資訊類型而預測形成於樣本上之裝置之效能。例如,一或多個電腦子系統可經組態以使用與其他回應變數(例如電氣測試或工具資料)組合之一或多個影像特性或影像本身來預測裝置效能。在一此實例中,(若干)影像特性及其他回應變數可用於判定缺陷將對形成於樣本上之裝置的影響且因此判定缺陷將對形成於樣本上之裝置之效能的影響。
在一些實施例中,(若干)電腦子系統經組態以基於資訊之一或多個額外類型而即時產生一或多個特性。例如,(若干)電腦子系統可經組態以基於回應變數(例如缺陷類型,諸如敞開或橋接、干擾等等)而即時產生(若干)相關特性。依此方式,(若干)電腦子系統可不經組態以產生一預判定特性或一組預判定特性。相反地,本文所描述之實施例可經組態以分析可自樣本影像判定之任何及所有影像特性且可經組態以基於回應變數而選擇用於本文所描述之異常識別及/或其他步驟之特性之一或多者。
在一實施例中,(若干)電腦子系統經組態以:對多個樣本執行獲取、判定及識別步驟;監測異常變化之一或多個特性;及回應於由該監測偵測之變化而更改一處理工具之一參數。例如,(若干)電腦子系統可經組態以使用(若干)影像特性之圖案(行為)之一變化來偵測問題及將後續變化應用於處理工具。換言之,當樣本之(若干)影像特性表現為隨時間漂移(或展現自一樣本至另一樣本之一戲劇性變化)時,(若干)影像特性之變化可經識別為對應於對樣本所執行之程序之一潛在問題。接著,(若干)影像特性之變化可用於判定改變程序以使(若干)影像特性恢復至其原始值之方式。
在另一實施例中,一或多個電腦子系統經組態以判定異常之一或多個空間特性且基於該一或多個判定空間特性而識別異常之一潛在來源。例如,(若干)電腦子系統可使用諸如區域影像特性之局部影像特性來識別可與程序、工具、腔室或處理時間相關之良率問題之潛在來源。依此方式,至由(若干)電腦子系統執行之步驟之輸入可包含橫跨一晶圓/主光罩/晶粒等等之檢驗影像之空間及時間分佈。空間圖案可表示歸因於程序/工具變動之不同潛在失效模式。接著,空間圖案可用於基於空間圖案與程序參數、工具參數及程序之間的一相關性之程序監測、工具監測及程序改良。可依任何適合方式(例如,通過實驗或從理論上)判定相關性。
在一額外實施例中,(若干)電腦子系統經組態以堆疊獲取影像之兩者或兩者以上且基於獲取影像之該堆疊兩者或兩者以上而識別樣本之一設計之一或多個弱點。例如,(若干)電腦子系統可經組態以使用堆疊影像來識別一設計之弱點。特定言之,當一個以上樣本影像彼此重疊(即,堆疊)時,重複出現於設計位置內之一個以上相同例項或設計中之相同圖案化特徵之一個以上例項處之任何異常或缺陷指示:設計之一弱點在設計位置或 圖案化特徵內(即,位置或圖案化特徵表現得比設計中之其他位置或圖案化特徵更易受缺陷影響)。
在另一實施例中,(若干)電腦子系統經組態以基於獲取影像之一或多個特性而產生一指標,該指標表示樣本之一或多個屬性(其對應於影像之一或多個特性)對形成於樣本上之裝置之效能的潛在影響。例如,(若干)電腦子系統可經組態以使用影像特性之一或多者來使對裝置效能之潛在影響之一指標公式化。在一此實例中,可基於來自影像之一或多個特性而判定一異常指標。當經正規化時,可使用自影像判定之(若干)特性來預測各裝置之潛在風險。依此方式,指標可用於基於異常之嚴重性之裝置評估及/或評級。
本文所描述之實施例具有優於當前所使用之方法及系統之若干優點。例如,使用本文所描述之檢驗及其他影像及其特性(如本文所描述)提供對製造問題之額外敏感度。使用如本文所描述之影像及其特性可藉由使可用於影像中之資訊最大化而減少假肯定(不相關事件)及遺漏真正問題(良率相關問題)。不是依賴報告缺陷或一組預界定特性,而是影像之使用允許藉由使用諸如神經網路及深度學習之無監督資料探勘之先進相關引擎而發現隱藏問題。此外,藉由使用影像,一使用者可利用資料處理或相關引擎之改進,其中可動態提取新特性用於改良模型化及應用。
上文所描述之系統之各者之實施例之各者可一起組合成一單一實施例。
另一實施例係關於一種用於偵測一樣本之影像之異常的電腦實施方法。該方法包含:藉由使用一成像子系統將能量導引至一樣本及自一樣本偵測能量而產生該樣本之影像。該成像子系統包含:至少一能量源,其經 組態以產生導引至該樣本之能量;及至少一偵測器,其經組態以自該樣本偵測能量。該方法亦包含:獲取自該樣本產生之該等影像;及判定該等獲取影像之一或多個特性。另外,該方法包含:在無需將一缺陷偵測演算法應用於該等影像或該等影像之該一或多個特性之情況下基於該一或多個判定特性而識別該等影像之異常。該獲取步驟、該判定步驟及該識別步驟由耦合至該成像子系統之一或多個電腦子系統執行。
該方法之該等步驟之各者可如本文進一步所描述般執行。該方法亦可包含可由本文所描述之系統、成像子系統、(若干)電腦子系統、(若干)組件及/或(若干)模型執行之任何其他(若干)步驟。成像子系統、一或多個電腦系統、一或多個組件及模型可根據本文所描述之實施例之任何者而組態,例如,分別為成像子系統10、(若干)電腦子系統102、(若干)組件100及模型104。另外,上文所描述之方法可由本文所描述之系統實施例之任何者執行。
一額外實施例係關於一種非暫時性電腦可讀媒體,其儲存可在一或多個電腦系統上執行之程式指令,該等程式指令用於執行用於偵測一樣本之影像之異常的一電腦實施方法。圖3中展示一此實施例。特定言之,如圖3所展示,非暫時性電腦可讀媒體300包含可在(若干)電腦系統304上執行之程式指令302。電腦實施方法可包含本文所描述之任何(若干)方法之任何(若干)步驟。
實施方法(諸如本文所描述之方法)之程式指令302可儲存於電腦可讀媒體300上。電腦可讀媒體可為一儲存媒體,諸如一磁碟或光碟、一磁帶或此項技術中已知之任何其他適合非暫時性電腦可讀媒體。
程式指令可依各種方式之任何者(其尤其包含以程序為基礎之技術、 以組件為基礎之技術及/或物件導向技術)實施。例如,程式指令可根據氣期望使用ActiveX控制項、C++物件、JavaBeans、微軟基礎類別(「MFC」)、SSE(串流SIMD擴展)或其他技術或方法來實施。
(若干)電腦系統304可根據本文所描述之實施例之任何者而組態。
熟習技術者將鑑於[實施方式]而明白本發明之各種態樣之進一步修改及替代實施例。例如,提供用於偵測一樣本之影像之異常的方法及系統。相應地,[實施方式]應被解釋僅具繪示性且用於教示熟習技術者實施本發明之一般方式。應瞭解,本文所展示及所描述之本發明之形式應被視為當前較佳實施例。元件及材料可替代本文所繪示及所描述之元件及材料,部分及程序可經反轉,且本發明之某些特徵可被獨立利用,如熟習技術者將在受益於本發明之[實施方式]之後全部明白。可在不背離以下申請專利範圍中所描述之本發明之精神及範疇之情況下對本文所描述之元件作出改變。
10:以光學為基礎之成像子系統
14:樣本
16:光源
18:光學元件
20:透鏡
22:置物台
24:集光器
26:元件
28:偵測器
30:集光器
32:元件
34:偵測器
36:電腦子系統
100:組件
102:電腦子系統
104:以學習為基礎之模型
106:半導體製造工具

Claims (35)

  1. 一種經組態以偵測一樣本之影像之異常的系統,其包括:一成像子系統,其經組態以藉由將能量導引至一樣本(specimen)及自該樣本偵測能量而產生該樣本之影像,其中該成像子系統包括:至少一能量源,其經組態以產生導引至該樣本之該能量;及至少一偵測器,其經組態以自該樣本偵測該能量;及一或多個電腦子系統,其耦合至該成像子系統,其中該一或多個電腦子系統經組態以:獲取自該樣本產生之該等影像,其中該等獲取影像包括光學影像或電子束影像;判定該等獲取影像之一或多個特性;及在無需將一預判定缺陷偵測演算法應用於該等影像或該等影像之該一或多個特性之情況下基於該一或多個判定特性而識別該等光學影像或該等電子束影像之異常,且其中該一或多個電腦子系統包括由該一或多個電腦子系統執行之一或多個組件,且其中該一或多個組件包括一以學習為基礎之模型(learning based model),該以學習為基礎之模型經組態以執行該判定該等獲取影像之該一或多個特性且識別針對該等獲取影像而判定之該一或多個特性。
  2. 如請求項1之系統,其中判定其之該一或多個特性的該等獲取影像未在該判定之前由該一或多個電腦子系統處理。
  3. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以基於該等識別異常而識別形成於該樣本上之一裝置之一或多個問題。
  4. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以基於該等識別異常而識別對該樣本所執行之一程序之一或多個問題。
  5. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以基於該等異常而更改對該樣本所執行之一程序以藉此改良該程序之良率。
  6. 如請求項1之系統,其中在該成像子系統產生該樣本之該等影像時即時執行該判定。
  7. 如請求項1之系統,其中在該成像子系統完成產生該樣本之該等影像之後執行該判定。
  8. 如請求項1之系統,其中基於與用於產生該等影像之該成像子系統之一或多個參數組合之該一或多個判定特性而執行該識別。
  9. 如請求項1之系統,其中該等獲取影像之該一或多個特性包括該等獲取影像之一或多個局部特性。
  10. 如請求項1之系統,其中該等獲取影像之該一或多個特性包括該等獲取影像之一或多個全局特性。
  11. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以:堆疊該等獲取影像之兩者或兩者以上;偵測該等獲取影像之該堆疊兩者或兩者以上之缺陷;及基於該等獲取影像之該堆疊兩者或兩者以上而判定該等偵測缺陷之一或多個屬性。
  12. 如請求項1之系統,其中該一或多個特性包括關聯於用於獲取該等影像之該成像子系統之參數的該等獲取影像之至少一特性。
  13. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以使用該樣本之該等獲取影像及一或多個額外資訊類型來訓練該以學習為基礎之模型,且其中該以學習為基礎之模型經進一步組態以基於該等獲取影像及該一或多個額外資訊類型而預測該樣本上之缺陷之資訊。
  14. 如請求項13之系統,其中該樣本之該一或多個額外資訊類型包括該樣本之設計資料。
  15. 如請求項13之系統,其中該樣本之該一或多個額外資訊類型包括該樣本之電氣測試及量測資料。
  16. 如請求項13之系統,其中該樣本之該一或多個額外資訊類型包括該樣本之處理工具資料。
  17. 如請求項13之系統,其中該訓練包括監督訓練。
  18. 如請求項13之系統,其中該訓練包括無監督訓練。
  19. 如請求項13之系統,其中該以學習為基礎之模型包括一以深度學習為基礎之模型。
  20. 如請求項13之系統,其中該以學習為基礎之模型包括一卷積神經網路。
  21. 如請求項13之系統,其中該以學習為基礎之模型包括一自動編碼器。
  22. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以基於該樣本之該一或多個判定特性及一或多個額外資訊類型而預測該樣本之缺陷資訊。
  23. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以基於該樣本之該一或多個判定特性及一或多個額外資訊類型而預測該樣本上之缺陷之良率臨界狀態。
  24. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以基於該樣本之該一或多個判定特性及一或多個額外資訊類型而預測形成於該樣 本上之裝置之效能。
  25. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以基於一或多個額外資訊類型而即時產生該一或多個特性。
  26. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以:對多個樣本執行該獲取、判定及識別;監測該一或多個特性或該等異常變化;及回應於由該監測偵測之該等變化而更改一處理工具之一參數。
  27. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以判定該等異常之一或多個空間特性且基於該一或多個判定空間特性而識別該等異常之一潛在來源。
  28. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以堆疊該等獲取影像之兩者或兩者以上且基於該等獲取影像之該堆疊兩者或兩者以上而識別該樣本之一設計之一或多個弱點。
  29. 如請求項1之系統,其中該一或多個電腦子系統經進一步組態以基於該等獲取影像之該一或多個特性而產生一指標,該指標表示對應於該等影像之該一或多個特性的該樣本之一或多個屬性對形成於該樣本上之裝置之效能的潛在影響。
  30. 如請求項1之系統,其中該成像子系統經進一步組態為一以光學為基 礎之成像子系統。
  31. 如請求項1之系統,其中該成像子系統經進一步組態為一以電子束為基礎之成像子系統。
  32. 如請求項1之系統,其中該樣本係一晶圓。
  33. 如請求項1之系統,其中該樣本係一主光罩。
  34. 一種非暫時性電腦可讀媒體,其儲存可在一或多個電腦系統上執行之程式指令,該等程式指令用於執行用於偵測一樣本之影像之異常的一電腦實施方法,其中該電腦實施方法包括:藉由使用一成像子系統將能量導引至一樣本及自該樣本偵測能量而產生該樣本之影像,其中該成像子系統包括:至少一能量源,其經組態以產生導引至該樣本之該能量;及至少一偵測器,其經組態以自該樣本偵測該能量;獲取自該樣本產生之該等影像,其中該等獲取影像包括光學影像或電子束影像;判定該等獲取影像之一或多個特性;及在無需將一預判定缺陷偵測演算法應用於該等影像或該等影像之該一或多個特性之情況下基於該一或多個判定特性而識別該等光學影像或該等電子束影像之異常,其中該獲取、該判定及該識別由耦合至該成像子系統之一或多個電腦子系統執行,其中該一或多個電腦子系統包括由該一或多 個電腦子系統執行之一或多個組件,且其中該一或多個組件包括一以學習為基礎之模型,該以學習為基礎之模型經組態以執行該判定該等獲取影像之該一或多個特性且識別針對該等獲取影像而判定之該一或多個特性。
  35. 一種用於偵測一樣本之影像之異常的電腦實施方法,其包括:藉由使用一成像子系統將能量導引至一樣本及自該樣本偵測能量而產生該樣本之影像,其中該成像子系統包括:至少一能量源,其經組態以產生導引至該樣本之該能量;及至少一偵測器,其經組態以自該樣本偵測該能量;獲取自該樣本產生之該等影像,其中該等獲取影像包括光學影像或電子束影像;判定該等獲取影像之一或多個特性;及在無需將一預判定缺陷偵測演算法應用於該等影像或該等影像之該一或多個特性之情況下基於該一或多個判定特性而識別該等光學影像或該等電子束影像之異常,其中該獲取、該判定及該識別由耦合至該成像子系統之一或多個電腦子系統執行,其中該一或多個電腦子系統包括由該一或多個電腦子系統執行之一或多個組件,且其中該一或多個組件包括一以學習為基礎之模型,該以學習為基礎之模型經組態以執行該判定該等獲取影像之該一或多個特性且識別針對該等獲取影像而判定之該一或多個特性。
TW106100816A 2016-01-11 2017-01-11 用以偵測一樣本之影像之異常的系統及方法,以及非暫時性電腦可讀媒體 TWI715703B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662277457P 2016-01-11 2016-01-11
US62/277,457 2016-01-11
US15/402,197 US10181185B2 (en) 2016-01-11 2017-01-09 Image based specimen process control
US15/402,197 2017-01-09

Publications (2)

Publication Number Publication Date
TW201732305A TW201732305A (zh) 2017-09-16
TWI715703B true TWI715703B (zh) 2021-01-11

Family

ID=59275945

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106100816A TWI715703B (zh) 2016-01-11 2017-01-11 用以偵測一樣本之影像之異常的系統及方法,以及非暫時性電腦可讀媒體

Country Status (6)

Country Link
US (1) US10181185B2 (zh)
KR (1) KR102422093B1 (zh)
CN (1) CN108463874B (zh)
IL (1) IL260102B (zh)
TW (1) TWI715703B (zh)
WO (1) WO2017123561A1 (zh)

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6386569B2 (ja) * 2014-02-12 2018-09-05 エーエスエムエル ネザーランズ ビー.ブイ. プロセスウィンドウを最適化する方法
US10186026B2 (en) * 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
JP2017191501A (ja) * 2016-04-14 2017-10-19 キヤノン株式会社 情報処理装置、情報処理方法及びプログラム
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
WO2018191698A1 (en) * 2017-04-13 2018-10-18 Instrumental, Inc. Method for predicting defects in assembly units
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
US10713534B2 (en) 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
WO2019068073A1 (en) * 2017-09-29 2019-04-04 The Brigham And Women's Hospital, Inc. AUTOMATED EVALUATION OF HUMAN EMBRYOS
US10650001B2 (en) 2017-10-05 2020-05-12 Google Llc Disaggregating latent causes for computer system optimization
KR101916347B1 (ko) * 2017-10-13 2018-11-08 주식회사 수아랩 딥러닝 기반 이미지 비교 장치, 방법 및 컴퓨터 판독가능매체에 저장된 컴퓨터 프로그램
US11049744B2 (en) * 2017-10-24 2021-06-29 International Business Machines Corporation Optimizing semiconductor binning by feed-forward process adjustment
JP2019086320A (ja) 2017-11-02 2019-06-06 セイコーエプソン株式会社 電子部品搬送装置および電子部品検査装置
TWI797187B (zh) 2017-11-03 2023-04-01 日商東京威力科創股份有限公司 功能微電子元件之良率提高
US10429318B2 (en) * 2017-12-19 2019-10-01 Industrial Technology Research Institute Detection system for a multilayer film and method thereof using dual image capture devices for capturing forward scattered light and back scattered light
TWI653605B (zh) * 2017-12-25 2019-03-11 由田新技股份有限公司 利用深度學習的自動光學檢測方法、設備、電腦程式、電腦可讀取之記錄媒體及其深度學習系統
US10970834B2 (en) * 2018-01-05 2021-04-06 Kla-Tencor Corporation Defect discovery using electron beam inspection and deep learning with real-time intelligence to reduce nuisance
WO2019155471A1 (en) * 2018-02-07 2019-08-15 Applied Materials Israel Ltd. Method of deep learning-based examination of a semiconductor specimen and system thereof
US10818005B2 (en) * 2018-03-12 2020-10-27 Kla-Tencor Corp. Previous layer nuisance reduction through oblique illumination
US11170255B2 (en) 2018-03-21 2021-11-09 Kla-Tencor Corp. Training a machine learning model with synthetic images
US10670536B2 (en) 2018-03-28 2020-06-02 Kla-Tencor Corp. Mode selection for inspection
US10599951B2 (en) 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
DE102018207880A1 (de) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US11151711B2 (en) 2018-06-06 2021-10-19 Kla-Tencor Corporation Cross layer common-unique analysis for nuisance filtering
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
DE102018211099B4 (de) 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
CN110717881A (zh) * 2018-07-12 2020-01-21 长鑫存储技术有限公司 晶圆缺陷识别方法、装置、存储介质和终端设备
CN109191558B (zh) 2018-07-27 2020-12-08 深圳市商汤科技有限公司 图像打光方法和装置
US10818001B2 (en) * 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
KR20200039047A (ko) * 2018-10-01 2020-04-16 에스케이씨 주식회사 필름 결함 검출 방법 및 시스템
US11094053B2 (en) 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
EP3637303B1 (en) * 2018-10-09 2024-02-14 Naver Corporation Methods for generating a base of training images, for training a cnn and for detecting a poi change in a pair of inputted poi images using said cnn
KR102585137B1 (ko) * 2018-10-17 2023-10-06 에이에스엠엘 네델란즈 비.브이. 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들
US11244873B2 (en) 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
KR20230130767A (ko) * 2018-11-07 2023-09-12 에이에스엠엘 네델란즈 비.브이. 공정에 대한 보정 결정
US10672588B1 (en) * 2018-11-15 2020-06-02 Kla-Tencor Corporation Using deep learning based defect detection and classification schemes for pixel level image quantification
US10984521B2 (en) * 2018-11-20 2021-04-20 Bnsf Railway Company Systems and methods for determining defects in physical objects
KR102638267B1 (ko) * 2018-12-03 2024-02-21 삼성전자주식회사 반도체 웨이퍼 불량 분석 시스템 및 그것의 동작 방법
KR102179949B1 (ko) 2018-12-26 2020-11-17 한국생산기술연구원 딥러닝 기반 영상 데이터 생성 기술을 이용한 분류 시스템 및 그 제어 방법
US10853932B2 (en) 2019-01-16 2020-12-01 Applied Material Israel, Ltd. Method of defect detection on a specimen and system thereof
JP7312560B2 (ja) * 2019-01-31 2023-07-21 株式会社Screenホールディングス 情報処理装置、情報処理方法、情報処理プログラム、学習方法および学習済モデル
US10922808B2 (en) 2019-02-14 2021-02-16 KLA—Tencor Corp. File selection for test image to design alignment
AU2020230452A1 (en) * 2019-03-01 2021-10-14 The University Of Melbourne Automated determination of locations of donor atoms
TWI717716B (zh) * 2019-04-01 2021-02-01 陳膺任 防偽元件驗證方法及系統
US11551348B2 (en) * 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
WO2020250373A1 (ja) * 2019-06-13 2020-12-17 株式会社日立ハイテク 画像処理プログラム、画像処理装置および画像処理方法
US11619592B2 (en) * 2019-07-09 2023-04-04 KLA Corp. Selecting defect detection methods for inspection of a specimen
US11580650B2 (en) 2019-10-01 2023-02-14 KLA Corp. Multi-imaging mode image alignment
US11415531B2 (en) * 2019-10-11 2022-08-16 KLA Corp. Statistical learning-based mode selection for multi-mode inspection
US11087449B2 (en) 2019-10-24 2021-08-10 KLA Corp. Deep learning networks for nuisance filtering
KR20210057518A (ko) * 2019-11-12 2021-05-21 라온피플 주식회사 불량 이미지 생성 장치 및 방법
US20210158223A1 (en) * 2019-11-22 2021-05-27 Kla Corporation Finding Semiconductor Defects Using Convolutional Context Attributes
US11113580B2 (en) 2019-12-30 2021-09-07 Industrial Technology Research Institute Image classification system and method
US11256967B2 (en) * 2020-01-27 2022-02-22 Kla Corporation Characterization system and method with guided defect discovery
KR102486230B1 (ko) * 2020-03-20 2023-01-10 라온피플 주식회사 딥러닝을 이용한 신규 제품의 품질 검사 방법 및 시스템
CN111738398B (zh) * 2020-06-22 2021-07-13 河海大学常州校区 一种用于行星齿轮故障诊断的新型深度特征学习方法
US11599690B2 (en) * 2020-07-20 2023-03-07 International Business Machines Corporation Wafer asset modeling using language processing methods
CN111930926B (zh) * 2020-08-05 2023-08-29 南宁师范大学 结合评论文本挖掘的个性化推荐算法
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
CN113487533B (zh) * 2021-05-19 2023-11-14 东北大学 一种基于机器学习的零件装配质量数字化检测系统及方法
US11868119B2 (en) 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection
WO2024049199A1 (ko) * 2022-08-31 2024-03-07 주식회사 엘지에너지솔루션 학습 모델 기반의 치수 측정 장치 및 방법
US20240120221A1 (en) * 2022-10-03 2024-04-11 Kla Corporation Correcting target locations for temperature in semiconductor applications
EP4361903A1 (en) * 2022-10-25 2024-05-01 ASML Netherlands B.V. Failure mode identification

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US20120035876A1 (en) * 2004-08-20 2012-02-09 Midtronics, Inc. System for automatically gathering battery information
TW201511154A (zh) * 2013-06-19 2015-03-16 Kla Tencor Corp 以運行時設計資料之使用偵測晶圓上之缺陷
TW201543025A (zh) * 2014-04-15 2015-11-16 Kla Tencor Corp 於晶圓檢查之邏輯中之圖案抑制

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243470A (ja) * 2002-02-18 2003-08-29 Mitsubishi Electric Corp 異常検出システム、プログラムおよび記録媒体
US7469057B2 (en) * 2003-02-26 2008-12-23 Taiwan Semiconductor Manufacturing Corp System and method for inspecting errors on a wafer
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7571422B2 (en) 2006-09-21 2009-08-04 Kla-Tencor Technologies Corporation Method for generating a design rule map having spatially varying overlay budget
US7904845B2 (en) 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
JP2008261790A (ja) 2007-04-13 2008-10-30 Hitachi High-Technologies Corp 欠陥検査装置
US9151712B1 (en) 2007-05-30 2015-10-06 Kla-Tencor Corporation Rule checking for metrology and inspection
EP2165312A1 (en) 2007-06-12 2010-03-24 Icos Vision Systems N.V. Method for semiconductor substrate inspection
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US8131107B2 (en) 2008-05-12 2012-03-06 General Electric Company Method and system for identifying defects in NDT image data
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
JP5243335B2 (ja) * 2009-04-21 2013-07-24 東京エレクトロン株式会社 欠陥検査方法、欠陥検査装置、欠陥検査プログラム、及びそのプログラムを記録した記録媒体
US8442301B2 (en) * 2009-04-30 2013-05-14 General Electric Company Nondestructive inspection method and system
CN102484084B (zh) 2009-07-17 2014-12-10 克拉-坦科股份有限公司 使用设计和缺陷数据的扫描仪性能比较和匹配
JP5550862B2 (ja) * 2009-07-23 2014-07-16 株式会社日立ハイテクノロジーズ 欠陥分類装置及び分類調整方法
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
EP2630474A4 (en) * 2010-10-19 2017-04-19 3M Innovative Properties Company Computer-aided assignment of ratings to digital samples of a manufactured web product
WO2012054225A2 (en) * 2010-10-19 2012-04-26 3M Innovative Properties Company Continuous charting of non-uniformity severity for detecting variability in web-based materials
BR112013011107A2 (pt) * 2010-11-12 2016-08-02 3M Innovative Properties Co ''processamento e detecção rápidos de não uniformidades em materiais á base de manta''
US8656323B2 (en) 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US9575013B2 (en) * 2011-05-17 2017-02-21 Gii Acquisition, Llc Non-contact method and system for inspecting a manufactured part at an inspection station having a measurement axis
US20120316855A1 (en) 2011-06-08 2012-12-13 Kla-Tencor Corporation Using Three-Dimensional Representations for Defect-Related Applications
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
DE102012101301B4 (de) * 2012-02-17 2014-11-06 Kocos Automation Gmbh Vorrichtung zur berührungslosen Kantenprofilbestimmung an einem dünnen scheibenförmigen Objekt
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US8948495B2 (en) 2012-08-01 2015-02-03 Kla-Tencor Corp. Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
US9576861B2 (en) 2012-11-20 2017-02-21 Kla-Tencor Corporation Method and system for universal target based inspection and metrology
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US8987010B1 (en) * 2013-08-29 2015-03-24 International Business Machines Corporation Microprocessor image correction and method for the detection of potential defects
US20150120220A1 (en) 2013-10-29 2015-04-30 Kla-Tencor Corporation Detecting IC Reliability Defects
US9518932B2 (en) 2013-11-06 2016-12-13 Kla-Tencor Corp. Metrology optimized inspection
US9401016B2 (en) * 2014-05-12 2016-07-26 Kla-Tencor Corp. Using high resolution full die image data for inspection
JP6499898B2 (ja) * 2014-05-14 2019-04-10 株式会社ニューフレアテクノロジー 検査方法、テンプレート基板およびフォーカスオフセット方法
JP2016058465A (ja) * 2014-09-08 2016-04-21 株式会社日立ハイテクノロジーズ 欠陥定量化方法、欠陥定量化装置、および欠陥評価値表示装置
US9754188B2 (en) * 2014-10-23 2017-09-05 Microsoft Technology Licensing, Llc Tagging personal photos with deep networks
DE102015207415A1 (de) * 2015-04-23 2016-10-27 Adidas Ag Verfahren und Gerät zum Verknüpfen von Bildern in einem Video einer Aktivität einer Person mit einem Ereignis
DE102015217429A1 (de) * 2015-09-11 2017-03-16 Siemens Healthcare Gmbh Diagnosesystem und Diagnoseverfahren

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US20120035876A1 (en) * 2004-08-20 2012-02-09 Midtronics, Inc. System for automatically gathering battery information
TW201511154A (zh) * 2013-06-19 2015-03-16 Kla Tencor Corp 以運行時設計資料之使用偵測晶圓上之缺陷
TW201543025A (zh) * 2014-04-15 2015-11-16 Kla Tencor Corp 於晶圓檢查之邏輯中之圖案抑制

Also Published As

Publication number Publication date
CN108463874B (zh) 2020-11-06
CN108463874A (zh) 2018-08-28
US20170200264A1 (en) 2017-07-13
IL260102A (en) 2018-07-31
IL260102B (en) 2020-06-30
WO2017123561A1 (en) 2017-07-20
KR102422093B1 (ko) 2022-07-15
US10181185B2 (en) 2019-01-15
KR20180094111A (ko) 2018-08-22
TW201732305A (zh) 2017-09-16

Similar Documents

Publication Publication Date Title
TWI715703B (zh) 用以偵測一樣本之影像之異常的系統及方法,以及非暫時性電腦可讀媒體
TWI710763B (zh) 經組態以偵測一樣品上之缺陷之系統及用於偵測一樣品上之缺陷之電腦實施方法
US10599951B2 (en) Training a neural network for defect detection in low resolution images
TWI751376B (zh) 識別在一晶圓上偵測到之缺陷中之損害及所關注缺陷
US10360477B2 (en) Accelerating semiconductor-related computations using learning based models
US10395356B2 (en) Generating simulated images from input images for semiconductor applications
US10346740B2 (en) Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10648924B2 (en) Generating high resolution images from low resolution images for semiconductor applications