TWI710763B - 經組態以偵測一樣品上之缺陷之系統及用於偵測一樣品上之缺陷之電腦實施方法 - Google Patents

經組態以偵測一樣品上之缺陷之系統及用於偵測一樣品上之缺陷之電腦實施方法 Download PDF

Info

Publication number
TWI710763B
TWI710763B TW105144315A TW105144315A TWI710763B TW I710763 B TWI710763 B TW I710763B TW 105144315 A TW105144315 A TW 105144315A TW 105144315 A TW105144315 A TW 105144315A TW I710763 B TWI710763 B TW I710763B
Authority
TW
Taiwan
Prior art keywords
sample
optical
electron beam
output
subsystem
Prior art date
Application number
TW105144315A
Other languages
English (en)
Other versions
TW201734439A (zh
Inventor
克里斯 畢海斯卡
葛瑞斯 淑玲 陳
凱斯 威爾斯
維尼 麥克馬連
張晶
史考特 楊
布萊恩 杜菲
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201734439A publication Critical patent/TW201734439A/zh
Application granted granted Critical
Publication of TWI710763B publication Critical patent/TWI710763B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/222Image processing arrangements associated with the tube
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/226Optical arrangements for illuminating the object; optical arrangements for collecting light from the object
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8854Grading and classifying of flaws
    • G01N2021/8867Grading and classifying of flaws using sequentially two or more inspection runs, e.g. coarse and fine, or detecting then analysing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/304Accessories, mechanical or electrical features electric circuits, signal processing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/40Imaging
    • G01N2223/401Imaging image processing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/40Imaging
    • G01N2223/418Imaging electron microscope
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/646Specific applications or type of materials flaws, defects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10004Still image; Photographic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20076Probabilistic image processing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24475Scattered electron detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24495Signal processing, e.g. mixing of two or more signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Computational Linguistics (AREA)
  • Artificial Intelligence (AREA)
  • General Engineering & Computer Science (AREA)
  • Molecular Biology (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Probability & Statistics with Applications (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Quality & Reliability (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

本發明提供混合檢查器。一種系統包含(若干)電腦子系統,其等經組態以接收針對一樣品產生之基於光學之輸出及基於電子束之輸出。該(等)電腦子系統包含一或多個虛擬系統,其等經組態以使用針對該樣品產生之該基於光學之輸出及該基於電子束之輸出之至少一些執行一或多項功能。該系統亦包含由該(等)電腦子系統執行之一或多個組件,其等包含經組態以針對該樣品執行一或多個模擬之一或多個模型。該(等)電腦子系統經組態以基於該基於光學之輸出、該基於電子束之輸出、該一或多項功能之結果及該一或多個模擬之結果之至少兩者偵測該樣品上之缺陷。

Description

經組態以偵測一樣品上之缺陷之系統及用於偵測一樣品上之缺陷之電腦實施方法
本發明大體上係關於混合檢查器。
以下描述及實例不憑藉其等包含於此章節中而被認為係先前技術。
製造諸如邏輯及記憶體裝置之半導體裝置通常包含使用大量半導體製程處理諸如一半導體晶圓之一基板以形成半導體裝置之各種特徵及多個層級。例如,微影係涉及將一圖案自一比例光罩轉印至配置於一半導體晶圓上之一光阻劑之一半導體製程。半導體製程之額外實例包含但不限於化學機械拋光(CMP)、蝕刻、沈積及離子植入。可於一單一半導體晶圓上之一配置中製造多個半導體裝置且接著將其等分離成個別半導體裝置。
在一半導體製程期間之各個步驟使用檢查程序來偵測晶圓上之缺陷以驅使製程中之更高良率及因此更高利潤。檢查始終為製造半導體裝置之一重要部分。然而,隨著半導體裝置尺寸之減小,檢查對於成功製造可接受半導體裝置變得甚至更為重要,此係因為較小缺陷可引起裝置故障。
當前使用之檢查方法已超過20年未曾有效改變。檢查解決方案本質上具有以下特性。一實質上緩慢電子束類型系統容許一使用者識別並驗證實體缺陷問題。各別地,執行涵蓋整個晶圓之一非常快速但粗糙之光學檢查,但其通常限於一單一檢查層。此兩種系統通常彼此實體分離。
習知微影縮放(在193nm波長)似乎從2014年至2015年起就已停滯不前。其已由多重圖案化微影程序取代,多重圖案化微影程序看似將在此處持續未來10年左右,即使現場出現極紫外線(EUV)。多重圖案化微影程序已導致使用龐大數目之程序步驟(例如,大於20個)以僅為完成一FINFET電晶體,而在僅幾代之前,習知平面MOSFET電晶體僅為數層。在維持一個維度上之控制之一意義上,已增添z維度上之複雜性。z維度上之增添的複雜性已導致對臨界尺寸(CD)及重疊控制之遠更嚴格要求。在一給定層處,光學檢查器之雜訊已增大10倍。事實上,吾人可論證在一給定層處之檢查係一非良置問題。
用於實體檢查之當前獨立光學檢查器存在數種限制。特定言之,光學工具在後CMOS縮放之時代受以下各者之影響:後層雜訊(光學系統可「視」穿層,此在先前層具有較多雜訊時可為一主要缺點);彩色雜訊(光學系統受相變之影響,諸如z方向、膜厚度之局部及全域尺寸變化等);線邊緣粗糙度(LER),當結合基於相位之偵測孔徑時,其可導致進一步雜訊;及光學器件之核心解析度限制。
電子束檢查及再檢測工具亦存在數種限制。例如,電子束檢查器及再檢測平台具有實體解析度之優點且愈來愈多地被採用,但具有以下限制,包含:無法偵測程序系統缺陷,此需要相對較廣涵蓋範圍;LER缺陷之相對不良缺陷對像素比(大多數偵測演算法係基於比較的);歸因於局部充電之相對不良缺陷對像素比(大多處偵測演算法係基於比較的);及在z方向上看見缺陷之實質上有限穿透。
因此,將有利地發展出用於偵測一樣品上之缺陷之不具有上文描述之缺點之一或多者之系統及方法。
各項實施例之以下描述絕不應解釋為限制隨附申請專利範圍之標的。
一項實施例係關於一種經組態以偵測一樣品上之缺陷之系統。該系統包含一基於光學之子系統,其經組態以藉由將光引導至一樣品且偵測來自該樣品之光而針對該樣品產生基於光學之輸出。該系統亦包含一基於電子束之子系統,其經組態以藉由將電子引導至該樣品且偵測來自該樣品之電子而針對該樣品產生基於電子束之輸出。另外,該系統包含一或多個電腦子系統,其等經組態以接收針對該樣品產生之該基於光學之輸出及該基於電子束之輸出。該一或多個電腦子系統包含一或多個虛擬系統,其等經組態以使用針對該樣品產生之該基於光學之輸出及該基於電子束之輸出之至少一些執行一或多項功能。該一或多個虛擬系統無法將該樣品安置於其中。該系統進一步包含由該一或多個電腦子系統執行之一或多個組件。該一或多個組件包含經組態以針對該樣品執行一或多個模擬之一或多個模型。該一或多個電腦子系統經組態以基於該基於光學之輸出、該基於電子束之輸出、該一或多項功能之結果及該一或多個模擬之結果之至少兩者偵測該樣品上之缺陷。可如本文中進一步描述般進一步組態上文描述之系統之實施例之各者。
一額外實施例係關於一種用於偵測一樣品上之缺陷之電腦實施方法。該方法包含藉由將光引導至一樣品且偵測來自該樣品之光而針對該樣品產生基於光學之輸出。該方法亦包含藉由將電子引導至該樣品且偵測來自該樣品之電子而針對該樣品產生基於電子束之輸出。另外,該方法包含用一或多個電腦系統接收針對該樣品產生之該基於光學之輸出及該基於電 子束之輸出。該一或多個電腦系統包含一或多個虛擬系統,其等經組態以使用針對該樣品產生之該基於光學之輸出及該基於電子束之輸出之至少一些執行一或多項功能。該一或多個虛擬系統無法將該樣品安置於其中。藉由該一或多個電腦系統執行一或多個組件。該一或多個組件包含經組態以針對該樣品執行一或多個模擬之一或多個模型。該方法進一步包含基於該基於光學之輸出、該基於電子束之輸出、該一或多項功能之結果及該一或多個模擬之結果之至少兩者偵測該樣品上之缺陷。
可如本文中進一步描述般進一步執行上文描述之方法之步驟之各者。另外,上文描述之方法之實施例可包含本文中描述之(若干)任何其他方法之(若干)任何其他步驟。此外,可藉由本文中描述之系統之任一者執行上文描述之方法。
另一實施例係關於一種非暫時性電腦可讀媒體,其儲存可在一或多個電腦系統上執行以執行用於偵測一樣品上之缺陷之一電腦實施方法之程式指令。該電腦實施方法包含上文描述之步驟。可如本文中描述般進一步組態該電腦可讀媒體。可如本文中進一步描述般執行該電腦實施方法之步驟。另外,該電腦實施方法(可針對其執行該等程式指令)可包含本文中描述之(若干)任何其他方法之(若干)任何其他步驟。
10:基於光學之子系統
14:樣品
16:光源
18:光學元件
20:透鏡
22:平台
24:收集器
26:元件
28:偵測器
30:收集器
32:元件
34:偵測器
36:電腦子系統
100:組件
102:電腦子系統
104:模型
108:虛擬系統
110:系統
112:配方
122:電子柱/基於電子束之子系統
124:電腦子系統
126:電子束源
128:樣品
130:元件
132:元件
134:偵測器
136:樣品處置系統
138:負載模組
140:樣品匣
200:非暫時性電腦可讀媒體
202:程式指令
204:電腦系統
熟習此項技術者在獲益於較佳實施例之以下詳細描述之情況下且在參考隨附圖式之後將明白本發明之進一步優點,其中:圖1係繪示經組態以偵測一樣品上之缺陷之一系統之一項實施例之一示意圖;及圖2係繪示儲存可在一或多個電腦系統上執行以執行本文中描述之電 腦實施方法之一或多者之程式指令的一非暫時性電腦可讀媒體之一項實施例之一方塊圖。
雖然本發明容易產生各種修改及替代形式,但在圖式中藉由實例展示且在本文中詳細描述其之特定實施例。圖式可未按比例。然而,應瞭解,圖式及其等之詳細描述並不意欲將本發明限於所揭示之特定形式,恰相反,其意欲涵蓋如由隨附申請專利範圍定義之落於本發明之精神及範疇內之全部修改、等效及替代。
如在本文中可互換地使用之術語「設計」、「設計資料」及「設計資訊」大體上指代一IC之實體設計(佈局)及透過複雜模擬或簡單幾何及布林(Boolean)運算自該實體設計導出的資料。另外,由一比例光罩檢查系統獲取之一比例光罩之一影像及/或其衍生物可用作設計之一或多個「代理」。此一比例光罩影像或其之一衍生物在使用一設計之本文中描述之任何實施例中可用作設計佈局之一替代。設計可包含2009年8月4日授與Zafar等人之共同擁有之美國專利第7,570,796號及2010年3月9日授與Kulkarni等人之美國專利第7,676,077號中描述之任何其他設計資料或設計資料代理,該兩案如全文闡述以引用的方式併入本文中。另外,設計資料可為標準單元庫資料、積體佈局資料、一或多個層之設計資料、設計資料之衍生物及完全或部分晶片設計資料。
另外,本文中描述之「設計」、「設計資料」及「設計資訊」指代由半導體裝置設計者在一設計程序中產生且因此可在將設計印刷於諸如比例光罩及晶圓之任何實體樣品上之前良好用於本文中描述之實施例中之資訊及資料。
現參考圖式,應注意,圖未按比例繪製。特定言之,極大地放大圖之一些元件之比例以強調元件之特性。亦應注意,圖未按相同比例繪製。已使用相同元件符號指示在一個以上圖中展示之可經類似組態之元件。除非本文中另有說明,否則所描述且展示之任何元件可包含任何適合市售元件。
一項實施例係關於一種經組態以偵測一樣品上之缺陷之系統。本文中描述之實施例大體上經組態以在8小時至16小時內發現、監測並驗證一300mm邏輯晶圓上之1億至10億個位置上的大小在大於5nm之範圍內之缺陷事件。本文中描述之實施例可針對微影及程序誘發之系統缺陷調諧及/或最佳化,但大體上可應用為優於習知光學檢查工具能力之增強。實施例亦可組態為用於使用組合光學、掃描電子顯微鏡(SEM)及設計圖塊之一深度學習系統網路識別並分類一半導體製程中之設計及程序系統缺陷之通用基於圖塊之混合檢查器。如本文中進一步描述,提供關鍵概念及原理以實現設計、建立及傳達一系統解決方案,而使使用者能夠在8小時至16小時內發現、監測並驗證一300mm邏輯晶圓上之1億至10億個位置處的大小在大於5nm之範圍內之微影及程序誘發之系統事件。本文中描述之實施例在某種意義上係演化的,其建立在自動缺陷分類(ADC)、融合、晶粒對資料庫檢查、中央計算及儲存(CCS)及體積檢查之早期理念上,但亦可利用深度學習及利用測試晶圓(諸如PWQ晶圓)之某一新穎理解。
圖1中展示此一系統之一項實施例。系統包含基於光學之子系統10。一般而言,基於光學之子系統經組態以藉由將光引導至一樣品(或用光在其上方掃描)且偵測來自該樣品之光而針對該樣品產生基於光學之輸出。在一項實施例中,樣品包含一晶圓。晶圓可包含此項技術中已知的任何晶 圓。在另一實施例中,樣品包含一比例光罩。比例光罩可包含此項技術中已知的任何比例光罩。
在圖1中展示之系統之實施例中,基於光學之子系統10包含經組態以將光引導至樣品14之一照明子系統。照明子系統包含至少一個光源。例如,如圖1中展示,照明子系統包含光源16。在一項實施例中,照明子系統經組態以依一或多個入射角(其可包含一或多個斜角及/或一或多個法線角)將光引導至樣品。例如,如圖1中展示,來自光源16之光依一傾斜入射角引導穿過光學元件18且接著穿過透鏡20而至樣品14。傾斜入射角可包含任何適合傾斜入射角,其可取決於例如樣品之特性而變化。
基於光學之子系統可經組態以在不同時間依不同入射角將光引導至樣品。例如,基於光學之子系統可經組態以更改照明子系統之一或多個元件之一或多個特性,使得光可依不同於圖1中展示之入射角之一入射角引導至樣品。在一個此實例中,基於光學之子系統可經組態以使光源16、光學元件18及透鏡20移動,使得光依一不同傾斜入射角或一法向(或近法向)入射角引導至樣品。
在一些例項中,基於光學之子系統可經組態以同時依一個以上入射角將光引導至樣品。例如,照明子系統可包含一個以上照明通道,照明通道之一者可包含光源16、光學元件18及透鏡20(如圖1中展示),且照明通道之另一者(未展示)可包含類似元件(其等可經不同或相同組態),或可包含至少一光源及可能一或多個其他組件(諸如本文中進一步描述之組件)。若將此光與另一光同時引導至樣品,則依不同入射角引導至樣品之光之一或多個特性(例如,波長、偏光等)可不同,使得源自依不同入射角照明樣品之光可在(若干)偵測器處彼此區別。
在另一例項中,照明子系統可包含僅一個光源(例如,圖1中展示之光源16),且來自光源之光可由照明子系統之一或多個光學元件(未展示)分離成不同光學路徑(例如,基於波長、偏光等)。接著,不同光學路徑之各者中之光可引導至樣品。多個照明通道可經組態以同時或在不同時間(例如,當使用不同照明通道循序照明樣品時)將光引導至樣品。在另一例項中,相同照明通道可經組態以在不同時間將光引導至具有不同特性之樣品。例如,在一些例項中,光學元件18可組態為一光譜濾光片,且光譜濾光片之性質可以多種不同方式改變(例如,藉由置換出光譜濾光片),使得可在不同時間將不同波長之光引導至樣品。照明子系統可具有此項技術中已知的任何其他適合組態,以循序或同時依不同或相同入射角將具有不同或相同特性之光引導至樣品。
在一項實施例中,光源16可包含一寬頻電漿(BBP)光源。以此方式,由光源產生且引導至樣品之光可包含寬頻光。然而,光源可包含任何其他適合光源,諸如一雷射。雷射可包含此項技術中已知的任何適合雷射,且可經組態以產生在此項技術中已知的一或多個任何適合波長下之光。另外,雷射可經組態以產生單色或幾乎單色之光。以此方式,雷射可為一窄頻雷射。光源亦可包含產生在多個離散波長或波帶下之光之一多色光源。
來自光學元件18之光可藉由透鏡20聚焦至樣品14上。儘管透鏡20在圖1中展示為一單一折射光學元件,然應瞭解,實際上透鏡20可包含組合地將來自光學元件之光聚焦至樣品之若干折射及/或反射光學元件。在圖1中展示且在本文中描述之照明子系統可包含任何其他適合光學元件(未展示)。此等光學元件之實例包含但不限於(若干)偏光組件、(若干)光譜濾光片、(若干)空間濾光片、(若干)反射光學元件、(若干)變跡器、(若干)光束 分離器、(若干)光圈及類似物,其等可包含此項技術中已知的任何此等適合光學元件。另外,基於光學之子系統可經組態以基於待用於產生基於光學之輸出之照明類型更改照明子系統之元件之一或多者。
基於光學之子系統亦可包含經組態以引起光在樣品上方掃描之一掃描子系統。例如,基於光學之子系統可包含平台22,在基於光學之輸出產生期間樣品14安置於平台22上。掃描子系統可包含任何適合機械及/或機器人總成(其包含平台22),其可經組態以使樣品移動使得光可在樣品上方掃描。另外或替代地,基於光學之子系統可經組態使得基於光學之子系統之一或多個光學元件執行光在樣品上方之某一掃描。光可以任何適合方式(諸如以一蛇紋狀路徑或以一螺旋路徑)在樣品上方掃描。
基於光學之子系統進一步包含一或多個偵測通道。一或多個偵測通道之至少一者包含一偵測器,其經組態以偵測歸因於藉由子系統照明樣品而來自樣品之光,且回應於經偵測光而產生輸出。例如,圖1中展示之基於光學之子系統包含兩個偵測通道:一個通道由收集器24、元件26及偵測器28形成,且另一個通道由收集器30、元件32及偵測器34形成。如圖1中展示,兩個偵測通道經組態以依不同收集角收集且偵測光。在一些例項中,兩個偵測通道經組態以偵測散射光,且偵測通道經組態以偵測依不同角度自樣品散射之光。然而,偵測通道之一或多者可經組態以偵測來自樣品之另一類型之光(例如,反射光)。
如圖1中進一步展示,兩個偵測通道展示為定位於紙平面中且照明子系統亦展示為定位於紙平面中。因此,在此實施例中,兩個偵測通道定位於(例如,居中於)入射平面中。然而,偵測通道之一或多者可定位於入射平面外。例如,由收集器30、元件32及偵測器34形成之偵測通道可經組 態以收集且偵測散射出入射平面之光。因此,此一偵測通道通常可稱為一「側」通道,且此一側通道可居中於實質上垂直於入射平面之一平面中。
儘管圖1展示包含兩個偵測通道之基於光學之子系統之一實施例,然基於光學之子系統可包含不同數目個偵測通道(例如,僅一個偵測通道或兩個或更多個偵測通道)。在一個此例項中,由收集器30、元件32及偵測器34形成之偵測通道可形成如上文描述之一個側通道,且基於光學之子系統可包含形成為定位於入射平面之相對側上之另一側通道之一額外偵測通道(未展示)。因此,基於光學之子系統可包含偵測通道,其包含收集器24、元件26及偵測器28且居中於入射平面中,且經組態以依在樣品表面處或接近法向於樣品表面之(若干)散射角收集且偵測光。因此,此偵測通道通常可稱為一「頂部」通道,且基於光學之子系統亦可包含如上文描述般組態之兩個或更多個側通道。因而,基於光學之子系統可包含至少三個通道(即,一個頂部通道及兩個側通道),且該至少三個通道之各者具有其自身之收集器,該等收集器之各者經組態以依不同於其他收集器之各者之散射角收集光。
如上文進一步描述,包含於基於光學之子系統中之偵測通道之各者可經組態以偵測散射光。因此,圖1中展示之基於光學之子系統可經組態以用於樣品之暗場(DF)輸出產生。然而,基於光學之子系統亦可或替代地包含經組態以用於樣品之明場(BF)輸出產生之(若干)偵測通道。換言之,基於光學之子系統可包含經組態以偵測自樣品鏡面反射之光之至少一個偵測通道。因此,本文中描述之基於光學之子系統可經組態以用於僅DF成像、僅BF成像或DF及BF成像兩者。儘管收集器之各者在圖1中展示為單一折射光學元件,然應瞭解,收集器之各者可包含一或多個折射光學元件 及/或一或多個反射光學元件。
一或多個偵測通道可包含此項技術中已知的任何適合偵測器。例如,偵測器可包含光電倍增管(PMT)、電荷耦合裝置(CCD)、時間延遲積分(TDI)相機及此項技術中已知的任何其他適合偵測器。偵測器亦可包含非成像偵測器或成像偵測器。以此方式,若偵測器係非成像偵測器,則偵測器之各者可經組態以偵測散射光之某些特性(諸如強度),但可未經組態以偵測依據成像平面內之位置之此等特性。因而,由包含於基於光學之子系統之偵測通道之各者中之偵測器之各者產生之輸出可為信號或資料而非影像信號或影像資料。在此等例項中,一電腦子系統(諸如電腦子系統36)可經組態以自偵測器之非成像輸出產生樣品之影像。然而,在其他例項中,偵測器可組態為成像偵測器,其等經組態以產生成像信號或影像資料。因此,基於光學之子系統可經組態以依數種方式產生本文中描述之光學影像或其他基於光學之輸出。
應注意,本文中提供圖1以大體上繪示可包含於本文中描述之系統實施例中或可產生由本文中描述之系統實施例使用之基於光學之輸出的一基於光學之子系統之一組態。顯然,如通常在設計一商業系統時所執行,本文中描述之基於光學之子系統組態可經更改以最佳化基於光學之子系統之效能。另外,可使用(例如,藉由將本文中描述之功能性加至一現有系統)一現有系統(諸如在商業上可購自加利福尼亞州苗必達市(Milpitas)KLA-Tencor之29xx/39xx及Puma 9xxx系列工具)來實施本文中描述之系統。對於一些此等系統,可提供本文中描述之實施例作為系統之選用功能性(例如,除系統之其他功能性以外)。或者,本文中描述之基於光學之子系統可「從頭開始」設計以提供一全新基於光學之子系統。
耦合至基於光學之子系統之電腦子系統36可以任何適合方式(例如,經由一或多個傳輸媒體,其可包含「有線」及/或「無線」傳輸媒體)耦合至基於光學之子系統之偵測器,使得電腦子系統可接收由偵測器針對樣品產生之輸出。電腦子系統36可經組態以使用偵測器之輸出執行本文中進一步描述之若干功能。
圖1中展示之電腦子系統(以及本文中描述之其他電腦子系統)在本文中亦可稱為(若干)電腦系統。本文中描述之(若干)電腦子系統或系統之各者可採取各種形式,包含一個人電腦系統、影像電腦、主機電腦系統、工作站、網路設備、網際網路設備或其他裝置。一般而言,術語「電腦系統」可廣泛定義為涵蓋具有一或多個處理器(其執行來自一記憶體媒體之指令)之任何裝置。(若干)電腦子系統或系統亦可包含此項技術中已知的任何適合處理器,諸如一並行處理器。另外,(若干)電腦子系統或系統可包含作為一獨立或一網路化工具之具有高速處理及軟體之一電腦平台。
若系統包含一個以上電腦子系統,則不同電腦子系統可彼此耦合,使得可在電腦子系統之間發送影像、資料、資訊、指令等,如本文中進一步描述。例如,電腦子系統36可藉由任何適合傳輸媒體耦合至(若干)電腦子系統102(如由圖1中之虛線展示),傳輸媒體可包含此項技術中已知的任何適合有線及/或無線傳輸媒體。亦可藉由一共用電腦可讀儲存媒體(未展示)有效地耦合此等電腦子系統之兩者或更多者。
系統亦包含一基於電子束之子系統,其經組態以藉由將電子引導至樣品(或用電子在其上方掃描)且偵測來自樣品之電子而針對樣品產生基於電子束之輸出。在圖1中展示之一項此實施例中,基於電子束之子系統包含可耦合至電腦子系統124之電子柱122。
亦如圖1中展示,電子柱包含電子束源126,其經組態以產生由一或多個元件130聚焦至樣品128之電子。電子束源可包含例如一陰極源或發射器尖端,且一或多個元件130可包含例如一槍透鏡、一陽極、一束限制光圈、一閘閥、一束電流選擇光圈、一物鏡及一掃描子系統,其等全部可包含此項技術中已知的任何此等適合元件。
可藉由一或多個元件132將自樣品返回之電子(二次電子)聚焦至偵測器134。一或多個元件132可包含例如一掃描子系統,其可為包含於(若干)元件130中之相同掃描子系統。
電子柱可包含此項技術中已知的任何其他適合元件。另外,電子柱可如以下專利中描述般進一步組態:在2014年4月4日授與Jiang等人之美國專利第8,664,594號、在2014年4月8日授與Kojima等人之美國專利第8,692,204號、在2014年4月15日授與Gubbens等人之美國專利第8,698,093號及在2014年5月6日授與MacDonald等人之美國專利第8,716,662號,該等案如全文闡述以引用的方式併入本文中。
儘管電子柱在圖1中展示為經組態使得電子依一傾斜入射角引導至樣品且依另一傾斜角自樣品散射,然應瞭解,電子束可依任何適合角度引導至樣品及自樣品散射。另外,如本文中進一步描述,基於電子束之子系統可經組態以使用多種模式針對樣品產生基於電子束之輸出(例如,具有不同照明角度、收集角度等)。基於電子束之子系統之多種模式可在基於電子束之子系統之任何輸出產生參數方面不同。
電腦子系統124可如上文描述般耦合至偵測器134。偵測器可偵測自樣品之表面返回之電子,藉此形成樣品之電子束輸出及/或影像。電子束輸出及/或影像可包含任何適合電子束輸出及/或影像。電腦子系統124可 經組態以使用由偵測器134產生之輸出針對樣品執行本文中進一步描述之一或多項功能。電腦子系統124可經組態以執行本文中描述之(若干)任何額外步驟。
應注意,本文中提供圖1以大體上繪示可包含於本文中描述之實施例中之一基於電子束之子系統之一組態。如同上文描述之基於光學之子系統,如通常在設計一商業基於電子束之子系統時所執行,本文中描述之基於電子束之子系統組態可經更改以最佳化基於電子束之子系統之效能。另外,可使用(例如,藉由將本文中描述之功能性加至一現有系統)一現有系統(諸如在商業上可購自KLA-Tencor之eSxxx及eDR-xxxx系列工具)來實施本文中描述之系統。對於一些此等系統,可提供本文中描述之實施例作為系統之選用功能性(例如,除系統之其他功能性以外)。或者,本文中描述之系統可「從頭開始」設計以提供一全新系統。
本文中描述之系統亦可包含經組態以針對樣品產生其他輸出之一或多個額外子系統,諸如一基於離子束之子系統。可如圖1中關於基於電子束之子系統所展示般組態此一子系統,惟電子束源可由此項技術中已知的任何適合離子束源取代除外。另外,子系統可為任何其他適合基於離子束之子系統,諸如包含於市售聚焦離子束(FIB)系統、氦離子顯微鏡(HIM)系統及二次離子質譜(SIMS)系統中之子系統。
系統亦包含一或多個電腦子系統(例如,圖1中展示之(若干)電腦子系統102),其等經組態以接收針對樣品產生之基於光學之輸出及基於電子束之輸出。例如,如圖1中展示,(若干)電腦子系統102可耦合至電腦子系統36及電腦子系統124,使得(若干)電腦子系統可接收由偵測器28及34產生之基於光學之輸出及由偵測器134產生之基於電子束之輸出。儘管(若干) 電腦子系統可自耦合至基於光學及電子束之子系統之其他電腦子系統接收基於光學之輸出及基於電子束之輸出,然(若干)電腦子系統可經組態以直接自產生輸出之偵測器接收基於光學及電子束之輸出(例如,若(若干)電腦子系統102直接耦合至圖1中展示之偵測器)。
如上文提及,基於光學及電子束之子系統可經組態以將能量(例如,光、電子)引導至樣品及/或用能量在樣品之一實體版本上方掃描,藉此針對樣品之實體版本產生實際輸出及/或影像。以此方式,基於光學及電子束之子系統可組態為「實際」子系統而非「虛擬」系統。然而,圖1中展示之(若干)電腦子系統102包含一或多個「虛擬」系統108,其等經組態以使用針對樣品產生之基於光學之輸出及基於電子束之輸出之至少一些執行一或多項功能,該一或多項功能可包含本文中進一步描述之一或多項功能之任一者。
(若干)虛擬系統提供具有功能隨機存取條件檢索能力之大容量影像儲存及檢索。由此(等)虛擬系統提供之大容量儲存基礎設施實現本文中進一步描述之實施例之虛擬多通道態樣(例如,體積、高光譜檢查)。另外,一基於深度學習之虛擬多通道圖塊處理器可經組態以對樣本圖塊執行檢查操作(例如,針對不同焦平面、光譜、孔徑等產生且連續記錄之輸出可被視為一單一資料集)。本文中描述之(若干)模型(可能在儲存於(若干)虛擬系統中之影像資料上操作)亦可提供用於一多通道虛擬系統之另一影像獲取資料源。換言之,本文中描述之(若干)模型之結果可被視為用於本文中描述之一多通道檢查程序或另一程序之另一資訊通道。
一或多個虛擬系統無法將樣品安置於其中。特定言之,(若干)虛擬系統並非基於光學之子系統10或基於電子束之子系統122之部分,且不具有 處置樣品之實體版本之任何能力。換言之,在組態為一虛擬系統之一系統中,其之一或多個「偵測器」之輸出可為先前由一實際系統之一或多個偵測器產生且儲存於虛擬系統中之輸出,且在「成像及/或掃描」期間,虛擬系統可重播經儲存輸出就好像正成像及/或掃描樣品一樣。以此方式,用一虛擬系統成像及/或掃描樣品可看似就好像正用一實際系統成像及/或掃描一實體樣品一樣,而實際上,「成像及/或掃描」涉及以與可成像及/或掃描樣品相同之方式簡單重播放樣品之輸出。
在共同轉讓之以下專利中描述組態為「虛擬」檢查系統之系統及方法:於2012年2月28日授與Bhaskar等人之美國專利第8,126,255號及於2015年12月29日授與Duffy等人之美國專利第9,222,895號,該等案之兩者如全文闡述以引用的方式併入本文中。可如此等專利中描述般進一步組態本文中描述之實施例。例如,可如此等專利中描述般進一步組態本文中描述之一或多個電腦子系統。另外,可如上文引用之Duffy之專利中描述般執行將一或多個虛擬系統組態為一CCS系統。本文中描述之永久儲存機構可具有分散式計算及儲存架構(諸如CCS架構),但本文中描述之實施例不限於該架構。
如上文進一步提及,基於光學之子系統可經組態以用多種模式產生樣品之輸出。以此方式,在一些實施例中,基於光學之輸出包含由基於光學之子系統用基於光學之子系統之一參數之兩個或更多個不同值產生之輸出。一般而言,一「模式」可由用於針對一樣品產生輸出及/或影像之基於光學之子系統之參數值定義。因此,不同之模式可在子系統之光學參數之至少一者之值方面不同。例如,在一基於光學之子系統之一項實施例中,多種模式之至少一者針對照明使用至少一個波長之光,其不同於用於 多種模式之至少另一者之照明之至少一個波長之光。如本文中進一步描述(例如,藉由使用不同光源、不同光譜濾光片等),對於不同模式,模式可在照明波長方面不同。在另一實施例中,多種模式之至少一者使用基於光學之子系統之一照明通道,其不同於用於多種模式之至少另一者之基於光學之子系統之一照明通道。例如,如上文提及,基於光學之子系統可包含一個以上照明通道。因而,不同照明通道可用於不同模式。
以一類似方式,基於電子束之輸出可包含由基於電子束之子系統用基於電子束之子系統之一參數之兩個或更多個不同值產生之輸出。例如,基於電子束之子系統可經組態以用多種模式產生樣品之輸出。多種模式可由用於針對一樣品產生輸出及/或影像之基於電子束之子系統之參數值定義。因此,不同之模式可在子系統之電子束參數之至少一者之值方面不同。例如,在一基於電子束之子系統之一項實施例中,多種模式之至少一者針對照明使用至少一個入射角,其不同於用於多種模式之至少另一者之照明之至少一個入射角。
系統包含由一或多個電腦子系統執行之一或多個組件,且一或多個組件包含經組態以針對樣品執行一或多個模擬之一或多個模型。例如,系統包含由(若干)電腦子系統102執行之(若干)組件100。(若干)組件100包含(若干)模型104。(若干)模型可包含本文中描述之多種(若干)模型。
在一項實施例中,一或多個模型包含一或多個基於深度學習之模型。一般而言,「深度學習」(亦稱為深度結構化學習、階層學習或深度機器學習)係基於嘗試模型化高階資料抽象之一組演算法之機器學習之一分支。在一簡單情況中,可存在兩組神經元:一組神經元接收一輸入信號且一組神經元發送一輸出信號。當輸入層接收一輸入時,其將輸入之一修 改版本傳遞至下一層。在一深度網路中,輸入與輸出之間存在許多層(且層並非由神經元構成但如此想可有幫助),而容許演算法使用多個處理層(由多個線性及非線性變換組成)。
深度學習係基於資料之學習表示之機器學習方法之一更廣泛家族之部分。可以許多方式(諸如每像素強度值之一向量)或以一更抽象方式(如一組邊緣、特定形狀之區等)表示一觀察(例如,一影像)。在簡化學習任務(例如,面部辨識或面部表情辨識)時,一些表示比其他表示更佳。深度學習之承諾之一者係用進行無監督或半監督特徵學習及階層特徵提取之高效演算法取代手工特徵。
此領域中之研究嘗試進行更佳表示且建立模型以自大規模未標記資料學習此等表示。一些表示受神經科學之進步之啟發且鬆散地基於一神經系統中之資訊處理及通信圖案之解釋,諸如嘗試定義各種刺激與腦中之相關聯神經元回應之間的一關係之神經編碼。
各種深度學習架構(諸如深度神經網路、卷積深度神經網路、深度信賴網路及遞迴式神經網路)已經應用於如電腦視覺、自動語音辨識、自然語言處理、音訊辨識及生物資訊學之領域,其中其等已經展示以產生各種任務之最新技術結果。
在另一實施例中,一或多個模型包含一或多個基於機器學習之模型。機器學習可大體上定義為向電腦提供學習之能力而無需明確程式化之一人工智慧(AI)類型。機器學習集中於可教示其等本身在暴露於新資料時成長且改變之電腦程式之開發。換言之,機器學習可定義為「給予電腦以學習之能力而無需明確程式化」之電腦科學之子體。機器學習探索對可自資料學習且對資料進行預測之演算法之研究及建構-此等演算法藉由透過 自樣本輸入建立一模型進行資料驅動預測或決策而克服以下嚴格靜態程式指令。
可如以下各者中描述般進一步組態本文中描述之機器學習模型:Sugiyama、Morgan Kaufmann之「Introduction to Statistical Machine Learning」(2016年,534頁);Jebara之「Discriminative,Generative,and Imitative Learning」(MIT Thesis,2002年,212頁);及Hand等人之「Principles of Data Mining(Adaptive Computation and Machine Learning)」(MIT Press,2001年,578頁);其等如全文闡述以引用的方式併入本文中。可如此等參考中描述般進一步組態本文中描述之實施例。
一或多個電腦子系統亦經組態以基於基於光學之輸出、基於電子束之輸出、由一或多個虛擬系統執行之一或多項功能之結果及由一或多個模型執行之一或多個模擬之結果之至少兩者偵測樣品上之缺陷。例如,如本文中進一步描述,(若干)電腦子系統可經組態以對針對一樣品產生之基於電子束之輸出及基於光學之輸出兩者執行一種晶粒對資料庫檢查。可在樣品處理之任何階段(例如,晶圓製造中之任何階段)執行本文中描述之缺陷偵測,以關於可存在於樣品上之任何類型之缺陷(例如,良率相關缺陷)判定樣品之狀態。
因此,本文中描述之實施例本質上係一混合檢查器,其係若干系統之一系統,且其包含用於出於偵測缺陷之目的而融合來自一基於光學之子系統(例如,一光學檢查器)及一基於電子束之子系統(例如,一SEM掃描檢查器或再檢測系統)之資料的演算法及/或方法。所得偵測能力基本上不受限(即,相對於一習知系統,系統在偵測其他空間隨機缺陷類型時亦應更佳)。
儘管本文中描述之實施例在某種程度上可比包含僅一單一類型之輸出產生硬體(例如,成像硬體)之檢查系統更複雜(在操作上及計算上),然本文中描述之實施例提供缺陷偵測之一網路靈敏度改良,且本文中描述之實施例之設置可偏向於偵測系統缺陷。「系統」缺陷在此項技術中可大體上定義為由在樣品上執行之一程序與在樣品上形成之一設計之間的一相互作用引起之缺陷。因此,「系統」缺陷可形成於跨一樣品之多個重複位置處。
在另一實施例中,亦基於樣品之設計資訊結合基於光學之輸出、基於電子束之輸出、一或多項功能之結果及一或多個模擬之結果之至少兩者執行偵測缺陷。例如,本文中描述之實施例可經組態以融合來自三個或更多個源(例如,設計、光學及電子束)之資料以進行缺陷偵測。在一項此實施例中,可如本文中進一步描述般使用設計資訊以產生與基於光學之輸出或基於電子束之輸出之一者一起使用之樣品之一參考(可針對不同輸出產生不同參考)。另外或替代地,設計資訊可用於判定缺陷偵測之一或多個參數,用基於光學之輸出、基於電子束之輸出、一或多項功能之結果及一或多個模擬之結果之至少兩者執行缺陷偵測。基於設計判定之缺陷偵測之一或多個參數可包含例如關注區域(執行缺陷偵測之區域)、關鍵區域(相較於非關鍵區域應執行較高靈敏度檢查之區域)等。亦可或替代地以本文中進一步描述之多種其他方式使用設計資訊(或自設計資訊導出之資訊,諸如一背景映射)。
在一些實施例中,偵測缺陷包含比較基於光學之輸出與一第一參考且比較基於電子束之輸出與一第二參考。可以對電子束及光學影像兩者之一種晶粒對資料庫檢查有效地執行如上文描述之偵測缺陷。由於基於光學 之輸出及基於電子束之輸出將具有實質上不同特性(例如,解析度、對比度等),故不同參考可用於偵測具有不同輸出之缺陷。在一些此等實施例中,儘管缺陷偵測可包含兩個各別比較,然各別比較步驟可不同於針對檢查及接著缺陷再檢測執行之比較步驟,此係因為直至執行兩個比較之後,可不進行關於樣品上之任何位置處是否存在缺陷之決策。以此方式,兩個比較步驟之結果可用於偵測樣品上之缺陷,而非使用一個比較偵測缺陷且使用另一比較確認經偵測缺陷。另外,若樣品上之未知位置處存在缺陷,則可在比較之同時針對該等位置執行兩個比較。換言之,可針對檢查而非檢查及接著再檢測執行兩個比較。
在一項實施例中,(若干)虛擬系統經組態以基於樣品之設計資訊產生樣品之一參考,且參考用於偵測樣品上之缺陷。(若干)虛擬系統亦可經組態以產生上文描述之兩個參考。(若干)虛擬系統可經組態以如本文中進一步描述般或以此項技術中已知的任何其他方式(例如,使用一生成模型)產生參考。
以此方式,本文中描述之缺陷偵測可為晶粒對資料庫類型檢查,其中設計充當不同類型之資訊及/或輸出之間的一種連接。設計亦可提供約束且有助於學習光學模態與電子束模態之間的正確變換關係。例如,在晶圓上之一個位置處產生之基於光學之輸出可與在一相同位置處產生之基於電子束之輸出相關,使得可在一起使用兩個輸出以偵測樣品上之缺陷。
在一項此實施例中,系統可利用用於晶粒對資料庫檢查演算法之機器學習及/或作為用於一基於光學之子系統之一地面實況訓練器。例如,此處之地面實況可為一參考影像。使用經訓練以將一個域中之一影像轉換為另一域中之一影像之(若干)模型,可將高可信度影像源(例如,自一設 計佈局或一基於電子束之影像呈現)轉換為應看似在基於光學之子系統上且可用作一參考影像之事物,藉此本質上提供實施晶粒對資料庫檢查之一方式。以此方式,本文中描述之實施例可高度利用光學影像與SEM影像之間的關係。實施例可產生來自光學檢查「虛擬通道」(例如,1億至10億個光學位點)以及基於電子束之子系統(例如,多達500,000個位點)之結果之一聯合,藉此使能夠依電子束精確性檢查或驗證光學影像。
本文中描述之實施例亦可經組態以針對基於電子束/地面實況分類自動利用(若干)任何模型,同時重新調諧基於光學之子系統。例如,可利用以本文中描述之方式處理實質上大量光學資料之並行性,同時亦自一基於電子束之子系統(影像獲取外加分類或偵測)收集「地面實況」(例如,高解析度及高可信度)資料。運用深度學習分類本質上係「辨識」,其中可教示一個實例且接著使用其發現影像資料中之相同實例之其他例項。
因此,本文中描述之實施例可經組態以進行晶粒對資料庫電子束檢查。例如,如上文描述,核心技術方法在某種意義上對晶粒對資料庫不可知。基於電子束之晶粒對資料庫應用可分解為不同類別。一個類別係關鍵點檢查(CPI)晶粒對資料庫。CPI檢查係藉由在一樣品上之僅離散位置(例如,已知關鍵點)處抓取輸出而執行之一類型之檢查。在此類型之檢查中,晶粒對資料庫及單一影像偵測對於2倍增益之處理量係重要的。另一類別係全晶粒對資料庫(即,針對一全晶粒或一全樣品執行之晶粒對資料庫),其可用於發現多種問題,包含光學近接校正(OPC)系統缺陷、硬重複缺陷及隨機缺陷。「硬重複缺陷」可大體上定義為在一晶粒中之一圖案之每一例項處重複而無關於用於印刷圖案例項之程序條件之一缺陷。
在另一實施例中,(若干)虛擬系統經組態以無限地儲存針對樣品產生 之全部基於光學之輸出及基於電子束之輸出。例如,(若干)虛擬系統可組態為一永久儲存機構,其能夠儲存整個晶圓之光學影像或每晶圓之一影像子組(例如,1億至10億個圖塊)之堆疊。在一額外實例中,(若干)虛擬系統可儲存一晶圓之一規定子組之光學及電子束影像。
在另一實施例中,(若干)虛擬系統經組態以在不使用針對樣品產生之基於光學之輸出及基於電子束之輸出之情況下產生樣品之資訊,且將由一或多個虛擬系統產生之資訊儲存於一或多個虛擬系統中。例如,如本文中進一步描述,(若干)虛擬系統可經組態以自樣品之設計資訊(且在不具針對樣品產生之基於光學之輸出及基於電子束之輸出之情況下)產生樣品之一參考。可由(若干)虛擬系統產生之其他類型之資訊包含自設計資訊產生之樣品之一背景映射。背景映射可指示樣品上之不同類型之圖案及/或區域以及待用於不同類型之區域及/或圖案之缺陷偵測之可能不同參數。資訊亦可包含來自諸如本文中進一步描述之另一系統之資訊。
在一些實施例中,(若干)電腦子系統經組態以接收由另一系統產生之樣品之資訊,一或多個虛擬系統經組態以儲存資訊,且基於經儲存資訊結合基於光學之輸出、基於電子束之輸出、一或多項功能之結果及一或多個模擬之結果之至少兩者執行偵測缺陷。例如,如圖1中展示,(若干)電腦子系統102可耦合至另一系統110,使得(若干)電腦子系統可自該另一系統接收資訊。該另一系統可包含多種其他系統,諸如一EDA工具、另一電腦系統(其可針對各種不同能力組態)、一半導體製造工具、另一檢查系統、另一品質控制類型系統等。樣品之資訊可包含樣品之多種資訊,諸如關於樣品之設計之資訊、對樣品執行之一或多個製程、一或多個檢查、量測或對樣品或相同或不同類型之另一樣品執行之其他品質控制程序、針對樣品 產生之模擬結果、針對樣品產生之來自另一源之資訊等。以此方式,本文中描述之實施例可存取且獲取可於本文中描述之若干不同步驟中使用之多種先前資訊及參考資料。
在一個此實例中,來自另一系統之資訊可包含樣品之一設計中之關鍵圖案之資訊,其可包含於此資訊之一資料庫中。可以多種方式產生此資訊,諸如藉由一程序窗限定(PWQ)方法、實驗性地執行之一實驗設計(DOE)、模擬、體積診斷(VD)、圖案保真度分析(PFA)等。此資訊亦可包含比例光罩PWQ分析。
可如以下專利中描述般執行PWQ方法:於2005年6月7日授與Peterson等人之美國專利第6,902,855號、於2008年8月26日授與Peterson等人之美國專利第7,418,124號、於2010年6月1日授與Wu等人之美國專利第7,729,529號、於2010年8月3日授與Kekare等人之美國專利第7,769,225號、於2011年10月18日授與Pak等人之美國專利第8,041,106號、於2012年2月7日授與Wu等人之美國專利第8,111,900號,及於2012年7月3日授與Peterson等人之美國專利第8,213,704號,該等案如全文闡述以引用的方式併入本文中。本文中描述之實施例可包含在此等專利中描述且可如此等專利中描述般進一步組態之任何(若干)方法之任何(若干)步驟。可如此等專利中描述般印刷一PWQ晶圓。
亦可藉由在針對重疊邊際判定設計之一PWQ方法中用一程序之一或多個參數之兩個或更多個不同值對樣品執行該程序而產生來自另一系統之資訊。例如,可藉由執行DOE(諸如印刷及檢查重疊PWQ晶圓)作為系統缺陷之一產生器而識別關鍵圖案。可以任何適合方式(包含如上文引用之專利中描述之方式)執行重疊邊際判定。因此,一重疊PWQ方法可用於在 一樣品上印刷此等晶粒,且樣品之檢驗可指示哪些圖案係關鍵的。特定言之,可執行一標準PWQ程序,其後接著一第一遍檢查,其可使用一標準缺陷偵測演算法執行。接著,可使用用於偵測之一已知良好偵測演算法執行一標準缺陷再檢測程序。可基於第一遍檢查及缺陷再檢測程序之結果判定全部故障位點。接著,可針對故障位點產生任何新關注圖案(POI)。
在一額外此實施例中,可藉由在一焦點曝光矩陣(FEM)方法中用一程序之一或多個參數之兩個或更多個不同值執行一程序而識別關鍵圖案。例如,用於識別關鍵圖案之一個策略係使用DOE(諸如FEM方法及/或晶圓)作為系統缺陷之一產生器。FEM方法大體上涉及以一微影程序之焦點及曝光參數值之不同組合在一晶圓上印刷若干晶粒。接著,可以任何適合方式檢查不同晶粒以偵測不同晶粒中之缺陷。接著,該資訊通常用於判定用於微影程序之焦點及曝光之一程序窗。因此,一FEM方法可用於在一樣品上印刷此等晶粒,且可藉由一檢查、計量等程序識別樣品上之關鍵圖案。
可用一實驗訓練之程序模型(諸如在商業上可購自Coventor,Inc.,Cary,NC之SEMulator 3D)執行用於識別關鍵圖案之模擬。一嚴格微影模擬模型之一實例係Prolith,其在商業上可購自KLA-Tencor,其可與SEMulator 3D產品合作使用。然而,可用自設計資料產生實際樣品時涉及之(若干)程序之任一者之任何適合模型執行模擬。以此方式,一模型可用於模擬其上已形成一設計之一樣品在樣品空間中將看似如何(不一定為此一樣品對於諸如一成像或計量系統之一系統將看似如何)。因此,模型之輸出可表示樣品在樣品之2D或3D空間中將看似如何。
可以任何適合方式執行體積診斷。例如,關聯來自相對較大量之裝 置之缺陷位置、對具有最高良率影響之缺陷位置排定優先級,且採取立即校正行動藉由在製造中加速新設計時實現更快速上市時間而具有潛在巨大成本效益。此方法稱為體積診斷,且亦可用於幫助識別假性程序偏離之根本原因,且實現持續良率改良,此增大長期製造效率。
可如Duffy等人於2016年4月28日發佈之美國專利申請公開案第2016/0116420號中描述般執行PFA,該案如全文闡述以引用的方式併入本文中。可如此公開案中描述般進一步組態本文中描述之實施例。
在另一實例中,來自另一系統之資訊可包含針對樣品產生之至今為止之信號,諸如量測、檢查、其他良率相關分析等。至今為止之信號亦可包含可以此項技術中已知的任何適合方式執行之邊緣放置誤差(EPE)分析及/或預測EPE(PEPE)之結果。以此方式,EPE分析可包含一或多個實驗程序及/或一或多個模擬程序。
在一額外實例中,來自另一系統之資訊可包含樣品之設計資訊,諸如產品資訊、檢查設計(DFI)資訊、目標資訊及設計融合資訊。設計融合係目標為將來自代工廠客戶之關鍵設計資訊傳送至晶圓廠以由並非由代工廠客戶所擁有之或操作之工具使用。此關鍵設計資訊可包含例如佈局之部分之關注區域、時序關鍵網路及類似物。在一些此等實例中,另一系統可包含一電子設計自動化(EDA)工具。以此方式,本文中描述之實施例可具有利用由EDA電腦輔助設計(CAD)工具產生之資料之一增添維度。EDA工具可包含任何適合市售EDA工具。
在又一實例中,來自另一系統之資訊可包含標準參考影像及/或樣品上之雜訊源之資訊。可以任何適合方式產生標準參考影像。可以任何適合方式產生雜訊源之資訊。來自另一系統之資訊可進一步包含經產生影像。 可以任何適合方式(諸如藉由一模型及/或另一模擬模型)產生經產生影像。
本文中描述之樣品可包含多種不同晶圓,諸如晶圓之標稱例項及/或晶圓之非標稱例項。如本文中使用之術語「標稱例項」大體上定義為已知其上不存在缺陷之樣品「例項」。例如,可用用於在(若干)樣品上形成一設計之一或多個程序(已知產生非缺陷樣品)之一或多個參數處理(若干)標稱樣品。換言之,用於製造(若干)樣品之(若干)程序可為(若干)已知良好程序。另外,(若干)樣品之「標稱例項」可包含已判定不存在缺陷之例項(例如,樣品上之位置、整個樣品等)。例如,(若干)樣品之標稱例項可包含一檢查或其他品質控制相關程序(例如,缺陷再檢測、計量等)尚未偵測到任何缺陷之位置及/或樣品。
相比之下,如本文中使用之術語(若干)樣品之「非標稱例項」大體上定義為以某種方式(有意地(經由如本文中進一步描述之程式化或合成缺陷)或無意地(經由藉由檢查、缺陷再檢測、計量等在一或多個樣品上發現之缺陷))「有缺陷」之(若干)樣品之「例項」。另外,應注意,即使未在樣品上偵測到「缺陷」本身,一樣品仍可「有缺陷」。例如,若一或多個量測指示形成於樣品上之一設計之一或多個特性在該一或多個特性之一所要值範圍外,則一樣品可被視為「有缺陷」。
樣品亦可包含產品晶圓(其上可形成有檢查設計(DFI)結構)及/或特性化載體(即,電測試結構)。可如本文中進一步描述般組態DFI結構。
可藉由自另一系統接收或獲取資訊而執行自另一系統本身接收或獲取資訊。然而,自另一系統接收及/或獲取資訊可包含自其中已由另一系統儲存資訊之一儲存媒體(未展示)獲取由另一系統產生之資訊。然而,本文中描述之實施例亦可經組態以產生可自另一系統接收之上文描述之任何 資訊。例如,本文中描述之實施例可經組態以藉由對實際樣品執行一或多個程序(例如,對一實際實體樣品執行一或多個製程,且接著對實際實體樣品執行一或多個量測及/或成像程序)而產生上文描述之資訊。因此,可使用本文中描述之(若干)電腦子系統與本文中描述之一或多個其他子系統(例如,一或多個半導體製造子系統、一或多個成像子系統、一或多個計量子系統等)產生上文描述之資訊。在另一實例中,可藉由本文中描述之系統(例如,藉由執行一或多個模擬(在使用或不使用實際實體樣品之情況下))產生上文描述之資訊。在一個此實例中,一或多個電腦子系統可經組態以使用(若干)模型之一或多者及/或另一模型產生(若干)樣品之標稱及/或非標稱例項之資訊。
因此,本文中描述之實施例可經組態以用於可在某一量測及/或檢查工具及/或感測器(諸如本文中描述之基於光學之子系統及/或基於電子束之子系統)上執行之原始資料獲取。亦可使用一虛擬多通道系統及/或方法執行原始資料獲取。一虛擬多通道方法可包含針對相同位置連續以不同光學或電子束模式收集輸出或影像資料,且將影像資料儲存於一虛擬系統中以進行後處理,看似就好像各別影像係由一多通道檢查器收集之一個影像般執行後處理。在Duffy等人於2016年1月28日發佈之美國專利申請公開案第2016/0025648號中描述可用於虛擬多通道資料獲取之系統及方法之實例,該案如全文闡述以引用的方式併入本文中。可如此公開案中描述般進一步組態本文中描述之實施例。亦可對產品幾何形狀(例如,用樣品形成或形成於樣品上之裝置之圖案化特徵)及/或形成於樣品上之計量目標執行原始資料獲取。另外,可使用晶圓上儀器執行原始資料獲取。
系統可結合其他永久資訊使用本文中描述之永久資訊(即,儲存於(若 干)虛擬系統中之資訊)來執行基於z之檢查(例如,使用透過形成於樣品上之材料或層之堆疊獲取之資料)。例如,針對樣品在不同實體平面(程序中之層)產生之輸出可儲存於本文中描述之(若干)虛擬系統中。接著,此資訊可用於判定在樣品上偵測之一缺陷之一源。換言之,(若干)虛擬系統中之永久儲存資訊可藉由考量針對樣品上之不同層產生之資訊(例如,基於光學之輸出及/或基於電子束之輸出)而用於缺陷源分析。以此方式,儲存於(若干)虛擬系統中之資訊可用於將在一個層上偵測之缺陷分離成定位於該層上之缺陷及定位於樣品上之另一(例如,先前)層上之缺陷。因而,資訊可用於識別在一樣品之一層上偵測之缺陷,其等實際上係先前層妨礙缺陷(nuisances defect)(即,存在於一不同層上且對產生用於檢查之輸出之子系統可見且尚非使用者關注之缺陷之缺陷)。
在另一實施例中,樣品包含DFI結構,且一或多個電腦子系統經組態以提取針對DFI結構產生之基於光學之輸出及基於電子束之輸出之至少一者,且基於經提取輸出執行一或多項功能。DFI結構可包含程式化缺陷及/或電壓對比計量或缺陷測試結構。以此方式,DFI結構本質上可為嵌入式儀器。DFI結構之設計考量包含實現跨越工具之設置可變空間之一工具設置條件範圍之選擇的一組結構(例如,包含證實工具能夠在光譜帶之各者處達成之最佳信號對雜訊效能之程式化缺陷結構等)。DFI結構之設計考量亦包含將關鍵光學重要幾何結構壓縮為適於評估雜訊(用於參考佈局)及信號(用於程式化缺陷結構)兩者之空間變動之一相對稀疏DFI結構組。DFI結構亦可用於參考資料(其可用作本文中進一步描述之參考資料)之晶圓上提取。換言之,系統可藉由將演算法緊密耦合至針對以實質上高空間解析度產生光學雜訊及信號資料最佳化之可預測結構存在而採用地面實況資 訊,諸如來自晶粒中DFI結構之資訊(例如,在虛設填充區域中)。
在一些實施例中,偵測缺陷包含體積檢查。一般而言,體積檢查包含使用一檢查工具在來自樣本之複數個xy位置之各者之複數個焦點設定處收集強度資料集。依據焦點設定針對xy位置各者之經收集強度資料集提取具有複數個係數之一多項式方程式。用一對應係數影像平面表示複數個xy位置之係數值集之各者。接著,分析一目標係數影像平面集及一參考係數影像平面集以偵測樣本上之缺陷。以此方式,出於分離信號與雜訊之目的,可將一體積影像值組變換至一傅立葉(Fourier)空間域中。可如Chen等人於2016年7月21日發佈之美國專利申請公開案第2016/0209334號中描述般進一步執行體積檢查,該案如全文闡述以引用的方式併入本文中。可如此公開案中描述般進一步組態本文中描述之實施例。在本文中描述之實施例中,基於光學之子系統之基於光學之輸出可包含光學影像之體積堆疊(例如,在3個z堆疊至5個z堆疊之間)以能夠解決光學空間中之所謂「相位」問題。光學系統值組概念亦可經擴展以包含除z焦點影像以外的其他光學模式,諸如不同波長及孔徑。
本文中描述之(若干)模型可具有一對多變換問題。例如,由於實際上全部光學系統可模型化為一薄膜堆疊,故膜堆疊中之變動連同晶圓表面構形之變動暗示在自基於電子束之輸出變為基於光學之輸出時存在一對多映射。當然,全部此等變動可經學習,但其等亦為雜訊源,尤其在其等局部發生時(例如,歸因於局部色彩變動)。客戶通常對許多結構具有OPC校正,此導致與虛設填充或未校正層上之對比度不同之對比度。存在應付此等情況之大量手工演算法但其等皆非完全有效。
基於比較之演算法亦存在限制。例如,先前層雜訊及線邊緣粗糙度 (LER)就像一些光學檢查器中之眾所周知「鋁顆粒雜訊」問題,此以一實際方式難以解決。原因係簡單的--此問題之根源係晶粒對晶粒檢查範例,其極其擅長發現隨機事件。習知晶粒對資料庫呈現方法實質上亦具挑戰性。最後,即使資料庫經呈現,仍接著使用一比較演算法。通常在意謂存在兩種事物時使用字詞「信號」a)吾人是否看見一基於電子束之子系統上之事件?;及b)晶粒對晶粒比較方法是否超越雜訊底限?在有充分理由之情況下,通常進行嘗試以試圖藉由減去「圖案」且希望信號突出而消除圖案。然而,未在基於電子束之子系統上進行此事項(即使可進行),此係因為解析度可用於對基於電子束之輸出(單一影像)進行一直接調用而不必比較其與一參考。
為克服上文描述之限制,提出結合體積檢查之深度學習。特定言之,據信,一深度學習方法在結合z影像之一光學體積堆疊時尤其優越。後者有助於緩和一對多映射問題且支援「信號」。例如,除「強度」資訊以外,體積資訊亦自基於光學之輸出捕獲「相位」資訊。相比之下,正常基於光學之檢查僅自「強度」起作用,此係不定性之一原因(「一對多」映射中之「多」)。因此,出於解決光學(許多影像可表示)與電子束(地面實況)之間的多對一映射表示問題,本文中描述之實施例可採用透過焦點取得之影像資料圖塊。
在另一實施例中,偵測缺陷包含高光譜檢查。例如,本文中描述之基於光學之子系統之多光譜能力可與高光譜深度學習分析技術合作使用。特定言之,本文中描述之一些基於光學之子系統(例如,包含寬頻光源之基於光學之子系統)具有多種光譜模式,其等各自跨越EM光譜之僅一部分。本文中描述之實施例之內容背景中之「高光譜」之概念意謂自跨越光 譜之一些或全部可用部分之一位置收集輸出及/或影像。以此方式,可在不同光譜模式下針對一樣品上之一單一位置(以及針對其產生輸出之各其他位置)產生多個基於光學之輸出,且可使用在不同光譜模式下產生之兩個或更多個(或全部)輸出執行位置之檢查。
在又一實施例中,偵測缺陷包含多模式檢查。例如,可用不同焦點設定及/或不同光譜設定執行多模式檢查,如上文進一步描述。然而,亦可或替代地用在用於產生基於光學之輸出及/或基於電子束之輸出以進行檢查之基於光學之子系統及/或基於電子束之子系統之任何其他參數方面不同之模式執行多模式檢查。特定言之,可藉由用於產生基於光學之輸出及/或基於電子束之輸出之基於光學之子系統及/或基於電子束之子系統之參數定義用於產生該輸出之一「模式」。因此,在一基於光學之子系統之情況中,可藉由諸如(若干)照明波長、(若干)照明偏光、(若干)照明入射角、(若干)照明孔徑、(若干)偵測波長、(若干)偵測偏光、(若干)偵測角度、(若干)偵測孔徑等之參數值定義一「模式」。可基於一基於電子束之子系統之電子束相關參數以一類似方式定義該子系統之模式。當使用多種模式執行缺陷偵測時,可在不同模式下針對一樣品上之一單一位置(以及針對其產生輸出之各其他位置)產生多個輸出,且可使用在不同模式下產生之兩者或更多個(或全部)輸出執行位置檢查。可以此項技術中已知的任何適合方式執行此檢查。
在一額外實施例中,一或多個模型經組態以分類經偵測缺陷,且一或多個電腦子系統經組態以基於分類結果取樣經偵測缺陷。亦可依據系統可用之任何資料(諸如本文中描述之可自另一系統接收之任何資訊)執行取樣。以此方式,本文中描述之實施例可經組態以進行基於深度學習之取 樣。本文中描述之取樣可為基於深度學習的,其中取樣可為使用深度學習進行分類之一擴展(發現一特定類型之全部影像且取樣其等之一給定百分比)。亦可基於本文中進一步描述之可用先前/參考資料以及由本文中描述之實施例產生之資訊執行基於深度學習之取樣。參考資料可包含關於圖案弱點(對程序變動之不良回彈性)之資訊、設計佈局資訊、計量資訊(來自外部源或原位)。
取樣之結果可用於引導可針對樣品執行之任何後處理。例如,可藉由本文中描述之模型針對經取樣缺陷執行一或多個模擬。另外,可針對設計圖塊及/或缺陷之實驗及/或理論產生之影像執行後處理。
可以一回饋循環執行上文描述之原始資料獲取、取樣及後處理。例如,後處理之結果可用於引導可針對樣品執行之額外原始資料獲取。原始資料獲取及/或使用經獲取原始資料執行之任何功能之結果可輸入至取樣。取樣之結果可用於引導任何額外後處理,其可用於產生任何進一步原始資料獲取。以此方式,可以一信號放大循環執行上文描述之原始資料獲取、取樣及後處理,直至完成程序。
在又另一實施例中,一或多個電腦子系統經組態以使用一或多個模型執行偵測缺陷。(若干)模型亦可用於取代一系列傳統手工檢查演算法以提供遠更穩健的偵測及監測能力。例如,(若干)模型可具備數個樣本(例如,缺陷樣本及非缺陷樣本兩者),且(若干)模型可訓練其等自身以進行缺陷偵測。相比之下,習知缺陷偵測演算法及方法需要一使用者理解需基於其等對其等正觀察之輸入及輸出資料之理解組態於一演算法中之全部輸入。此基於使用者之功能係繁瑣的、費時的且易於出錯的。相比之下,(若干)模型看似有能力且實質上穩健(例如,並不破壞妨礙缺陷偵測,例 如,然而此在手動設置之習知缺陷偵測演算法及方法之情況下相當頻繁地發生)。可基於足以用於學習不同類型之真實及擴增事件之樣本(正及負兩者)執行針對缺陷偵測設置(若干)模型。此缺陷偵測可補充(若干)傳統缺陷偵測演算法及或方法,其可用作用於學習相位之一自舉機制(即,用於光學網路訓練之基於寬頻電漿檢查之PWQ等)。
在另一實施例中,一或多個組件包含一單一配方,其經組態以至少控制基於光學之子系統及基於電子束之子系統。例如,如圖1中展示,(若干)組件100可包含單一配方112。單一配方本質上可為包含條件執行及循環觸發之一超級配方。一單一配方之意圖可為確保系統操作流程內之自我一致性(其比一習知檢查器更複雜)。本文中描述之實施例中之主要自我一致性問題可為空間的(例如,若想要使用以一檢查器或再檢測SEM之多種模式收集之影像或輸出,則必須在相同位置中執行各影像或輸出獲取)。亦可存在可再用配方組件,其等捕獲關於晶圓及晶粒等之佈局之幾何資訊(其可應用在超級配方各處),此可涉及來自不同工具之資料收集。如今,此可手動完成。所提出之系統可藉由考量彙總配方(一超級配方)之收集(而非用於檢查器之各別配方之習知收集、用於電子束再檢測工具之各別配方之收集等)來實施此。
在一些實施例中,(若干)電腦子系統經組態以用在一程序窗限定(PWQ)方法中偵測之缺陷資訊訓練一或多個模型。可如本文中進一步描述般執行PWQ方法。例如,可以一PWQ方法用以程序之一或多個參數之兩個或更多個不同值對一樣品執行該程序。在一個此實例中,用於產生訓練輸入之一個策略係使用DOE(諸如PWQ)作為系統缺陷之一產生器。在PWQ方法中變化之程序之一或多個參數可包含焦點及曝光(例如,如在一 焦點曝光PWQ程序中)。另外,PWQ方法可為一模擬PWQ方法,其中可執行模擬以產生用程序之至少一個參數之不同值處理之樣品之模擬表示。可使用實際樣品及/或模擬樣品進一步執行PWQ方法,如本文中進一步描述。
以此方式,可在本文中描述之實施例中使用之測試樣品可包含程序DOE樣品載體及使用軟體作為系統缺陷之產生器之模擬程序DOE。作為一實例,PWQ晶圓將不僅用作一PWQ載體,而且亦用作系統圖案缺陷之一源。此等產生器可用於訓練(若干)模型。其他類型之樣品及實驗亦可用於訓練。例如,通常用於判定程序窗之PWQ/FEM/重疊PWQ晶圓可在本文中描述之實施例中用作缺陷產生器以訓練任何類別之機器學習系統,包含但不限於用於基於光學之子系統及基於電子束之子系統兩者之深度學習系統。以此方式,PWQ方法可用於產生樣品之非標稱例項,其等可用於訓練(若干)深度學習方法。換言之,用於訓練及最佳化系統之缺陷機構可為系統或程序系統缺陷。另外,由電子束子系統針對樣品及/或其他樣品產生之基於電子束之輸出可用於建立用於學習以及用於驗證之地面實況。
在另一實施例中,本文中描述之實施例可具有執行一或多個PWQ相關特徵之能力。例如,本文中描述之實施例可經組態以進行程序窗探索(PWD),其輸出可用於熱點監測及計量之一參考資料庫。可使用顯影後檢查(ADI)晶圓及蝕刻後檢查(AEI)晶圓、相關聯計量資料及設計執行PWD。一生成程序(諸如本文中進一步描述之生成程序)可自一ADI SEM影像推斷一AEI SEM影像(且反之亦然)。ADI至AEI(或反之亦然)影像產生輸入可包含來自源影像之臨界尺寸(CD)計量資料。在一些例項中,本文中描述之(若干)模型可經組態以學習基於光學之子系統及/或基於電子束 之子系統之輸出與對一樣品執行之一程序之一參數之一或多個值之間的一關係。以此方式,(若干)模型可經組態以自經量測屬性推斷程序條件(例如,焦點、劑量等)。
在一項此實施例中,可藉由PWQ識別POI,如本文中進一步描述。接著,可以標稱調變對全部故障POI位點執行體積檢查。可如本文中進一步描述般執行體積檢查。接著,可針對全部故障及非故障位點產生體積光學圖塊之一隨機樣本。樣本可包含多達5 x 100百萬個圖塊。接著,可執行缺陷再檢測以驗證故障位點。可藉由多樣性取樣選擇經再檢測之故障位點之樣本。可執行缺陷再檢測以驗證由體積檢查識別之故障位點。另外,可執行缺陷再檢測以驗證非故障位點。可將缺陷再檢測之結果(例如,由體積檢查或缺陷再檢測識別之一位點是故障還是未故障)儲存於本文中描述之(若干)虛擬系統中。
接著,系統可訓練(若干)模型。例如,可用體積圖塊、基於電子束之影像及設計剪輯訓練(若干)模型。可輸入全部故障位點且亦可輸入適當數目個非故障位點。可在非訓練位點上驗證訓練。接著,可執行用基於深度學習之缺陷偵測執行體積檢查。例如,可基於體積圖塊影像輸入執行基於深度學習之偵測及/或分類。可使用輸入至(若干)模型之體積影像來檢查標稱位點。
缺陷偵測之結果可用於判定對體積影像執行基於模型之偵測是否消除該檢查與基於電子束之檢查之間的任何間隙。「間隙」在此例項中可定義為由基於電子束之檢查偵測到但未由基於光學之檢查偵測到之缺陷。若該檢查與基於電子束之檢查之間仍存在間隙,則可選擇額外位點進行缺陷再檢測。可對如上文描述之額外位點執行缺陷再檢測以識別故障及非故障 位點。該資訊可用於再訓練(若干)模型。額外體積影像可經產生且輸入至(若干)再訓練模型。該檢查之結果可用於判定該檢查與基於電子束之檢查之間是否仍存在任何間隙。此程序可重複直至已判定使用體積影像執行之基於深度學習之檢查與電子束檢查之間不存在間隙。
當使用來自樣品之非標稱例項之資訊訓練(若干)模型時可進行若干技術考量。例如,按定義,將在非標稱切塊中偵測非標稱例項。另外,在SEM檢查之情況中,在非標稱切塊上,可使用SEM標記缺陷像素。亦可用設計CAD中誘發之合成缺陷利用來自非標稱例項之資訊。誘發此等缺陷之SW可為自動的或半自動的。誘發之例示性缺陷類型可包含:開路、短路、突出、侵入等。接著,可藉由使用(若干)生成模型產生一SEM影像,且可將此等「卡通式」缺陷轉換為一SEM影像上之逼真缺陷。
在一個此實例中,亦可使用一或多個樣品上之缺陷例項執行訓練(若干)模型,且缺陷包含藉由更改一或多個樣品之一設計以在設計中產生合成缺陷而產生之一或多個合成缺陷。如本文中使用之術語「合成」缺陷可大體上定義為有目的地在一樣品上引起(例如,藉由操縱樣品之設計資訊)之一或多個缺陷。因此,「合成」缺陷亦可稱為「假想」缺陷或「程式化」缺陷。在一項此實施例中,為經由藉由使用合成方法將虛擬缺陷事件引入於設計/模擬空間中訓練輸入產生,CAD設計可用於合成地產生缺陷(例如,開路、短路、突出、線端、計量標記等)且接著由如本文中進一步描述之一深度生成或其他模型處理(以在訓練影像上產生實際缺陷),及/或用於在一或多個樣品上印刷合成產生之缺陷,其等接著可用於產生(若干)樣品上之合成產生之缺陷之影像。以此方式,本文中描述之實施例可包含一深度生成模型,其結合在EDA/CAD資料上產生缺陷之一合成方法以在 基於電子束之輸出及基於光學之輸出兩者上產生實際系統及隨機缺陷,以注入至訓練集中而由任何機器學習演算法(包含但不限於(若干)深度學習模型)使用。可用一程式化/圖形EDA編輯器自動化CAD工作,編輯器可包含任何適合EDA軟體、硬體、系統或方法。
可使用樣品之非標稱例項(包含一或多個樣品上之缺陷例項)進一步執行訓練(若干)模型,缺陷包含藉由更改一或多個樣品之一設計以在設計中產生合成缺陷而產生之一或多個合成缺陷,非標稱例項之資訊包含另一模型之輸出,且另一模型之輸出繪示其上印刷合成缺陷之一或多個樣品如何顯現在由一成像系統產生之樣品之一或多個實際影像中。例如,可應用之一額外能力係檢查器之模擬。此一模型之一實例係WINsim,其在商業上可購自KLA-Tencor,且其可使用一電磁(EM)波解算器嚴格地模型化一檢查器之回應。以此方式,可在一個模型中學習程式化缺陷之缺陷行為且在另一模型中應用。可針對本文中描述之任何其他成像子系統或系統執行此等模擬。另外,可使用此項技術中已知的任何其他適合軟體、(若干)演算法、(若干)方法或(若干)系統執行此等模擬。
在一項實施例中,一或多個組件包含一深度生成模型,其經組態以產生對其等執行一程序之一或多個樣品之標稱例項之資訊。例如,學習SEM(實際晶圓之影像)與設計(例如,預期佈局之CAD或一向量表示)之間的聯合概率分佈(平均值及方差)之深度生成模型可用於產生用於訓練(若干)模型之標稱例項。一生成模型亦可用於針對樣品之非標稱例項產生本文中描述之其他模擬結果。一旦針對標稱(如預期/非缺陷)樣本訓練(若干)模型,一訓練輸入資料集(其包含缺陷影像或本文中描述之其他非標稱例項)即可用於訓練(若干)模型。另外,可藉由使用藉由修改用於製造半導 體晶圓之設計資料(例如,CAD或EDA資料)而產生之合成資料來預訓練(若干)模型。可將缺陷人造物(諸如開路、短路、突出、侵入等)連同計量標記(諸如線端拉回)插入至CAD中且接著饋送至由以下文獻中描述之一網路訓練之一生成模型中:Zhang等人於2016年6月7日申請之美國專利申請案序號15/176,139及Kingma等人之「Semi-supervised Learning with Deep Generative Models」(NIPS 2014,2014年10月31日,第1頁至第9頁),其等如全文闡述以引用的方式併入本文中。可如此等參考中描述般進一步組態本文中描述之實施例。
對於本文中描述之實施例之架構參數之一個考量係進行一特定類型之深度學習需要多少樣本之概念。例如,對於(若干)基於電子束之模型,出於針對一給定層訓練(若干)基於電子束之模型之目的,可獲取約1K個至約5K個電子束影像。原始數目可為每缺陷類型大致100個樣本。模擬亦可用於擴增缺陷,此可將數目潛在地減少為接近10。亦可針對學習標稱獲取樣本。對於(若干)基於光學之模型,可針對訓練獲取約10K個至約50K個電子束樣本及對應光學樣本。訓練(若干)基於光學之模型將需要比(若干)基於電子束之模型多一個數量級以克服基於光學之輸出中之解析度及雜訊。亦可存在自光學至電子束之一最終取樣以進行驗證(即,一光學/電子束取樣比)。另外,可在已藉由使用未用於訓練(即,資料之一個部分僅用於訓練,且資料之另一部分僅用於驗證)之一資料子集訓練本文中描述之(若干)模型之一或多者之後測試該(若干)模型之一或多者。例如,SEM ADC能夠達成約90%貢獻或更佳。一操作者可在用於驗證之一8小時會期中應付1000個至2000個缺陷。出於驗證目的,此導致約20K個樣本作為一個下限。
在一項實施例中,(若干)模型包含一或多個鑑別模型。在另一實施例中,(若干)模型包含一或多個生成模型。例如,學習可以兩種類型之機制為特徵:鑑別學習,其可用於產生分類及偵測演算法;及生成學習,其可用於實際上產生在極端情況下可呈現影像之模型。例如,如本文中進一步描述,一生成模型可經組態以自一設計剪輯產生一影像,其看似一SEM影像中之一晶圓上之該位置。此可藉由以下步驟執行:1)用設計剪輯及來自晶圓上之該等位置之相關聯實際SEM影像訓練生成模型;及2)在推斷模式中使用模型且針對吾人想要產生模擬SEM影像之位置饋送給模型以設計剪輯。此等模擬影像可用作晶粒對資料庫檢查中之參考影像。
若(若干)模型包含一或多個鑑別模型,則(若干)鑑別模型可具有此項技術中已知的任何適合架構及/或組態。鑑別模型(亦稱為條件模型)係於用於模型化一未觀察變量y對一觀察變量x之相依性之機器學習中使用之一類模型。在一概率框架內,此藉由模型化條件概率分佈P(y|x)(其可用於自x預測y)而完成。鑑別模型(與生成模型相反)並不容許吾人自x及y之聯合分佈產生樣本。然而,對於不需要聯合分佈之任務(諸如分類及迴歸),鑑別模型可產生優越效能。另一方面,生成模型在表達複雜學習任務中之相依性方面通常比鑑別模型更靈活。另外,大多數鑑別模型固有地受監督且無法容易擴展至無監督學習。應用特定細節最終指示選擇一鑑別對生成模型之適合性。
一「生成」模型可大體上定義為本質上概率性之一模型。換言之,一「生成」模型並非執行順向模擬或基於規則之方法之模型,且因而在產生一實際影像或輸出(正針對其產生一模擬影像或輸出)時所涉及之程序之物理學之一模型並不必要。代替性地,如本文中進一步描述,可基於一適 合訓練資料集學習生成模型(其中可學習其之參數)。如本文中進一步描述,此等生成模型對於本文中描述之實施例具有若干優點。另外,生成模型可經組態以具有一深度學習架構,其中生成模型可包含多個層,其等執行若干演算法或變換。包含於生成模型中之層數可為使用案例相依的。出於實際目的,一適合層範圍係自2個層至幾十個層。
本文中描述之深度學習係一類型之機器學習。機器學習可大體上定義為向電腦提供學習之能力而無需明確程式化之一類型之人工智慧(AI)。機器學習集中於可教示其等本身在暴露於新資料時成長且改變之電腦程式之開發。換言之,機器學習可定義為「給予電腦以學習之能力而無需明確程式化」之電腦科學之子體。機器學習探索對可自資料學習且對資料進行預測之演算法之研究及建構-此等演算法藉由透過自樣本輸入建立一模型進行資料驅動預測或決策而克服以下嚴格靜態程式指令。
可如以下各者中描述般進一步執行本文中描述之機器學習:Sugiyama、Morgan Kaufmann之「Introduction to Statistical Machine Learning」(2016年,534頁);Jebara之「Discriminative,Generative,and Imitative Learning」(MIT Thesis,2002年,212頁);及Hand等人之「Principles of Data Mining(Adaptive Computation and Machine Learning)」(MIT Press,2001年,578頁);其等如全文闡述以引用的方式併入本文中。可如此等參考中描述般進一步組態本文中描述之實施例。
在另一實施例中,模型係一神經網路。例如,模型可為具有一組權重之一深度神經網路,該組權重根據已饋送以訓練世界之資料模型化世界。神經網路大體上可定義為基於一相對較大神經單元集合之一計算方法,其鬆散地模型化一生物大腦用由軸突連接之相對較大生物神經元叢集 解決問題之方式。各神經單元與許多其他神經單元連接,且連結可強制執行或抑制其等對所連接神經單元之激活狀態之效應。此等系統自我學習且經訓練而非經明確程式化,且在解決方案或特徵偵測難以在一傳統電腦程式中表達之領域中取勝。
神經網路通常由多個層構成,且信號路徑由前橫貫至後。神經網路之目標係以與人腦相同之方式解決問題,然而數種神經網路遠更抽象。當代神經網路項目通常用幾千至幾百萬個神經單元及幾百萬個連接工作。神經網路可具有此項技術中已知的任何適合架構及/或組態。
在另一實施例中,模型係一卷積及反卷積神經網路。例如,本文中描述之實施例可利用學習概念(諸如一卷積及反卷積神經網路)以解決通常棘手的表示轉換問題(例如,呈現)。模型可具有此項技術中已知的任何卷積及反卷積神經網路組態或架構。
在一項實施例中,一或多個模擬包含基於樣品之設計資訊產生樣品之一或多個模擬影像,基於電子束之輸出包含由基於電子束之子系統產生之樣品之一或多個實際影像,且一或多個模擬影像繪示樣品如何顯現在一或多個實際影像中。在另一實施例中,一或多個模擬包含基於樣品之設計資訊及基於光學之輸出產生樣品之一或多個模擬影像,基於電子束之輸出包含由基於電子束之子系統產生之樣品之一或多個實際影像,且一或多個模擬影像繪示樣品如何顯現在一或多個實際影像中。
因此,本質上可存在系統學習及執行之兩個模型。第一模型可為一基於電子束之晶粒對資料庫模型,其學習基於電子束之輸出與設計之間的變換。由此模型執行之模擬容許基於電子束輸出偵測事件且對所偵測事件進行分類兩者(因為模擬繪示設計在程序中之該點處應看似如何)。第二模 型可為一光學SPPI模型(基於SEM後處理之檢查模型),其學習基於電子束之輸出、基於光學之輸出與設計之間的三角關係。因此,一旦模型已經學習,該等模型即可用於在後處理期間純粹基於光學及設計圖案在一光學工具上產生一SEM影像。可藉由使用基於電子束之子系統產生對應基於電子束之輸出來驗證此一模擬影像。
在一個此實例中,由模型執行之一或多個模擬可產生(若干)模擬影像,其等繪示一樣品如何顯現在由本文中描述之子系統之一者產生之(若干)實際影像中。以此方式,(若干)模擬影像可表示可由本文中描述之一基於光學之子系統或基於電子束之子系統自樣品產生之影像。在一個此實例中,至由模型執行之一或多個模擬之輸入可包含一樣品之設計資訊(例如,本文中進一步描述之任何設計資料),且一或多個模擬之輸出可包含一或多個模擬光學或電子束影像,其等繪示其上已形成設計資訊之樣品將如何顯現在該等影像中。
在一些此等實施例中,可執行由模型執行之一或多個模擬以產生用於(若干)樣品之檢查之一或多個參考影像。以此方式,本文中描述之實施例可以極其高效應用於研究與開發及製造案例中之一方式啟用先進檢查演算法,諸如晶粒對資料庫缺陷偵測方法及/或演算法。啟用此缺陷偵測對於其中處理量受區域覆蓋率之約束之基於電子束之檢查尤其有價值。藉由限制影像獲取以僅「測試」影像,處理量對比當前使用之晶粒對晶粒檢查方法可為兩倍或三倍。可如Bhaskar等人於2016年11月16日申請之美國專利申請案序號15/353,210中描述般進一步組態本文中描述之實施例,該案如全文闡述以引用的方式併入本文中。例如,本文中描述之實施例可經組態以執行如此專利申請案中描述之單一影像偵測。
在一些實施例中,偵測缺陷包含基於基於電子束之輸出判定基於基於光學之輸出偵測之缺陷是否為妨礙缺陷。例如,本文中描述之系統可經組態以進行基於圖塊之儲存(例如,在一或多個虛擬系統上)及GPU計算(經由一或多個電腦子系統)。此架構可自一光學工具至一電子束工具即時儲存每晶圓1億至10億個圖塊。
基於圖塊之儲存及GPU計算可用於提高光學信號對雜訊比。例如,提高光學信號對雜訊比之目的係解決光學系統中與膜厚度變動、LER以及先前層缺陷有關之一潛在弱點。若必要,則可對每一晶圓再訓練網路以解決晶圓至晶圓變動。在一個此實例中,可自一檢驗中晶圓取得幾個樣本(在1K個至10K個SEM影像範圍內)且接著可訓練(若干)模型以忽略SEM認為係係妨礙缺陷之變動。SEM通常看不見先前層缺陷或色彩變動。基本原理係早期在良率學習曲線中,存在需忽略之更多程序變動。
以此方式,在基於光學之子系統上,可偵測缺陷事件。妨礙缺陷率係一檢查配方之一關鍵優值。妨礙缺陷率指示檢查器僅發現吾人所關注之物之程度。在基於電子束之系統上,基於電子束之輸出可用於判定事件事實上是否為缺陷。吾人可愈有效率地使在基於光學之子系統上之偵測僅包含真實缺陷,則「妨礙缺陷率」愈低,此係較佳的。
此程序可比用一檢查工具進行標準線監測更費時(獲得結果之目標係約8小時),但其仍比純粹基於電子束之檢查快100倍至1000倍。例如,或許2小時可花費在基於光學之子系統上且其餘4至6小時可花費在對基於電子束之子系統之再訓練及驗證上。由於圖塊影像可經儲存,故其等可透過(若干)模型再次運行。特定言之,存在經儲存資料(圖塊影像)將使基於光學之子系統能夠適應性地基於取樣在電子束子系統上驗證之幾個(例如, 100個至1000個)資料點再訓練其本身。此一系統在前期探索及RAMP程序中將對雜訊遠更穩健。
在另一實施例中,(若干)電腦子系統經組態以使用一或多個模型執行偵測缺陷,且一或多個模型經組態以選擇用於偵測缺陷之基於光學之輸出、基於電子束之輸出、一或多項功能之結果及一或多個模擬之結果之至少兩者。相較於傳統影像融合方法,使用一模型之優點在電腦可擴展性方面係巨大的。例如,在傳統處理中,可保持全部輸出通道以找出確保未丟失信號之一方式。在模型中,藉由訓練完成困難工作。若可採用額外通道,則將進行此。若額外通道未增添值,則將忽略額外通道。此係多通道、多成本爆發之一解決方案。另外,運用習知演算法之多通道方法之實際實施方案根本不會發生。此實在太困難。深度學習因其自實例教示其自身而變得實際。
本文中描述之(若干)模型可用於輔助缺陷對像素比。例如,(若干)模型有效地學習標稱LER及充電效應之能力係使基於電子束之檢查中之缺陷對像素比接近1之一實質上強大工具。存在兩個旋鈕,一個旋鈕必須在基於電子束之檢查中工作。一個旋鈕與電子束物理學(諸如束電流/密度或光點對取樣比)有關,其等必須經最佳化。在較小像素大小處,吾人必須應對更多散粒雜訊及因此更多圖框平均。在較高束電流下,吾人可必須處置庫侖(Coulomb)效應。在較大像素大小處,散粒雜訊較不成問題但解析度明顯受損。另外,另一可用旋鈕係基於電子束之演算法。例如,藉由利用(若干)模型長期記憶體,(若干)模型有效地忽略共同雜訊源,諸如LER及充電效應。
在另一實施例中,基於樣品上之計量標記之資訊執行產生基於光學 之輸出及基於電子束之輸出之至少一者,且一或多個電腦子系統經組態以基於針對計量標記產生之基於光學之輸出及基於電子束之輸出之至少一者產生樣品之計量資訊。計量標記可用於圖案保真度量測(PFM)。例如,除標準系統缺陷類型(諸如開路、短路、突出、畸形接點等)以外,本文中描述之實施例亦將具有偵測丟失及增添圖案之能力(由於設計資訊)。系統亦可包含計量標記,其等將教示系統以需要一定量數目之事件,諸如線端回拉5nm以上等。
以此方式,計量標記可為一種特殊關注區域。一基於電子束之子系統直接到達一標記之位置且進行一量測以告知是否滿足一特定標記之規格。運用本文中論述之深度學習技術,此可更進一步以教示一模型在光學檢查期間旗標可能「不良」位點。此將容許導引基於電子束之子系統取樣朝向最有可能故障之位置。另外,系統可包含用於取樣、影像產生及缺陷偵測/分類之(若干)模型,且可包括來自實質上高處理量光學計量(重疊、CD、膜)工具以及可用專用晶粒中目標啟用之基於電子束之計量兩者之計量資料,專用晶粒中目標經最佳化以依實質上高基於電子束之子系統處理量提供實質上高精確性及精度。
可針對特定樣品(例如,特定晶圓或比例光罩)、程序、成像參數等產生本文中描述之(若干)模型。換言之,本文中描述之模型可為樣品特定的、程序特定的、成像參數特定的等。以此方式,可針對不同晶圓層產生不同模型。另外,可針對不同成像參數集(例如,不同成像模式)產生不同模型。可用不同訓練資料集產生不同模型之各者。可如本文中進一步描述般產生不同訓練資料集之各者。
本文中描述之實施例具有上文描述之若干優點。另外,本文中描述 之實施例提供檢查解決方案,其具有減少習知電子束檢查器之改良需求同時增大當前光學檢查器之有用性之巨大潛力,且亦將產生電子束檢查器之一獨特市場。例如,本文中描述之實施例可用於容許實質上快速光學檢查器與相對緩慢、實質上高解析度的基於電子束之工具(例如,再檢測及/或檢查工具)組合以提供實質上與基於電子束之檢查器相同之能力。特定言之,本文中描述之實施例以在未獲益於本文中描述之(若干)模型及技術之情況下不切實際之方式提供擴展基於光學之檢查器之靈敏度之一方式。
本文中描述之實施例亦可經組態以使用一可搜尋資料庫(諸如在Karsenti等人於2015年11月10日發佈之美國專利第9,183,624號中描述之資料庫,該案如全文闡述以引用的方式併入本文中)進行相對快速圖案搜尋。例如,具有依據焦點、劑量、重疊等之Bossung CD之關注圖案(POI)/關注區(ROI)之一參考後設資料集可用作一散列表。可在由本文中描述之實施例執行之任何虛擬或真實程序期間之運行時間存取參考後設資料集散列表。例示性後設資料包含與設計多邊形相關聯之散列值及預期屬性值。後設資料亦可包含各圖案之例項之位置,如一相對快速圖案搜尋資料庫。屬性可為實體的(諸如CD)且可包含統計描述符。預期屬性值可為ADI及/或AEI處之模擬及/或量測CD。可針對特定程序條件(例如,焦點、劑量、重疊、氧化物或其他層厚度等)進一步指定屬性。可藉由用於多重圖案化微影程序之遮罩進一步指定圖案。可針對散列表中之各POI/ROI之各例項存取設計意圖佈局資料。另外,可由散列表中引用之一圖案子集執行用於訓練本文中描述之模型之一方法。
在本文中描述之實施例中,基於光學及電子束之子系統可以多種不同方式彼此耦合。例如,在一項實施例中,基於光學之子系統及基於電子 束之子系統僅經由一或多個電腦子系統彼此耦合。在一個此實例中,如圖1中展示,基於光學之子系統10可僅經由電腦子系統36、(若干)電腦子系統102及電腦子系統124耦合至基於電子束之子系統之電子柱122。因此,(若干)電腦子系統102可為基於光學之子系統及基於電子束之子系統所共有。以此方式,基於電子束之子系統及基於光學之子系統除進行資料移動以外可不一定彼此直接連接,資料移動可為大量的且可由(若干)電腦子系統102及/或(若干)虛擬系統108促進。因而,本文中描述之系統實施例(即,一混合檢查器)在某種意義上可為一虛擬檢查器,其中電子束及光學子系統除進行資料移動(其經由CCS或VI可為大量的)以外彼此並未直接連接。
在另一實施例中,基於光學之子系統及基於電子束之子系統僅經由一或多個電腦子系統及一共同樣品處置子系統彼此耦合。例如,可藉由如上文描述之(若干)電腦子系統耦合基於光學之子系統及基於電子束之子系統。另外,基於光學之子系統及基於電子束之子系統可共用共同樣品處置系統136及可能負載模組138(其中可安置樣品匣140)。以此方式,樣品可進入為基於光學之子系統及基於電子束之子系統所共有之一負載模組中,其中其等可佈置於一基於光學之子系統或一基於電子束之子系統之任一者(或兩者)。樣品亦可在基於電子束之子系統與基於光學之子系統之間實質上快速移動。
然而,一般而言,基於光學之子系統可藉由一共同外殼(未展示)、共同樣品處置系統136、一共同電源(未展示)、(若干)電腦子系統102或其等之某以組合耦合至基於電子束之子系統。共同外殼可具有此項技術中已知的任何適合組態。例如,一外殼可經組態以容置基於光學之子系統以及基 於電子束之子系統。以此方式,基於光學之子系統及基於電子束之子系統可組態為一單一單元或工具。共同樣品處置系統可包含此項技術中已知的任何適合機械及/或機器人總成。共同樣品處置系統可經組態以使樣品在基於光學之子系統與基於電子束之子系統之間移動,使得一樣品可自基於光學之子系統直接移動至基於電子束之子系統中(或反之亦然),而不必將樣品放回至其匣或程序之間的其他容器中。共同電源可包含此項技術中已知的任何適合電源。
基於光學之子系統及基於電子束之子系統可橫向或垂直安置以彼此靠近。例如,系統可組態為一模組(或子系統)之叢集,該等模組(或子系統)可各自經組態以執行不同程序。另外,基於光學之子系統及基於電子束之子系統可橫向或垂直安置成靠近系統之負載模組138。負載模組可經組態以支撐多個樣品(諸如待在系統中處理之晶圓140匣)。機器人樣品處置系統136可經組態以在量測及/或檢查之前將一樣品自負載模組移除且將一經處理樣品安置至負載模組中。此外,基於光學之子系統及基於電子束之子系統可安置於彼此靠近之其他位置中,諸如一機器人共同樣品處置系統可裝配使得一樣品可在子系統之間移動之任何位置。以此方式,共同樣品處置系統136、一平台(未展示)或另一適合機械裝置可經組態以使一樣品移動至基於光學之子系統及基於電子束之子系統及自其等移動。
上文描述之系統之各者之實施例之各者可在一起組合至一項單一實施例中。
另一實施例係關於一種用於偵測一樣品上之缺陷之電腦實施之方法。該方法包含藉由將光引導至一樣品且偵測來自樣品之光而針對樣品產生基於光學之輸出。該方法亦包含藉由將電子引導至樣品且偵測來自樣品 之電子而針對樣品產生基於電子束之輸出。另外,該方法包含用一或多個電腦系統接收針對樣品產生之基於光學之輸出及基於電子束之輸出。一或多個電腦系統包含一或多個虛擬系統,其等經組態以使用針對樣品產生之基於光學之輸出及基於電子束之輸出之至少一些執行一或多項功能。一或多個虛擬系統無法將樣品安置於其中。藉由一或多個電腦系統執行一或多個組件,且一或多個組件包含經組態以針對樣品執行一或多個模擬之一或多個模型。另外,該方法包含基於基於光學之輸出、基於電子束之輸出、一或多項功能之結果及一或多個模擬之結果之至少兩者偵測樣品上之缺陷。
可如本文中進一步描述般執行該方法之步驟之各者。該方法亦可包含可由本文中描述之系統、基於光學之子系統、基於電子束之子系統、(若干)電腦系統、(若干)虛擬系統、(若干)組件及(若干)模型執行之(若干)任何其他步驟。可根據本文中描述之實施例之任一者組態系統、基於光學之子系統、基於電子束之子系統、(若干)電腦系統、(若干)虛擬系統、(若干)組件及(若干)模型。另外,可藉由本文中描述之系統實施例之任一者執行上文描述之方法。
一額外實施例係關於一種非暫時性電腦可讀媒體,其儲存可在一或多個電腦系統上執行以執行用於偵測一樣品上之缺陷之電腦實施方法之程式指令。圖2中展示一項此實施例。特定言之,如圖2中展示,非暫時性電腦可讀媒體200包含可在(若干)電腦系統204上執行之程式指令202。電腦實施方法可包含本文中描述之(若干)任何方法之(若干)任何步驟。
實施諸如本文中描述之方法之方法的程式指令202可儲存於電腦可讀媒體200上。電腦可讀媒體可為一儲存媒體,諸如一磁碟或光碟、一磁 帶,或此項技術中已知的任何其他適合非暫時性電腦可讀媒體。
可以各種方式之任一者實施程式指令,包含基於程序之技術、基於組件之技術及/或物件導向技術等。例如,如所需,可使用ActiveX控制項、C++物件、JavaBeans、微軟基礎類(「MFC」)、SSE(串流SIMD延伸)或其他技術或方法來實施程式指令。
可根據本文中描述之實施例之任一者組態(若干)電腦系統204。
鑒於此描述,熟習此項技術者將明白本發明之各種態樣之進一步修改及替代實施例。例如,提供用於偵測一樣品上之缺陷之系統及方法。因此,此描述應僅解釋為闡釋性的且係出於教示熟習此項技術者實行本發明之一般方式之目的。應瞭解,本文中展示及描述之本發明之形式應視為目前較佳實施例。全部如熟習此項技術者在獲益於本發明之此描述之後將明白,元件及材料可取代本文中繪示及描述之元件及材料,部分及程序可顛倒,且可獨立利用本發明之特定特徵。在不脫離如以下申請專利範圍中描述之本發明之精神及範疇之情況下,可對本文中描述之元件進行改變。
10:基於光學之子系統
14:樣品
16:光源
18:光學元件
20:透鏡
22:平台
24:收集器
26:元件
28:偵測器
30:收集器
32:元件
34:偵測器
36:電腦子系統
100:組件
102:電腦子系統
104:模型
108:虛擬系統
110:系統
112:配方
122:電子柱/基於電子束之子系統
124:電腦子系統
126:電子束源
128:樣品
130:元件
132:元件
134:偵測器
136:樣品處置系統
138:負載模組
140:樣品匣

Claims (30)

  1. 一種經組態以偵測一樣品上之缺陷之系統,其包括:一基於光學之子系統,其經組態以藉由將光引導至一樣品且偵測來自該樣品之光而針對該樣品產生基於光學之輸出;一基於電子束之子系統,其經組態以藉由將電子引導至該樣品且偵測來自該樣品之電子而針對該樣品產生基於電子束之輸出;一或多個電腦子系統,其等經組態以接收針對該樣品產生之該基於光學之輸出及該基於電子束之輸出,其中該一或多個電腦子系統包括一或多個虛擬系統,其等經組態以使用針對該樣品產生之該基於光學之輸出及該基於電子束之輸出之至少一些執行一或多項功能,且其中該一或多個虛擬系統無法將該樣品安置於其中;及一或多個組件,其等由該一或多個電腦子系統執行,其中該一或多個組件包括經組態以針對該樣品執行一或多個模擬之一或多個模型;及其中該一或多個電腦子系統進一步經組態以基於該基於光學之輸出、該基於電子束之輸出、該一或多項功能之結果及該一或多個模擬之結果之至少兩者偵測該樣品上之缺陷,其中該一或多個電腦子系統進一步經組態以使用該一或多個模型執行偵測該等缺陷,且其中該一或多個模型進一步經組態以選擇用於該偵測該等缺陷之該基於光學之輸出、該基於電子束之輸出、該一或多項功能之該等結果及該一或多個模擬之該等結果之該至少兩者。
  2. 如請求項1之系統,其中該一或多個虛擬系統進一步經組態以基於該 樣品之設計資訊產生該樣品之一參考,且其中該參考用於偵測該樣品上之該等缺陷。
  3. 如請求項1之系統,其中基於該樣品之設計資訊結合該基於光學之輸出、該基於電子束之輸出、該一或多項功能之該等結果及該一或多個模擬之該等結果之該至少兩者進一步執行偵測該等缺陷。
  4. 如請求項1之系統,其中偵測該等缺陷包括:比較該基於光學之輸出與一第一參考且比較該基於電子束之輸出與一第二參考。
  5. 如請求項1之系統,其中該一或多個虛擬系統進一步經組態以無限地儲存針對該樣品產生之全部該基於光學之輸出及該基於電子束之輸出。
  6. 如請求項1之系統,其中該一或多個虛擬系統進一步經組態以在不使用針對該樣品產生之該基於光學之輸出及該基於電子束之輸出之情況下產生該樣品之資訊,且將由該一或多個虛擬系統產生之該資訊儲存於該一或多個虛擬系統中。
  7. 如請求項1之系統,其中該一或多個電腦子系統進一步經組態以接收由另一系統產生之該樣品之資訊,其中該一或多個虛擬系統進一步經組態以儲存該資訊,且其中基於該經儲存資訊結合該基於光學之輸出、該基於電子束之輸出、該一或多項功能之該等結果及該一或多個模擬之該等結果之該至少兩者進一步執行偵測該等缺陷。
  8. 如請求項1之系統,其中該樣品包括檢查結構設計,且其中該一或多個電腦子系統進一步經組態以提取針對該檢查結構設計產生之該基於光學之輸出及該基於電子束之輸出之至少一者,且基於該經提取輸出執行一或多項功能。
  9. 如請求項1之系統,其中偵測該等缺陷包括體積檢查。
  10. 如請求項1之系統,其中偵測該等缺陷包括高光譜檢查。
  11. 如請求項1之系統,其中偵測該等缺陷包括多模式檢查。
  12. 如請求項1之系統,其中該一或多個模型進一步經組態以分類該等經偵測缺陷,且其中該一或多個電腦子系統進一步經組態以基於該分類之結果取樣該等經偵測缺陷。
  13. 如請求項1之系統,其中該一或多個組件進一步包括一單一配方,其經組態以至少控制該基於光學之子系統及該基於電子束之子系統。
  14. 如請求項1之系統,其中該一或多個電腦子系統進一步經組態以用在一程序窗限定方法中偵測之缺陷資訊訓練該一或多個模型。
  15. 如請求項1之系統,其中該一或多個模型包括一或多個基於深度學習 之模型。
  16. 如請求項1之系統,其中該一或多個模型包括一或多個基於機器學習之模型。
  17. 如請求項1之系統,其中該一或多個模型包括一或多個鑑別模型。
  18. 如請求項1之系統,其中該一或多個模型包括一或多個生成模型。
  19. 如請求項1之系統,其中該一或多個模擬包括基於該樣品之設計資訊產生該樣品之一或多個模擬影像,其中該基於電子束之輸出包括由該基於電子束之子系統產生之該樣品之一或多個實際影像,且其中該一或多個模擬影像繪示該樣品如何顯現在該一或多個實際影像中。
  20. 如請求項1之系統,其中該一或多個模擬包括基於該樣品之設計資訊及該基於光學之輸出產生該樣品之一或多個模擬影像,其中該基於電子束之輸出包括由該基於電子束之子系統產生之該樣品之一或多個實際影像,且其中該一或多個模擬影像繪示該樣品如何顯現在該一或多個實際影像中。
  21. 如請求項1之系統,其中該偵測包括基於該基於電子束之輸出判定基於該基於光學之輸出偵測之缺陷是否為妨礙缺陷。
  22. 如請求項1之系統,其中基於該樣品上之計量標記資訊執行該產生該基於光學之輸出及該基於電子束之輸出之至少一者,且其中該一或多個電腦子系統進一步經組態以基於在該等計量標記處產生之該基於光學之輸出及該基於電子束之輸出之該至少一者產生該樣品之計量資訊。
  23. 如請求項1之系統,其中該基於光學之輸出係由該基於光學之子系統用該基於光學之子系統之一參數之兩個或更多個不同值產生。
  24. 如請求項1之系統,其中該基於電子束之輸出係該基於電子束之子系統用該基於電子束之子系統之一參數之兩個或更多個不同值產生。
  25. 如請求項1之系統,其中該樣品係一晶圓。
  26. 如請求項1之系統,其中該樣品係一比例光罩。
  27. 如請求項1之系統,其中該基於光學之子系統及該基於電子束之子系統僅經由該一或多個電腦子系統彼此耦合。
  28. 如請求項1之系統,其中該基於光學之子系統及該基於電子束之子系統僅經由該一或多個電腦子系統及一共同樣品處置子系統彼此耦合。
  29. 一種用於偵測一樣品上之缺陷之電腦實施方法,其包括:藉由將光引導至一樣品且偵測來自該樣品之光而針對該樣品產生基 於光學之輸出;藉由將電子引導至該樣品且偵測來自該樣品之電子而針對該樣品產生基於電子束之輸出;用一或多個電腦系統接收針對該樣品產生之該基於光學之輸出及該基於電子束之輸出,其中該一或多個電腦系統包括一或多個虛擬系統,其等經組態以使用針對該樣品產生之該基於光學之輸出及該基於電子束之輸出之至少一些執行一或多項功能,其中該一或多個虛擬系統無法將該樣品安置於其中,其中藉由該一或多個電腦系統執行一或多個組件,且其中該一或多個組件包括經組態以針對該樣品執行一或多個模擬之一或多個模型;及基於該基於光學之輸出、該基於電子束之輸出、該一或多項功能之結果及該一或多個模擬之結果之至少兩者偵測該樣品上之缺陷,其中使用該一或多個模型執行偵測該等缺陷,且其中該一或多個模型進一步經組態以選擇用於該偵測該等缺陷之該基於光學之輸出、該基於電子束之輸出、該一或多項功能之該等結果及該一或多個模擬之該等結果之該至少兩者。
  30. 一種非暫時性電腦可讀媒體,其儲存可在一或多個電腦系統上執行以執行用於偵測一樣品上之缺陷之一電腦實施方法之程式指令,其中該電腦實施方法包括:藉由將光引導至一樣品且偵測來自該樣品之光而針對該樣品產生基於光學之輸出;藉由將電子引導至該樣品且偵測來自該樣品之電子而針對該樣品產生基於電子束之輸出; 用一或多個電腦系統接收針對該樣品產生之該基於光學之輸出及該基於電子束之輸出,其中該一或多個電腦系統包括一或多個虛擬系統,其等經組態以使用針對該樣品產生之該基於光學之輸出及該基於電子束之輸出之至少一些執行一或多項功能,其中該一或多個虛擬系統無法將該樣品安置於其中,其中藉由該一或多個電腦系統執行一或多個組件,且其中該一或多個組件包括經組態以針對該樣品執行一或多個模擬之一或多個模型;及基於該基於光學之輸出、該基於電子束之輸出、該一或多項功能之結果及該一或多個模擬之結果之至少兩者偵測該樣品上之缺陷,其中使用該一或多個模型執行偵測該等缺陷,且其中該一或多個模型進一步經組態以選擇用於該偵測該等缺陷之該基於光學之輸出、該基於電子束之輸出、該一或多項功能之該等結果及該一或多個模擬之該等結果之該至少兩者。
TW105144315A 2015-12-31 2016-12-30 經組態以偵測一樣品上之缺陷之系統及用於偵測一樣品上之缺陷之電腦實施方法 TWI710763B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562273992P 2015-12-31 2015-12-31
US62/273,992 2015-12-31
US15/394,792 2016-12-29
US15/394,792 US9916965B2 (en) 2015-12-31 2016-12-29 Hybrid inspectors

Publications (2)

Publication Number Publication Date
TW201734439A TW201734439A (zh) 2017-10-01
TWI710763B true TWI710763B (zh) 2020-11-21

Family

ID=59225986

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105144315A TWI710763B (zh) 2015-12-31 2016-12-30 經組態以偵測一樣品上之缺陷之系統及用於偵測一樣品上之缺陷之電腦實施方法

Country Status (8)

Country Link
US (1) US9916965B2 (zh)
EP (1) EP3397952A4 (zh)
JP (1) JP6893514B2 (zh)
KR (1) KR102460050B1 (zh)
CN (2) CN115684202A (zh)
IL (1) IL259706B (zh)
TW (1) TWI710763B (zh)
WO (1) WO2017117573A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
TWI839650B (zh) * 2021-10-25 2024-04-21 美商學觀有限責任公司 基於數位資料的評分裝置及方法

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9857291B2 (en) * 2013-05-16 2018-01-02 Kla-Tencor Corporation Metrology system calibration refinement
US10043261B2 (en) * 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
WO2018012527A1 (ja) * 2016-07-15 2018-01-18 株式会社リガク X線検査装置、x線薄膜検査方法およびロッキングカーブ測定方法
KR102232507B1 (ko) * 2016-07-16 2021-03-26 가부시키가이샤 리가쿠 복합 검사 시스템
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
US10267748B2 (en) 2016-10-17 2019-04-23 Kla-Tencor Corp. Optimizing training sets used for setting up inspection-related algorithms
US10395358B2 (en) 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US10395362B2 (en) 2017-04-07 2019-08-27 Kla-Tencor Corp. Contour based defect detection
US10657638B2 (en) * 2017-04-28 2020-05-19 Mentor Graphics Corporation Wafer map pattern detection based on supervised machine learning
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10551827B2 (en) * 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery
JP6942555B2 (ja) * 2017-08-03 2021-09-29 東京エレクトロン株式会社 基板処理方法、コンピュータ記憶媒体及び基板処理システム
US10437951B2 (en) * 2017-08-23 2019-10-08 International Business Machines Corporation Care area generation by detection optimized methodology
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
US10713534B2 (en) 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
JP6935641B2 (ja) * 2017-09-27 2021-09-15 株式会社日立ハイテク システム、特定検査向け支援方法およびプログラム
US11187992B2 (en) * 2017-10-23 2021-11-30 Applied Materials, Inc. Predictive modeling of metrology in semiconductor processes
US10656518B2 (en) * 2017-12-17 2020-05-19 United Microelectronics Corp. Automatic inline detection and wafer disposition system and method for automatic inline detection and wafer disposition
US10970834B2 (en) * 2018-01-05 2021-04-06 Kla-Tencor Corporation Defect discovery using electron beam inspection and deep learning with real-time intelligence to reduce nuisance
US10677742B2 (en) * 2018-03-09 2020-06-09 Kla-Tencor Corp. Detecting die repeating programmed defects located in backgrounds with non-repeating features
US10679333B2 (en) * 2018-03-14 2020-06-09 Kla-Tencor Corporation Defect detection, classification, and process window control using scanning electron microscope metrology
US11514357B2 (en) 2018-03-19 2022-11-29 Kla-Tencor Corporation Nuisance mining for novel defect discovery
WO2019183153A1 (en) 2018-03-21 2019-09-26 Kla-Tencor Corporation Training a machine learning model with synthetic images
US10670536B2 (en) 2018-03-28 2020-06-02 Kla-Tencor Corp. Mode selection for inspection
US10599951B2 (en) 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
DE102018207882A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells
US10713769B2 (en) 2018-06-05 2020-07-14 Kla-Tencor Corp. Active learning for defect classifier training
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
US10796065B2 (en) * 2018-06-21 2020-10-06 Kla-Tencor Corporation Hybrid design layout to identify optical proximity correction-related systematic defects
DE102018211099B4 (de) 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
US11170971B2 (en) * 2018-07-24 2021-11-09 Kla Corporation Multiple working distance height sensor using multiple wavelengths
US10872403B2 (en) 2018-08-10 2020-12-22 Micron Technology, Inc. System for predicting properties of structures, imager system, and related methods
KR102513707B1 (ko) * 2018-09-03 2023-03-23 가부시키가이샤 프리퍼드 네트웍스 학습 장치, 추론 장치, 학습 모델 생성 방법 및 추론 방법
KR102541743B1 (ko) * 2018-09-03 2023-06-13 가부시키가이샤 프리퍼드 네트웍스 학습 장치, 추론 장치 및 학습 완료 모델
CN109724984B (zh) * 2018-12-07 2021-11-02 上海交通大学 一种基于深度学习算法的缺陷检测识别装置和方法
KR102611986B1 (ko) 2018-12-19 2023-12-08 삼성전자주식회사 반도체 소자의 형상 예측 방법
US10922808B2 (en) 2019-02-14 2021-02-16 KLA—Tencor Corp. File selection for test image to design alignment
US11551348B2 (en) 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
WO2020234767A1 (en) * 2019-05-22 2020-11-26 Xitadel Cae Technologies India Pvt. Ltd. Machine learning for rapid automatic computer-aided engineering modeling
EP3751753A1 (en) * 2019-06-13 2020-12-16 Mitsubishi Electric R&D Centre Europe B.V. Method and system of massive mimo communciation
JP7159128B2 (ja) * 2019-08-08 2022-10-24 株式会社日立ハイテク 荷電粒子線装置
JP7173937B2 (ja) 2019-08-08 2022-11-16 株式会社日立ハイテク 荷電粒子線装置
JP7148467B2 (ja) * 2019-08-30 2022-10-05 株式会社日立ハイテク 荷電粒子線装置
JP7189103B2 (ja) 2019-08-30 2022-12-13 株式会社日立ハイテク 荷電粒子線装置
JP7413105B2 (ja) * 2019-09-25 2024-01-15 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
JP7391735B2 (ja) * 2019-09-25 2023-12-05 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置
US11580650B2 (en) 2019-10-01 2023-02-14 KLA Corp. Multi-imaging mode image alignment
US11087449B2 (en) 2019-10-24 2021-08-10 KLA Corp. Deep learning networks for nuisance filtering
TWI834015B (zh) * 2019-12-19 2024-03-01 荷蘭商Asml荷蘭公司 帶電粒子多射束系統及相關的非暫時性電腦可讀媒體
KR102228957B1 (ko) * 2019-12-30 2021-03-17 주식회사 고영테크놀러지 인쇄 회로 기판 검사 장치, 스크린 프린터의 결함 유형 결정 방법 및 컴퓨터 판독 가능한 기록 매체
IL295078A (en) * 2020-02-16 2022-09-01 Orbotech Ltd A system and method for testing many characteristics of prototype objects in the production of electric circuits
WO2021250884A1 (ja) * 2020-06-12 2021-12-16 株式会社日立ハイテク 欠陥検査のための方法、システム、及びコンピューター可読媒体
US11232550B2 (en) * 2020-06-29 2022-01-25 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
US11810284B2 (en) * 2020-08-21 2023-11-07 Kla Corporation Unsupervised learning for repeater-defect detection
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
US11676266B2 (en) 2020-11-04 2023-06-13 Tokyo Electron Limited Method and apparatus for inspecting pattern collapse defects
CN112213343B (zh) * 2020-12-03 2021-03-16 中国科学院自动化研究所 塑料条带承载生物超薄切片快速成像方法、系统、装置
US20220196580A1 (en) * 2020-12-21 2022-06-23 Globalfoundries U.S. Inc. Defect inspection methods of semiconductor wafers
US12020418B2 (en) * 2021-04-22 2024-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Image processing method and system, and non-transitory computer readable medium
US11983865B2 (en) * 2021-05-05 2024-05-14 KLA Corp. Deep generative model-based alignment for semiconductor applications
KR20240091149A (ko) * 2021-12-28 2024-06-21 주식회사 히타치하이테크 화상 검사 장치, 화상 처리 방법
CN115277123B (zh) * 2022-07-12 2024-01-19 上海交通大学 车用can总线注入攻击异常检测方法及系统

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20140241610A1 (en) * 2013-02-25 2014-08-28 Kla-Tencor Corporation Generalized Virtual Inspector
US20150062571A1 (en) * 2013-08-30 2015-03-05 Kla-Tencor Corporation Tuning Wafer Inspection Recipes Using Precise Defect Locations
TW201522945A (zh) * 2013-11-04 2015-06-16 Kla Tencor Corp 用於使光學圖像與掃描電子顯微鏡圖像相關聯之方法及系統
WO2015100099A1 (en) * 2013-12-23 2015-07-02 Kla-Tencor Corporation Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US20150204799A1 (en) * 2014-01-21 2015-07-23 International Business Machines Corporation Computer-based defect root cause and yield impact determination in layered device manufacturing for products and services

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2945448B2 (ja) * 1989-07-19 1999-09-06 オリンパス光学工業株式会社 表面形状測定装置
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
JP4597859B2 (ja) 2002-07-15 2010-12-15 ケーエルエー−テンカー コーポレイション マイクロリソグラフパターンの製作におけるパターンの認定、パターン形成プロセス、又はパターン形成装置
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7528943B2 (en) 2005-12-27 2009-05-05 Kla-Tencor Technologies Corporation Method and apparatus for simultaneous high-speed acquisition of multiple images
JP5283830B2 (ja) 2006-06-13 2013-09-04 富士通セミコンダクター株式会社 欠陥検査方法
US7373215B2 (en) * 2006-08-31 2008-05-13 Advanced Micro Devices, Inc. Transistor gate shape metrology using multiple data sources
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US8611639B2 (en) 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
KR20100092014A (ko) 2007-11-12 2010-08-19 마이크로닉 레이저 시스템즈 에이비 패턴 에러들을 검출하기 위한 방법들 및 장치들
US8041106B2 (en) 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US9277186B2 (en) * 2012-01-18 2016-03-01 Kla-Tencor Corp. Generating a wafer inspection process using bit failures and virtual inspection
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US8948495B2 (en) * 2012-08-01 2015-02-03 Kla-Tencor Corp. Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
US9098891B2 (en) 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
US9183624B2 (en) 2013-06-19 2015-11-10 Kla-Tencor Corp. Detecting defects on a wafer with run time use of design data
US9347862B2 (en) 2013-08-06 2016-05-24 Kla-Tencor Corp. Setting up a wafer inspection process using programmed defects
US9087176B1 (en) * 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
US9262821B2 (en) 2014-05-12 2016-02-16 Kla-Tencor Corp. Inspection recipe setup from reference image variation
US9535010B2 (en) * 2014-05-15 2017-01-03 Kla-Tencor Corp. Defect sampling for electron beam review based on defect attributes from optical inspection and optical review
US9816939B2 (en) 2014-07-22 2017-11-14 Kla-Tencor Corp. Virtual inspection systems with multiple modes
CN107004019B (zh) 2014-10-06 2019-05-28 马里有限公司 单向和双向数据流系统和方法
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US10747830B2 (en) 2014-11-21 2020-08-18 Mesh Labs Inc. Method and system for displaying electronic information
US9816940B2 (en) 2015-01-21 2017-11-14 Kla-Tencor Corporation Wafer inspection with focus volumetric method
US10012599B2 (en) 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
US9767548B2 (en) 2015-04-24 2017-09-19 Kla-Tencor Corp. Outlier detection on pattern of interest image populations
US10393671B2 (en) 2015-04-29 2019-08-27 Kla-Tencor Corp. Intra-die defect detection
US10359371B2 (en) 2015-08-24 2019-07-23 Kla-Tencor Corp. Determining one or more characteristics of a pattern of interest on a specimen
US10186026B2 (en) 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
US9965901B2 (en) 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US10074167B2 (en) 2015-12-06 2018-09-11 Kla-Tencor Corporation Reducing registration and design vicinity induced noise for intra-die inspection
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US20140241610A1 (en) * 2013-02-25 2014-08-28 Kla-Tencor Corporation Generalized Virtual Inspector
US20150062571A1 (en) * 2013-08-30 2015-03-05 Kla-Tencor Corporation Tuning Wafer Inspection Recipes Using Precise Defect Locations
TW201522945A (zh) * 2013-11-04 2015-06-16 Kla Tencor Corp 用於使光學圖像與掃描電子顯微鏡圖像相關聯之方法及系統
WO2015100099A1 (en) * 2013-12-23 2015-07-02 Kla-Tencor Corporation Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US20150204799A1 (en) * 2014-01-21 2015-07-23 International Business Machines Corporation Computer-based defect root cause and yield impact determination in layered device manufacturing for products and services

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220128899A1 (en) * 2020-10-22 2022-04-28 D2S, Inc. Methods and systems to determine shapes for semiconductor or flat panel display fabrication
TWI839650B (zh) * 2021-10-25 2024-04-21 美商學觀有限責任公司 基於數位資料的評分裝置及方法

Also Published As

Publication number Publication date
KR20180089911A (ko) 2018-08-09
WO2017117573A1 (en) 2017-07-06
EP3397952A1 (en) 2018-11-07
TW201734439A (zh) 2017-10-01
JP2019508678A (ja) 2019-03-28
US9916965B2 (en) 2018-03-13
KR102460050B1 (ko) 2022-10-27
IL259706B (en) 2022-03-01
EP3397952A4 (en) 2019-08-28
CN108431587A (zh) 2018-08-21
IL259706A (en) 2018-07-31
US20170194126A1 (en) 2017-07-06
CN115684202A (zh) 2023-02-03
JP6893514B2 (ja) 2021-06-23

Similar Documents

Publication Publication Date Title
TWI710763B (zh) 經組態以偵測一樣品上之缺陷之系統及用於偵測一樣品上之缺陷之電腦實施方法
TWI773888B (zh) 訓練用於低解析度影像中之缺陷偵測之神經網路
TWI715703B (zh) 用以偵測一樣本之影像之異常的系統及方法,以及非暫時性電腦可讀媒體
TWI751376B (zh) 識別在一晶圓上偵測到之缺陷中之損害及所關注缺陷
TWI713672B (zh) 為樣品產生模擬輸出之系統,非暫時性電腦可讀媒體及電腦實施方法
TWI735774B (zh) 基於輪廓之缺陷偵測
TWI742277B (zh) 用於對準以不同模態所獲取之影像之以學習為基礎之方法
TWI734724B (zh) 針對半導體應用由低解析度影像產生高解析度影像之系統、方法及非暫時性電腦可讀媒體
TWI711978B (zh) 用於執行針對樣本之功能之系統及電腦實施方法,以及電腦可讀媒體
KR102468982B1 (ko) 주사 전자 현미경 계측을 사용한 결함 검출, 분류 및 프로세스 윈도우 제어
TWI722050B (zh) 單一影像偵測
IL262672A (en) Generate simulated images from input images for semiconductor applications
TWI683103B (zh) 於樣品上判定所關注圖案之一或多個特性
TW202105264A (zh) 用於半導體應用之可學習缺陷偵測