CN112585720A - 用于调节膜均匀性的方法和设备 - Google Patents

用于调节膜均匀性的方法和设备 Download PDF

Info

Publication number
CN112585720A
CN112585720A CN201980055085.4A CN201980055085A CN112585720A CN 112585720 A CN112585720 A CN 112585720A CN 201980055085 A CN201980055085 A CN 201980055085A CN 112585720 A CN112585720 A CN 112585720A
Authority
CN
China
Prior art keywords
purge gas
gas
showerhead
substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980055085.4A
Other languages
English (en)
Inventor
普尔凯特·阿加瓦尔
阿德里安·拉沃伊
普鲁肖塔姆·库马尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112585720A publication Critical patent/CN112585720A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供了一种用于处理衬底的方法,其中所述衬底位于处理室内的喷头下方。在所述衬底上沉积沉积层,其中至少一种沉积气体通过所述喷头提供。在所述沉积所述沉积层的期间,使第二清扫气体从所述处理室内的所述喷头外的位置流入,从而在所述喷头的外缘周围形成流动帘幕,其中所述第二清扫气体包含至少一种成分气体。在所述沉积所述沉积层的期间,随着时间的推移改变所述至少一种成分气体的分压,其中所述沉积所述沉积层具有不均匀性,其中在所述沉积所述沉积层的期间,所述改变所述分压随着时间的推移改变所述不均匀性。

Description

用于调节膜均匀性的方法和设备
相关申请的交叉引用
本申请要求2018年8月22日提交的美国申请No.16/108,592的优先权利益,其全部内容通过引用并入本发明。
技术领域
本公开内容涉及半导体装置的形成。更具体而言,本公开内容涉及半导体装置在系统内的形成,其中,多个站是在处理室内。
发明内容
为了实现前述目的,并且根据本公开内容的目的,提供了一种用于处理衬底的方法,其中所述衬底位于处理室内的喷头下方。在所述衬底上沉积沉积层,其中至少一种沉积气体通过所述喷头提供。在所述沉积所述沉积层的期间,使第二清扫气体从所述处理室内的所述喷头外的位置流入,从而在所述喷头的外缘周围形成流动帘幕,其中所述第二清扫气体包含至少一种成分气体。在所述沉积所述沉积层的期间,随着时间的推移改变所述至少一种成分气体的分压,其中所述沉积所述沉积层具有不均匀性,其中在所述沉积所述沉积层的期间,所述改变所述分压随着时间的推移改变所述不均匀性。
在另一实现方式中,提供了一种衬底的处理方法,其中所述衬底位于处理室内的喷头下方。利用沉积工艺在所述衬底上沉积沉积层,其中所述沉积工艺使至少一种沉积气体流过所述喷头。在所述沉积工艺期间,使第二清扫气体从所述处理室内的所述喷头外的位置流入,从而在所述喷头的外缘周围形成流动帘幕,其中所述第二清扫气体能经调节而使所述沉积工艺具有圆顶状或碗状的不均匀性。
在另一实现方式中,提供了一种在衬底上沉积层的设备。提供处理室。至少两个衬底支撑件是在所述处理室中。至少两个喷头定位在所述处理室中,其中所述至少两个喷头中的每一喷头被放置在所述至少两个衬底支撑件中的衬底支撑件上方。提供至少两个清扫气体出口,其中所述至少两个清扫气体出口中的每一清扫气体出口位于所述至少两个喷头中的喷头上方并且适于使第二清扫气体流入,使得所述至少两个喷头中的每一个均具有在所述至少两个喷头中的每一个的外缘周围的第二清扫气体帘幕。提供至少两个致动器,其中所述至少两个致动器中的每一致动器适于使所述至少两个喷头中的喷头倾斜。控制器能控制地连接至所述至少两个致动器。
本公开的这些和其他特征将下文在本公开内容的详细描述中并结合下面的附图更详细地描述。
附图说明
本公开在附图中的图形是通过举例的方式而不是通过限制的方式示出,其中相同的附图标记表示类似的元件,并且其中:
图1是实施方案的流程图。
图2A是可以在一实施方案中使用的处理室的示意性俯视剖视图。
图2B是图2A所示的实施方案的侧视剖视图。
图3是可用于实践一实施方案的计算机系统的示意图。
图4是另一实施方案的流程图。
图5是另一实施方案的剖视示意图。
具体实施方式
所提供的公开内容现在将参照如附图中所示的其几个优选的实施方式详细描述。在下面的描述中,阐述了许多具体细节以便彻底理解本公开。然而,对本领域的技术人员将显而易见的是,在没有这些具体细节中的部分或所有的情况下可以实现本公开。在其他情况下,没有详细描述众所周知的处理步骤和/或结构,以避免不必要地使本公开难以理解。
图1为一实施方案的流程图。改变第二清扫气体(步骤104)。第二清扫气体包含至少一种成分气体。第二清扫气体的变化随时间的推移改变该至少一种成分气体的分压。同时,提供原子层沉积(ALD)工艺(步骤108)。原子层沉积工艺(步骤108)包含多个循环,其中每个循环包含提供第一反应物(步骤112)、清扫第一反应物(步骤114)、提供第二反应物(步骤116)以及清扫第二反应物(步骤118)。
图2A为在一实施方案中使用的具有四个处理站的处理室200的剖视俯视示意图。图2B是图2A所示的处理室200的剖视侧视图。处理室200具有室壁204。在室壁204内定位有四个处理站。每个处理站包含用于支撑衬底208的基座212、用于向喷头216下方的衬底208提供气体的喷头216以及将喷头216连接到气体源222的歧管220。在该实施方案中,气体源222包含第一反应物源228、第二反应物源232和主要清扫气体源236。第二清扫气体出口224与第二清扫气体源226流体连接。在该实施方案中,第二清扫气体出口224为围绕歧管220的圆柱形套环的形状。该圆柱形套环具有孔洞,以使得第二清扫气体在喷头216的顶部上方沿径向方向向外流动。控制器235可控制地连接到气体源222、第二清扫气体源226、射频(RF)电源系统240和真空系统244。这种室的一个示例是由Lam Research Corporation(Fremont,CA)所制造的StrikerTM Oxide系统。
图3是示出适用于实现在实施方案中使用的控制器235的计算机系统300的高级框图。计算机系统可以具有从集成电路、印刷电路板和小型手持装置到超大型计算机的许多物理形式。计算机系统300包括一个或多个处理器302,并且还可以包括电子显示装置304(用于显示图形、文本和其他数据)、主存储器306(例如随机存取存储器(RAM)),存储装置308(例如,硬盘驱动器)、可移动存储装置310(例如,光盘驱动器)、用户界面装置312(例如,键盘、触摸屏、小键盘、鼠标或其他指点装置等)和通信接口314(例如,无线网络接口)。通信接口314允许经由链路在计算机系统300和外部装置之间传送软件和数据。系统还可以包括与上述装置/模块连接的通信基础设施316(例如,通信总线、交叉连接杆或网络)。
经由通信接口314传送的信息可以是诸如电子、电磁、光学之类的信号形式或能够经由通信链路由通信接口314接收的其它信号,通信链路携带信号并可以使用导线或电缆、光纤、电话线、蜂窝电话链路、射频链路和/或其他通信信道实现。利用这样的通信接口,可以预期一个或多个处理器302可以在执行上述方法步骤的过程中从网络接收信息,或者可以向网络输出信息。此外,本发明的方法实施方案可以仅在处理器上执行,或者可以通过诸如因特网之类的网络与共享处理的一部分的远程处理器一起执行。
术语“非瞬态计算机可读介质”通常用于指代介质,例如主存储器、辅助存储器、可移动存储装置,以及存储装置,例如硬盘、闪存存储器、磁盘驱动存储器、CD-ROM以及其他形式的持久性存储器,并且不应当被解释为涵盖瞬态标的物,如载波或信号。计算机代码的示例包括机器代码(例如由编译器产生的机器代码)和含有由计算机使用解释器执行的较高级代码的文档。计算机可读介质也可以是由包含在载波中的计算机数据信号发送的并且代表能由处理器执行的指令序列的计算机代码。
在一实施方案的示例中,将衬底208放置在基座212上。提供变更的第二清扫气体流(步骤104)。在该示例中,第二清扫气体为氧气(O2)。氧气为第二清扫气体的至少一种成分气体。在该示例中,藉由增加O2的流率来改变第二清扫气体的流率(步骤104)。结果,O2的分压随时间的推移而增加。
在改变第二清扫气体的流量的同时(步骤104),提供了原子层沉积工艺(步骤108),其沉积了ALD层。原子层沉积工艺(步骤108)包含多个循环,其中每一循环均包含提供第一反应物(步骤112)、清扫第一反应物(步骤114)、提供第二反应物(步骤116)以及清扫第二反应物(步骤118)。原子层沉积工艺(步骤108)的配方的一示例提供第一反应物,其是400sccm的氨基硅烷(步骤112)。沉积含硅的前体层。在0.4秒后,停止第一反应物通过喷头216的流动。第一清扫气体通过喷头216流到站(步骤114)。在该示例中,第一清扫气体为氩气和氧气(O2)。停止第一清扫气体的流动。第二反应物通过喷头216流入处理室(步骤116)。在该示例中,使13,000sccm的Ar和1500sccm的O2的氧化气体作为第二反应物流动。氧化气体转化成等离子体。在该示例中,以13.56(兆赫)MHz的频率提供了100到500瓦的RF。来自氧化气体的等离子体将沉积的含硅前体层转化为硅氧化物,该硅氧化物是ALD层的一部分。在0.25秒后,停止第二反应物流入处理室。使清扫气体流入处理室以清扫第二反应物气体(步骤118)。停止第二清扫气体的流动。接着从使前体气体流入处理室的步骤开始重复该循环。
第二清扫气体248从第二清扫气体出口224流至喷头216的顶部,以围绕喷头216的外缘形成第二清扫气体帘幕252。
不受理论的束缚,相信第二清扫气体的时间变化会影响在衬底208的外缘处的沉积,从而提供调谐的径向不均匀性。径向不均匀会导致从中心沿着径向距离增加或减少沉积。在一示例中,沉积的厚度沿径向距离增加而不减少。在另一示例中,沉积的厚度沿径向距离减少而不增加。这种径向不均匀性会导致碗状或圆顶状的轮廓。在该示例中,第二清扫气体的时间变化会产生碗状轮廓的调谐变化。此外,时间变化改善了图案加载。当第二清扫气体是氧气时,氧气难以点燃。随着时间的推移而增加第二清扫气体的流量,会使得外部处理区域随着时间的推移更难点燃。此外,随着时间的推移增加第二清扫气体的流量会随着时间的推移增加清扫气体对晶片的外部区域的影响。
提供互补的不均匀工艺(步骤122)。ALD工艺(步骤108)和互补不均匀工艺(步骤122)在所得到的产物比仅由互补不均匀工艺(步骤122)和仅由ALD工艺(步骤108)所形成的产物在径向上更均匀的情况下被认为是互补的。互补非均匀工艺(步骤122)的示例可以是回蚀工艺、双重图案化工艺或间隙、沟槽、孔或缝隙填充工艺,其用于例如浅沟槽隔离(STI)之类的应用或用于制造例如动态随机存取内存(DRAM)、“非与”(NAND)门、静态随机存取存储器(SRAM)和相变随机存取存储器(PCRAM)之类的存储器装置。
在其他实施方案中,可以通过维持第二清扫气体的流率恒定且改变该第二清扫气体中至少一种成分气体与另一种气体的比例来改变至少一种成分气体的分压。在多种实施方案中,该至少一种成分气体是氩(Ar)、氦(He)、氮(N2)和O2中的一种。在示例性的实施方案中,第二清扫气体可以是纯O2、N2、Ar、一氧化碳(CO)、二氧化碳(CO2)、臭氧(O3)或He。在其他示例性实施方案中,第二清扫气体可以是O2和N2的混合物,其分子的流量比在3:1至1:3的范围内。在其他示例性实施方案中,第二清扫气体可以是O2和Ar或He的混合物,其分子的流量比在3:1至1:3的范围内。在其他实施方案中,可以使用对ALD工艺为惰性且在ALD工艺期间不会被等离子体点燃的其他气体。由于第二清扫气体不会被ALD工艺点燃并且是惰性的,因此第二清扫气体可在相邻站点之间提供隔离,以防止串扰。
在其他实施方案中,取代在ALD工艺(步骤108)之后提供互补的不均匀工艺(步骤122)并改变第二清扫气体(步骤104),可以在ALD工艺(步骤108)以及改变第二清扫气体(步骤104)之前进行互补的不均匀工艺(步骤122)。在这样的实施方案中,互补不均匀工艺(步骤122)会形成具有径向不均匀性的堆叠件,而该径向不均匀性和与改变第二清扫气体(步骤104)同时执行的ALD工艺(步骤108)的径向不均匀性互补。
在一些实施方案中,所述改变第二清扫气体(步骤104)会在提供ALD工艺(步骤108)的整个期间中改变第二清扫气体。在一些实施方案中,所述改变第二清扫气体(步骤104)并不会在提供ALD工艺(步骤108)的整个期间改变第二清扫气体,而是在提供ALD工艺(步骤108)期间的至少一部分中改变第二清扫气体。在示例性的实施方案中,所述改变第二清扫气体(步骤104)是在提供ALD工艺(步骤108)的至少一半的期间内改变第二清扫气体。
图4是在另一实施方案中使用的方法的高阶流程图。通过使用第二清扫气体执行ALD工艺来处理衬底208(步骤404)。第二清扫气体的流率和成分可调节径向不均匀性。在衬底208上执行额外的工艺(步骤408),其中该额外工艺(步骤408)会引起径向不均匀性。测量衬底上所得产品的径向不均匀性(步骤412)。所测得的径向不均匀度是ALD工艺(步骤404)的径向不均匀性与额外工艺(步骤408)的径向不均匀性的总和。如果所测得的径向不均匀性不令人满意,则调整第二清扫气体配方(步骤420)。如此将需要调节第二清扫气体的流率和/或第二清扫气体的成分。重复步骤404至420,直到测得的径向不均匀性令人满意为止(步骤416)。已确定了第二清扫气体的令人满意的配方。使用所确定的令人满意的第二清扫气体配方来处理随后的衬底(步骤424)。在每个衬底上执行额外的工艺(步骤428)。
原子层沉积工艺(步骤404和424)可以使用与先前实施方案中描述的相同的原子层沉积工艺(步骤108)。该第二清扫气体的配方会使原子层沉积工艺(步骤404和424)具有径向不均匀性,而该径向不均匀性与额外工艺(步骤408、428)的径向不均匀性互补。
在一些实施方案中,该额外工艺(步骤428)可以在使用第二清扫气体的ALD(步骤424)之前执行。在多种实施方案中可以使用上述所用的第二清扫气体。该额外工艺的一个示例可以是碳修整工艺。该额外工艺的其他示例可以是在双重或多重图案化期间使用的其他工艺。在双重或多重图案化期间,特征尺寸会减小到2的次幂分之一。随着特征尺寸的减小,由不均匀性引起的缺陷数量会增加。提供在双重或多重图案化工艺中增加均匀性的方法可减少缺陷。多种实施方案使用第二清扫气体作为额外参数来提供调谐的不均匀性,其与用于双重或多重图案化的其他工艺互补。
在其他实施方案中,第二清扫气体可以与化学气相沉积(CVD)工艺或等离子体增强化学气相沉积(PECVD)工艺一起使用。在这样的实施方案中,可以在执行CVD或PECVD工艺的同时改变第二清扫气体的流量。在其他实施方案中,调整第二清扫气体的流量以使CVD或PECVD工艺具有圆顶状或碗状的不均匀性。
图5是在另一实施方案中使用的处理室200的剖视侧视图。处理室200具有室壁204。在室壁204内定位有四个处理站。每个处理站包含用于支撑衬底208的基座212、用于向喷头216下方的衬底208提供气体的喷头216以及将喷头216连接到气体源的歧管220。第二清扫气体出口224与第二清扫气体源流体连接。在该实施方案中,第二清扫气体出口224具有围绕歧管220的圆柱形套环的形状。该圆柱形套环224具有孔洞502,以使得第二清扫气体在喷头216的顶部上方沿径向方向向外流动。喷头致动器504被机械式地连接至喷头216。控制器235可控制地连接到喷头致动器504。
喷头致动器504能够调节喷头216的倾斜度。控制器235使得能在处理过程中或处理之间调节喷头216。倾斜喷头216可用于在第二清扫气体的流动中产生方位角不均匀性。喷头216的倾斜还改变了喷头216和基座212之间的间隙间隔,进而改变了喷头216和基座212之间的功率输送。这种间隔的改变在方位角上是不均匀的。
后续工艺在方位角上可能是不一致的。喷头216在沉积工艺中的倾斜被设计成产生方位角不均匀性,其与后续工艺所引起的方位角不均匀性互补。这些方位角不均匀性在两个工艺执行后所得到的产物比单独通过互补不均匀工艺所形成的产物在方位角上更均匀的情况下被认为是互补的。
虽然已经根据几个优选实施方案描述了本公开,但是存在落在本公开的范围内的改变、修改、置换和各种替代等同方案。还应当注意,存在实现本公开的方法和装置的许多替代方式。因此,以下所附权利要求旨在被解释为包括落在本公开的真实精神和范围内的所有这样的改变、修改、置换和各种替代等同方案。

Claims (20)

1.一种用于处理衬底的方法,其中所述衬底位于处理室内的喷头下方,所述方法包含:
在所述衬底上沉积沉积层,其中至少一种沉积气体通过所述喷头提供;
在所述沉积所述沉积层的期间,使第二清扫气体从所述处理室内的所述喷头外的位置流入,而在所述喷头的外缘周围形成流动帘幕,其中所述第二清扫气体包含至少一种成分气体;以及
在所述沉积所述沉积层的期间,随着时间的推移改变所述至少一种成分气体的分压,其中所述沉积所述沉积层具有不均匀性,其中在所述沉积所述沉积层的期间,所述改变所述分压随着时间的推移改变所述不均匀性。
2.根据权利要求1所述的方法,其中改变所述至少一种成分气体的所述分压包含:改变所述至少一种成分气体的流率。
3.根据权利要求1所述的方法,其中所述至少一种成分气体包含Ar、He、O2、CO、CO2、O3或N2中的至少一者。
4.根据权利要求1所述的方法,其中所述不均匀性包含径向不均匀性。
5.根据权利要求4所述的方法,其中所述沉积层从中心沿着径向距离增加。
6.根据权利要求4所述的方法,其中所述沉积层从中心沿着径向距离减少。
7.根据权利要求1所述的方法,其中所述沉积所述沉积层包含:提供原子层沉积工艺。
8.根据权利要求7所述的方法,其中所述原子层沉积工艺包含:
使前体气体流入;
使氧化气体流入;以及
提供RF功率以将所述氧化气体转化成等离子体。
9.根据权利要求1所述的方法,其还包含用额外工艺处理所述衬底,其中用所述额外工艺处理所述衬底具有不均匀性,其中用所述额外工艺处理所述衬底的所述不均匀性与所述沉积所述沉积层的所述不均匀性互补。
10.根据权利要求1所述的方法,其中所述处理室包含用于同时处理至少两个衬底的至少两个喷头,其中使所述第二清扫气体流入是使第二清扫气体流入以用于所述至少两个喷头中的每一个,使得所述至少两个喷头中的每一个均具有在所述至少两个喷头中的每一个的外缘周围的所述第二清扫气体的帘幕。
11.一种衬底的处理方法,其中所述衬底位于处理室内的喷头下方,所述方法包含:
利用沉积工艺在所述衬底上沉积沉积层,其中所述沉积工艺使至少一种沉积气体流过所述喷头;以及
在所述沉积工艺期间,使第二清扫气体从所述处理室内的所述喷头外的位置流入,从而在所述喷头的外缘周围形成流动帘幕,其中所述第二清扫气体能经调节而使所述沉积工艺具有圆顶状或碗状的不均匀性。
12.根据权利要求11所述的方法,其中所述第二清扫气体包含Ar、He、O2、CO、CO2、O3或N2中的至少一者。
13.根据权利要求11所述的方法,其中所述不均匀性为径向不均匀性。
14.根据权利要求13所述的方法,其中所述沉积层从中心沿着径向距离增加。
15.根据权利要求13所述的方法,其中所述沉积层从中心沿着径向距离减少。
16.根据权利要求11所述的方法,其中所述沉积工艺为原子层沉积工艺。
17.根据权利要求16所述的方法,其中所述原子层沉积工艺包含:
使前体气体流入;
使氧化气体流入;以及
提供RF功率以将所述氧化气体转化成等离子体。
18.一种在衬底上沉积层的设备,其包含:
处理室;
在所述处理室中的至少两个衬底支撑件;
至少两个喷头,其中所述至少两个喷头中的每一喷头被放置在所述至少两个衬底支撑件中的衬底支撑件上方;
至少两个清扫气体出口,其中所述至少两个清扫气体出口中的每一清扫气体出口位于适于使第二清扫气体流入的所述至少两个喷头中的喷头上方,使得所述至少两个喷头中的每一个均具有在所述至少两个喷头中的每一个的外缘周围的第二清扫气体帘幕;
至少两个致动器,其中所述至少两个致动器中的每一致动器适于使所述至少两个喷头中的喷头倾斜;以及
控制器,其能控制地连接至所述至少两个致动器。
19.根据权利要求18所述的设备,其还包含RF功率系统,所述RF功率系统适于提供RF功率至所述处理室,其中所述控制器能控制地连接至所述RF功率系统。
20.根据权利要求18所述的设备,其还包含真空系统,所述真空系统适于提供真空至所述等离子体处理室,其中所述控制器能控制地连接至所述真空系统。
CN201980055085.4A 2018-08-22 2019-08-16 用于调节膜均匀性的方法和设备 Pending CN112585720A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/108,592 2018-08-22
US16/108,592 US11913113B2 (en) 2018-08-22 2018-08-22 Method and apparatus for modulating film uniformity
PCT/US2019/046867 WO2020041138A1 (en) 2018-08-22 2019-08-16 Method and apparatus for modulating film uniformity

Publications (1)

Publication Number Publication Date
CN112585720A true CN112585720A (zh) 2021-03-30

Family

ID=69583563

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980055085.4A Pending CN112585720A (zh) 2018-08-22 2019-08-16 用于调节膜均匀性的方法和设备

Country Status (4)

Country Link
US (2) US11913113B2 (zh)
KR (1) KR20210035869A (zh)
CN (1) CN112585720A (zh)
WO (1) WO2020041138A1 (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0462730A1 (en) * 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
CN105316651A (zh) * 2014-07-30 2016-02-10 朗姆研究公司 抑制喷头背面寄生等离子体的方法和装置
CN107034445A (zh) * 2015-12-31 2017-08-11 朗姆研究公司 一种在半导体处理装置中动态调节喷头倾斜的致动器
CN107523804A (zh) * 2016-06-17 2017-12-29 朗姆研究公司 用于膜轮廓调节的喷头帘式气体方法和系统

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7153744B2 (en) * 2003-12-03 2006-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-aligned poly for embedded flash
JP2013070016A (ja) 2011-09-06 2013-04-18 Sharp Corp 窒化物半導体結晶成長装置およびその成長方法
US9418866B2 (en) 2012-06-08 2016-08-16 Tokyo Electron Limited Gas treatment method
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10704144B2 (en) 2015-10-12 2020-07-07 Universal Display Corporation Apparatus and method for printing multilayer organic thin films from vapor phase in an ultra-pure gas ambient

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0462730A1 (en) * 1990-06-18 1991-12-27 AT&T Corp. Method and apparatus for forming planar integrated circuit layers
CN105316651A (zh) * 2014-07-30 2016-02-10 朗姆研究公司 抑制喷头背面寄生等离子体的方法和装置
CN107034445A (zh) * 2015-12-31 2017-08-11 朗姆研究公司 一种在半导体处理装置中动态调节喷头倾斜的致动器
CN107523804A (zh) * 2016-06-17 2017-12-29 朗姆研究公司 用于膜轮廓调节的喷头帘式气体方法和系统

Also Published As

Publication number Publication date
TW202028511A (zh) 2020-08-01
WO2020041138A1 (en) 2020-02-27
US20200063259A1 (en) 2020-02-27
US20240183034A1 (en) 2024-06-06
US11913113B2 (en) 2024-02-27
KR20210035869A (ko) 2021-04-01

Similar Documents

Publication Publication Date Title
TWI758404B (zh) 氫活化原子層蝕刻
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US9793097B2 (en) Time varying segmented pressure control
TWI553146B (zh) 使用微波電漿之薄膜沉積
JP2021528865A (ja) 有機材料上に金属酸化物膜を堆積するための堆積ツールおよび方法
US9257300B2 (en) Fluorocarbon based aspect-ratio independent etching
WO2018175036A1 (en) Atomic layer etching of silicon nitride
US12020944B2 (en) Method for etching an etch layer
TWI733838B (zh) 電漿成膜裝置及基板載置台
CN109952636B (zh) 等离子体点燃抑制
US20210398780A1 (en) Method andd apparatus for atomic layer deposition or chemical vapor deposition
US20170008015A1 (en) Substrate processing apparatus
US20240183034A1 (en) Method and apparatus for modulating film uniformity
TWI843745B (zh) 膜均勻性的調變方法及設備
JP2005175242A (ja) 薄膜作製装置及び薄膜作製方法
US8664105B2 (en) Mitigation of silicide formation on wafer bevel
US20220165563A1 (en) Method for providing doped silicon
US11651963B2 (en) Method of improving deposition induced CD imbalance using spatially selective ashing of carbon based film
WO2023049012A1 (en) Remote plasma deposition with electrostatic clamping
JP2003168680A (ja) 気相堆積方法及び装置
KR20090055441A (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination