CN112219271A - 用以识别与光学邻近校正相关的系统性缺陷的混合设计布局 - Google Patents

用以识别与光学邻近校正相关的系统性缺陷的混合设计布局 Download PDF

Info

Publication number
CN112219271A
CN112219271A CN201980037056.5A CN201980037056A CN112219271A CN 112219271 A CN112219271 A CN 112219271A CN 201980037056 A CN201980037056 A CN 201980037056A CN 112219271 A CN112219271 A CN 112219271A
Authority
CN
China
Prior art keywords
layout
wafer
layer
processor
hybrid design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980037056.5A
Other languages
English (en)
Other versions
CN112219271B (zh
Inventor
A·帕克
A·杰因
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN112219271A publication Critical patent/CN112219271A/zh
Application granted granted Critical
Publication of CN112219271B publication Critical patent/CN112219271B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)

Abstract

可使用包含可印刷层及非印刷层的混合设计布局来识别缺陷。可通过合并所述不可印刷层布局的至少一部分与所述可印刷层布局来产生所述混合设计布局。可使用光学或扫描电子束图像来识别缺陷。

Description

用以识别与光学邻近校正相关的系统性缺陷的混合设计布局
相关申请案的交叉参考
本申请案主张2018年6月21日申请且转让给第62/688,271号美国专利案的临时专利申请案的优先权,所述申请案的揭示内容特此以引用的方式并入。
技术领域
本发明涉及识别半导体装置中的缺陷。
背景技术
半导体制造业的发展对良率管理(且特定来说对计量及检验系统)提出了更高要求。临界尺寸继续缩小,但业界需要缩短实现高良率、高价值生产的时间。最小化从检测良率问题到修复所述问题的总时间决定半导体制造商的投资回报。
制造半导体装置(例如逻辑及存储器装置)通常包含使用大量制造工艺处理半导体晶片以形成半导体装置的各种特征及多个层级。例如,光刻是涉及将图案从光罩转印到布置于半导体晶片上的光致抗蚀剂的半导体制造工艺。半导体制造工艺的额外实例包含(但不限于)化学机械抛光(CMP)、蚀刻、沉积及离子植入。多个半导体装置可以一布置制造在单个半导体晶片上且接着被分成个别半导体装置。
随着集成电路(IC)的密度及复杂性不断增加,检验光刻掩摸图案变得更具挑战性。每个新一代的IC具有更密集及更复杂图案,其当前达到及/或超过光刻系统的光学限制。为了克服这些光学限制,已引入各种分辨率增强技术(RET),例如光学邻近校正(OPC)。例如,OPC通过修改光掩摸图案有助于克服一些衍射限制,使得所得印刷图案对应于原始所要图案。此类修改可包含对主IC特征(即,可印刷特征)的大小及边缘的扰动。其它修改涉及向图案角添加衬线及/或提供附近子分辨率辅助特征(SRAF),其预期不产生印刷特征,且因此称为不可印刷特征。OPC特征及SRAF可添加到设计中以增强晶片上的特征的印刷,而无需实际印刷自身。期望这些不可印刷特征消除在印刷过程期间原本将发生的图案扰动。然而,OPC使掩摸图案更加复杂且通常与所得晶片图像不同。
OPC可用于补偿归因于衍射或工艺效应的图像误差。对OPC的需求是归因于光的限制以在处理之后将原始设计的边缘放置完整性维持到硅晶片上的蚀刻图像中。这些经投射图像出现不规则性,例如线宽,其比所设计更窄或更宽,其有时可通过改变用于成像的光掩摸上的图案来补偿。其它扭曲(例如圆角)通过光学成像工具的分辨率驱动且更难以补偿。如果不校正,那么此类扭曲可显著改变正在制造的物体的电性质。OPC通过移动边缘或向写入于光掩摸上的图案添加额外多边形来校正这些误差。目标是尽可能在半导体晶片上再现由设计者绘制的原始布局。
OPC的两个最明显益处是校正在不同密度的区域(例如,阵列的中心与边缘,或嵌套线与隔离线)中的特征之间所见的线宽差及线端缩短(例如,场氧化物上的栅极重叠)。对于前一种情况,这可与分辨率增强技术一起使用,例如散射条(相邻于可分辨线放置的子分辨率线)以及线宽调整。对于后一种情况,可在设计的线端处产生“狗耳”(衬线或锤头)特征。
在光刻目标对焦点特别敏感的情况下,SRAF是有用的。SRAF是非常小掩摸特征,其经设计以通过额外相长干扰或相消干扰来改进工艺边际且增强隔离特征的分辨率,但不希望在所得晶片上印刷。现有光刻技术需要使用某种形式的临界尺寸计量数据及数据的分离的剂量及焦点的去卷积以确定聚焦响应。
现有方法使用设计布局文件来模拟缺陷或队缺陷进行分组。基于设计布局对缺陷进行分组(例如在基于设计的分级(DBB)方法中)以将系统性故障与随机缺陷分离。使用设计布局的模拟可用于识别潜在故障位点,其可为数百万或甚至数十亿的位置。
此常规DBB方法对于单个层图案化效果相对较好。然而,DBB对于多图案化具有至少两个问题。首先,如果所有三个层用于运行DBB,那么归因于随后不相关图案的变化,归因于一个来源(层特定问题)的故障可分成3x或更多个仓。此将实际故障稀释到多个仓中,且因此在采样缺陷时可忽略。当单个掩摸层用于运行DBB时,其导致太多空剪辑且提供无用的基于设计的分组(DBG)结果。
OPC相关或SRAF相关问题还可导致空图案群组,其意味着图案群组可能不被分离或识别为唯一图案。图1说明示范性DBG特殊情况。带有空白扩展边界框(EBB)的缺陷经分组在一起,而不管表示不同故障模式的EBB外部的图案变化。空EBB在当前图层上不具有多边形,但其后面可存在不可见内容。此不可见内容可包含印刷非所要图案的辅助结构。先前层可引起系统性妨害。
这可为稀疏层的问题,例如中间制程(MOL)或后段制程(BEOL)中的触点,其中甚至250nm EBB也不足以防止空EBB。DBG使用EBB内的物理顶点来界定种子窗口的中心且在EBB内可不具有坐标的概念。除非对算法做改变,否则界定虚拟顶点或位置是不可能的。然而,可能无法改变算法以使用稀疏层来解决此实例。
归因于在故障位置处缺乏设计图案,难以识别由SRAF不足引起的缺陷。归因于多图案化技术的使用,当运行DBG时所有掩摸组合在一起时,OPC问题可经稀释到多个仓中。可使用后OPC设计布局,但由于例如无法存取设计文件的原因而不实用。此还可能因为设计的复杂性增加(>10x)而不实用,且具有此类设计文件的任何分组在计算上具有挑战性。
当前,通过空EBB的分组可用于识别其中印刷非所要特征的区域(例如,印刷于晶片上的SRAF)或识别可具有大空区域的有问题OPC点。空EBB还可用于识别在通孔及多晶硅切割1层及其它多图案化层上所观察的问题。然而,由于其计算性质,通过空EBB的分组对于半导体制造业来说太慢。运行DBG将提高处理量及易用性(EOU),但此方法面临其它问题。存在大面积,附近无多边形,特别是如果使用单个层。使用大EBB将不相关缺陷合并到仓中。使用无顶点的虚拟位置将为相同图案产生无限数目个群组。空区域还会禁止根本原因分析。
设计中的位置还可用于基于几何哈希值进行分组。这可使用更大区域来产生分组且可对处理量效率产生负面影响。对于半导体制造商来说,此技术可能太慢。
因此,需要经改进的缺陷识别方法及系统。
发明内容
在第一实施例中提供一种系统。所述系统包含:平台,其经配置以固持晶片;检测器,其经配置以接收从所述晶片反射的射束;及处理器,其与所述检测器电子通信。所述处理器经配置以接收包含至少一个缺陷的所述晶片的图像且使用包含可印刷层及非印刷层的混合设计布局来识别所述晶片上的所述缺陷。
所述射束可为光子束,在所述情况下,所述系统进一步包含光源。所述射束还可为电子束,在所述情况下,所述系统进一步包含电子源。
所述处理器可进一步经配置以接收所述晶片的所述可印刷层布局及所述非印刷层布局且通过合并所述不可印刷层布局的至少一部分与所述可印刷层布局来产生所述混合设计布局。
所述非印刷层可包含光学邻近校正或子分辨率辅助特征。所述非印刷层还可包含由所述处理器产生的人造层。
在第二实施例中提供一种方法。在处理器处接收晶片的图像及混合设计布局。所述混合设计布局包含可印刷层及非印刷层。使用所述处理器,使用所述混合设计布局识别所述晶片上的一或多个缺陷。
在例子中,在所述处理器处接收所述晶片的所述可印刷层布局及所述晶片的所述非印刷层布局。通过合并所述不可印刷层布局的至少一部分与所述可印刷层布局,可使用所述处理器产生所述混合设计布局。接收所述可印刷层布局可包含接收用于所述晶片的层中的有效多边形的预光学邻近校正图形数据选择层。接收所述非印刷层布局可包含提取子分辨率辅助特征。接收所述非印刷层布局还可包含获得所述晶片的层,其仅包含具有直线形状的子分辨率辅助特征。
所述混合设计布局可为新的图形数据选择文件或光罩设计文件。
所述非印刷层可包含光学邻近校正或子分辨率辅助特征。所述非印刷层还可包含由所述处理器产生的人造层。
在第三实施例中提供一种非暂时性计算机可读存储媒体。所述非暂时性计算机可读存储媒体包括混合设计数据结构。所述混合设计数据结构包含可印刷层及非印刷层。
所述非暂时性计算机可读存储媒体可进一步包含用于使用所述混合设计布局识别所述晶片上的一或多个缺陷的一或多个程序。
在例子中,所述非暂时性计算机可读存储媒体进一步包含用于在一或多个计算装置上执行以下步骤的一或多个程序。所述步骤包含接收所述晶片的所述可印刷层布局及所述非印刷层布局且通过合并所述不可印刷层布局的至少一部分与所述可印刷层布局来产生所述混合设计布局。
所述非印刷层可包含光学邻近校正或子分辨率辅助特征。所述非印刷层可包含人造层,其可由处理器产生。
附图说明
为了更全面地理解本发明的本质及目的,应参考以下结合附图的详细描述,其中:
图1说明示范性DBG特殊例子;
图2是根据本发明的方法的流程图;
图3是说明SRAF的示范性图;
图4是说明经印刷的图3的层的示范性图;
图5是在10nm标度上无多边形的大区域的示范性图;
图6说明使用后OPC布局的计算影响;
图7是具有用于锚定到算法上的空白空间的示范性预OPC布局;
图8是具有包含受限OPC特征的信息的示范性后OPC布局;
图9是使用具有辅助特征的预OPC设计的示范性混合设计布局;
图10是图9的混合设计布局的示范性应用;
图11是非印刷修改的示范性图;及
图12是根据本发明的系统的框图。
具体实施方式
尽管将根据某些实施例描述所主张的目标物,但包含不提供本文中所阐述的所有益处及特征的实施例的其它实施例还在本发明的范围内。在不脱离本发明的范围的情况下,可进行各种结构、逻辑、工艺步骤及电子改变。据此,仅通过参考所附权利要求书来界定本发明的范围。
本文中所揭示的实施例使用检验数据识别与OPC及SRAF质量相关的系统性缺陷,尤其对于当前不存在合适解决方案的多图案化环境。即使在极紫外(EUV)光刻实施方案之后,还可使用多层处理(双图案化光刻(DPL)、三重图案化光刻(TPL)、四重图案化光刻(QPL)),因为特征大小继续缩小。对例如本文中所揭示的技术及数据格式的改变可用于解决先前技术的挑战及缺陷。
图2是方法100的流程图。在101处,在处理器处接收晶片的图像。在102处,在所述处理器处接收混合设计布局。所述混合设计布局包含可印刷层及非印刷层。可选择可印刷或未印刷层,例如使用标准设计文件验证语言,如标准验证规则格式(SVRF)。可印刷层包含在半导体晶片上印刷或以其它方式形成的层。因此,可印刷层可为IC的部分。例如,可印刷层可为印刷于晶片上的层且可为功能电路。可印刷层的实例包含用于MOL或BEOL的接触层。
非印刷层可包含OPC、SRAF、由处理器产生的人造层、静态随机存取存储器(SRAM)标记层、外延标记层、可支持检验的植入层或经产生以支持检验的额外层。
在例子中,一或多个人造层可用于对准及分级目的。可产生人造层或可修改现有非印刷层。每一设计的实施方案可基于设置规则,其可为算法。人造层中的多边形可独立产生或可从现有多边形导出。例如,SRAM标记层可从现有多边形导出。当其以某一顺序重复时,其经设置为不同层。
混合设计布局可为(例如)图形数据系统(GDS)文件或光罩设计文件(RDF)。例如,可将信息流式传输到其中产生组合的新的GDS(例如,OASIS)或RDF中。GDS或RDF可将每一个层组合成混合设计布局,然而在RDF中保持层分离可提供某些益处,例如经改进的对准或经改进的分级。
可使用电子束系统、宽带等离子体(BBP)系统、光学系统、激光散射系统或其它系统来产生用于检验的信息。
混合设计布局可不包含OPC特征,因为文件大小可能太大,但可包含不在晶片上印刷的散射条。在无OPC特征的情况下,文件本身可不具生产价值。
在103处,使用混合设计布局识别晶片上的一或多个缺陷。可执行坐标对准以将晶片的图像与混合图像一起使用。以不同方式完成产生设计文件以包含这些额外多边形且在设计验证语言中使用以隔离新多边形(例如,识别新多边形)。这可提供经改进分级及经改进独特缺陷识别。
还可对缺陷进行分组。例如,可使用混合设计布局来识别与OPC相关的故障及与SRAF相关的故障。
为了产生混合设计布局,在处理器处接收晶片的可印刷层布局及晶片的非印刷层布局。通过合并不可印刷层布局的至少一部分与可印刷层布局,可使用处理器产生混合设计布局。因此,混合设计布局可包含预OPC有效多边形及SRAF两者。具有可印刷层布局及非印刷层布局的混合设计布局可为用于晶片检验的虚拟层。全部或部分不可印刷层(例如OPC及SRAF)可用于产生混合设计布局。
接收可印刷层布局可包含接收晶片的层中的有效多边形的预OPC-GDS层。例如,可接收OASIS文件。
接收非印刷层布局可包含提取SRAF。可使用SVRF语言提取SRAF。
接收非印刷层布局可包含获得仅包含具有直线形状的SRAF的晶片的层。在混合设计布局中所使用的矩形、多边形或其它形状可由处理器以与本文中所揭示的其它实施例类似的方式产生。然而,混合设计布局的这些形状可出现于不同层中。
可在不中断电流流动的情况下产生混合设计布局。
混合设计布局可产生不用于生产或缺陷检验的新层,其可减少或消除半导体制造商关于共享设计数据的顾虑。
可基于尺寸及密度来修改非印刷层。例如,基于何者构成“空”区域的定义,可筛除、组合或修改某些多边形。一些非印刷多边形可经修改或缩小以用作距心来界定用于图案分组的种子窗口。本文中所揭示的实施例可预先筛选混合布局以减少及/或串接一些框以对其进行组合,其可构成“空”区域。
使用后OPC GDS来解决OPC/SRAF相关问题的挑战可为计算密集型的且可需要半导体制造商无法获得的信息。使用本文中所揭示的混合设计层可缓解这些顾虑。
方法100提供多种益处。首先,使用混合设计布局减少计算要求,因为大多数额外边缘及顶点位于后OPC层上的有效多边形中。例如,消除顶点意味着需要更少计算功率。可通过本文中所揭示的实施例消除顶点。例如,可筛选布局以减少顶点。SRAF通常添加小部分额外数据。其次,使用混合设计布局可实现使用常规预OPC设计方法不可能的新分组,因为如DBG那样的算法可与混合设计布局一起使用以提供新的分组方案。第三,使用混合设计布局可将SRAF相关问题与其它图案化缺陷分离。第四,无需来自半导体制造商的敏感数据。因此,如果半导体制造商不想提供信息或不具有所请求的信息,那么可继续检验。
在多图案化光刻中使用单个层导致稀疏区域。图3是说明SRAF的示范性图。图4是说明经印刷的图3的层的示范性图,其可为TPL的实例。关注的结构使用SRAF展示于单个层中。DBB可在无SRAF的情况下在单个层上操作。对于分组可需要后OPC布局,但后OPC布局并不总是可用。
图5是在10nm标度上无多边形的大区域的示范性图。归因于使用多图案化及SRAF,基于个别层将大部分区域留空。将大EBB用于DBB并非是合适解决方案,因为其将在数据中引入噪声。
图6说明使用后OPC布局的计算影响。更多顶点及边缘可需要更高计算量以使生产更有价值。然而,与预OPC设计相比,后OPC可添加大于6倍或更多顶点计数。后OPC特征通常不由半导体制造商使用,但还需要额外计算来处理额外顶点及边缘用于数据比较。
图7到9说明用于系统性缺陷识别的示范性混合设计布局,其可用于发现系统性缺陷。图7是具有用于锚定到其算法的空白空间的示范性预OPC布局。缺少顶点可限制基于空白空间中发现的缺陷进行分组的能力。图8是具有包含受限OPC特征的信息的示范性后OPC布局。增加的顶点数目可需要密集计算以处理设计剪辑。图9是使用具有辅助特征的预OPC设计的示范性混合设计布局。使用本文中所揭示的实施例(例如图9中的实例),可降低计算强度。此外,布局是不可印刷,因为OPC特征不出现于文件中。这可用于检验。
图10是图9的混合设计布局的示范性应用。可区分图案上的缺陷及空白空间上的缺陷。
图11是非印刷修改的示范性图。在案例1中,已缩短长的不可印刷多边形以用作分组目的的锚点。可精确指向空白空间的位置,同时减少归因于顶点远离缺陷的潜在位置误差。在案例2中,长条可分段为点,使得最接近缺陷的顶点可用作锚点。
图12是系统200的框图。射束源201可产生光束、电子束、x射线束,或可使用其它技术来测量晶片205的表面。在一个实例中,晶片检验工具200是光学工具且射束源201包含激光或灯。在另一实例中,晶片检验工具200是宽带等离子体工具且射束源201包含宽带等离子体源。在另一实例中,晶片检验工具200是电子束工具且射束源201包含电子束源。在另一实例中,晶片检验工具200是x射线工具且射束源201包含x射线源。在另一实例中,晶片检验工具200是激光散射系统且射束源201包含激光源。射束源201可提供关于晶片205的信息或可提供用于形成晶片205的图像的信息。晶片205可在平台204上。
晶片检验工具200与处理器202及与处理器202电子通信的电子数据存储单元203通信。例如,处理器202可与射束源201、检测器206或晶片检验工具200的其它组件通信。处理器202实际上可由硬件、软件及固件的任何组合实施。此外,如本文中所描述的其功能可由一个单元执行,或在不同组件当中划分,其中的每一者又可由硬件、软件及固件的任何组合实施。用于处理器202实施各种方法及功能的程序代码或指令可存储于控制器可读存储媒体(例如电子数据存储单元203中的存储器)中、处理器202内、处理器202外部或其组合。
虽然仅说明一个处理器202及电子数据存储单元203,但可包含一个以上处理器202及/或一个以上电子数据存储单元203。每一处理器202可与电子数据存储单元203中的一或多者电子通信。在实施例中,一或多个处理器202通信地耦合。在此方面,一或多个处理器202可接收在射束源201处接收的读数且将所述读数存储于处理器202的电子数据存储单元203中。处理器202及/或电子数据存储单元203可为晶片检验工具200本身的部分或可与晶片检验工具200分离(例如,独立控制单元或在集中式质量控制单元中)。
处理器202可以任何合适方式耦合到晶片检验工具200的组件(例如,经由一或多个传输媒体,其可包含有线及/或无线传输媒体),使得处理器202可接收由晶片检验工具200产生的输出,例如来自检测器206的输出。处理器202可经配置以使用所述输出执行数个功能。例如,处理器202可经配置以对晶片205上的特征成像。在另一实例中,处理器202可经配置以在不重检输出的情况下将输出发送到电子数据存储单元203或另一存储媒体。处理器202可进一步如本文中所描述而配置。
本文中所描述的处理器202、其它系统或其它子系统可采用各种形式,包含个人计算机系统、图像计算机、大型计算机系统、工作站、网络设备、因特网设备或其它装置。子系统或系统还可包含所属领域中已知的任何合适处理器,例如并行处理器。另外,子系统或系统可包含具有高速处理及软件的平台,作为独立或网络工具。例如,处理器202可包含微处理器、微控制器或其它装置。
如果系统包含一个以上子系统,那么不同子系统可彼此耦合,使得可在子系统之间发送图像、数据、信息、指令等。例如,一个子系统可通过任何合适传输媒体耦合到额外子系统,其可包含所属领域中已知的任何合适有线及/或无线传输媒体。此类子系统中的两者或更多者还可通过共享计算机可读存储媒体(未展示)有效地耦合。
处理器202还可为缺陷重检系统、检验系统、计量系统或某种其它类型的系统的部分。因此,本文中所揭示的实施例描述一些配置,其可针对具有或多或少适于不同应用的不同能力的系统以多种方式定制。
处理器202可与射束源201或晶片检验工具200的其它组件电子通信。处理器202可根据本文中所描述的实施例中的任何者配置。处理器202还可经配置以使用射束源201的输出或使用来自其它源的图像、测量或数据来执行其它功能或额外步骤。
在另一实施例中,处理器202可以所属领域中已知的任何方式通信地耦合到晶片检验工具200的各种组件或子系统中的任何者。此外,处理器202可经配置以通过可包含有线及/或无线部分的传输媒体从其它系统接收及/或获取数据或信息(例如,来自检验系统(例如检查工具、另一计量工具、包含设计数据的远程数据库及其类似者)的检验结果)。以此方式,传输媒体可用作处理器202与晶片检验工具200的其它子系统或晶片检验工具200外部的系统之间的数据链路。
在一些实施例中,晶片检验工具200的各种步骤、功能及/或操作及本文中所揭示的方法通过以下中的一或多者执行:电子电路、逻辑门、多路复用器、可编程逻辑装置、ASIC、模拟或数字控制/开关、微控制器或计算系统。实施例如本文中所描述的那些方法的方法的程序指令可在载体媒体上传输或存储于载体媒体上。载体媒体可包含存储媒体,例如只读存储器、随机存取存储器、磁盘或光盘、非易失性存储器、固态存储器、磁带及其类似者。载体媒体可包含传输媒体,例如电线、电缆或无线传输链路。例如,贯穿本发明所描述的每一个步骤可由单处理器202(或计算机系统)或替代地多个处理器202(或多个计算机系统)执行。此外,晶片检验工具200的不同子系统可包含一或多个计算或逻辑系统。因此,以上描述不应被解释为对本发明的限制,而仅仅是图解说明。
处理器202可经配置以接收包含至少一个缺陷的晶片的图像且使用包含可印刷层及非印刷层的混合设计布局来识别晶片上的缺陷。处理器202可进一步经配置以接收晶片的可印刷层布局及非印刷层布局且通过合并不可印刷层布局的至少一部分与可印刷层布局来产生混合设计布局。非印刷层可包含光学邻近校正或子分辨率辅助特征。非印刷层还可包含由处理器产生的人造层。
电子数据存储单元203可存储或以其它方式包含用于晶片205的混合设计布局或可存储或以其它方式固持晶片205的不可印刷层布局及/或可印刷层布局。
来自射束源201的光束或其它射束可以角度引导于晶片205处且可以相同角度或以不同角度从晶片205反射到检测器206。来自射束源201的射束可垂直于晶片205的表面引导或可以不同角度引导于晶片205处。
额外实施例涉及包括混合设计数据结构的非暂时性计算机可读媒体。混合设计数据结构包含可印刷层及非印刷层。非印刷层可包含OPC、SRAF或其它特征。非印刷层还可包含由处理器产生的人造层。
额外实施例涉及存储可在控制器上执行的用于执行缺陷识别的程序指令的非暂时性计算机可读媒体,如本文中所揭示。一或多个程序可使用混合设计布局识别晶片上的一或多个缺陷。在例子中,一或多个程序可在一或多个计算装置上执行以下步骤。接收晶片的可印刷层布局。接收晶片的非印刷层布局。通过合并不可印刷层布局的至少一部分与可印刷层布局来产生混合设计布局。
可如本文中所描述执行所述方法的所述步骤中的每一者。所述方法还可包含可由本文中所描述的控制器及/或计算机子系统或系统执行的任何其它步骤。所述步骤可由一或多个处理器执行,所述处理器可根据本文中所描述的实施例中的任何者配置。另外,上文所描述的方法可由本文中所描述的系统实施例中的任何者执行。
尽管已关于一或多个特定实施例描述本发明,但应理解,在不脱离本发明的范围的情况下,可创建本发明的其它实施例。因此,本发明被认为仅受所附权利要求书及其合理解释的限制。

Claims (20)

1.一种系统,其包括:
平台,其经配置以固持晶片;
检测器,其经配置以接收从所述晶片反射的射束;及
处理器,其与所述检测器电子通信,其中所述处理器经配置以:
接收包含至少一个缺陷的所述晶片的图像;及
使用包含可印刷层及非印刷层的混合设计布局来识别所述晶片上的所述缺陷。
2.根据权利要求1所述的系统,其中所述射束是光子束,且其中所述系统进一步包括光源。
3.根据权利要求1所述的系统,其中所述射束是电子束,且其中所述系统进一步包括电子源。
4.根据权利要求1所述的系统,其中所述处理器进一步经配置以:
接收所述晶片的所述可印刷层布局;
接收所述晶片的所述非印刷层布局;及
通过合并所述不可印刷层布局的至少一部分与所述可印刷层布局来产生所述混合设计布局。
5.根据权利要求1所述的系统,其中所述非印刷层包含光学邻近校正或子分辨率辅助特征。
6.根据权利要求1所述的系统,其中所述非印刷层包含由所述处理器产生的人造层。
7.一种方法,其包括:
在处理器处接收晶片的图像;
在所述处理器处接收混合设计布局,其中所述混合设计布局包含可印刷层及非印刷层;及
使用所述处理器使用所述混合设计布局识别所述晶片上的一或多个缺陷。
8.根据权利要求7所述的方法,其进一步包括:
在所述处理器处接收所述晶片的所述可印刷层布局;
在所述处理器处接收所述晶片的所述非印刷层布局;及
通过合并所述不可印刷层布局的至少一部分与所述可印刷层布局使用所述处理器产生所述混合设计布局。
9.根据权利要求8所述的方法,其中接收所述可印刷层布局包含接收用于所述晶片的层中的有效多边形的预光学邻近校正图形数据选择层。
10.根据权利要求8所述的方法,其中接收所述非印刷层布局包含提取子分辨率辅助特征。
11.根据权利要求8所述的方法,其中接收所述非印刷层布局包含获得所述晶片的层,所述层仅包含具有直线形状的子分辨率辅助特征。
12.根据权利要求7所述的方法,其中所述混合设计布局是新的图形数据选择文件。
13.根据权利要求7所述的方法,其中所述混合设计布局是光罩设计文件。
14.根据权利要求7所述的方法,其中所述非印刷层包含光学邻近校正或子分辨率辅助特征。
15.根据权利要求7所述的方法,其中所述非印刷层包含由所述处理器产生的人造层。
16.一种包括混合设计数据结构的非暂时性计算机可读存储媒体,其中所述混合设计数据结构包含:
可印刷层;及
非印刷层。
17.根据权利要求16所述的非暂时性计算机可读存储媒体,其进一步包括用于使用所述混合设计布局识别所述晶片上的一或多个缺陷的一或多个程序。
18.根据权利要求16所述的非暂时性计算机可读存储媒体,其进一步包括用于在一或多个计算装置上执行以下步骤的一或多个程序:
接收所述晶片的所述可印刷层布局;
接收所述晶片的所述非印刷层布局;及
通过合并所述不可印刷层布局的至少一部分与所述可印刷层布局来产生所述混合设计布局。
19.根据权利要求16所述的非暂时性计算机可读存储媒体,其中所述非印刷层包含光学邻近校正或子分辨率辅助特征。
20.根据权利要求16所述的非暂时性计算机可读存储媒体,其中所述非印刷层包含人造层。
CN201980037056.5A 2018-06-21 2019-06-12 用以识别与光学邻近校正相关的系统性缺陷的混合设计布局 Active CN112219271B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862688271P 2018-06-21 2018-06-21
US62/688,271 2018-06-21
US16/200,060 2018-11-26
US16/200,060 US10796065B2 (en) 2018-06-21 2018-11-26 Hybrid design layout to identify optical proximity correction-related systematic defects
PCT/US2019/036640 WO2019245806A1 (en) 2018-06-21 2019-06-12 Hybrid design layout to identify optical proximity correction-related systematic defects

Publications (2)

Publication Number Publication Date
CN112219271A true CN112219271A (zh) 2021-01-12
CN112219271B CN112219271B (zh) 2022-01-28

Family

ID=68981885

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980037056.5A Active CN112219271B (zh) 2018-06-21 2019-06-12 用以识别与光学邻近校正相关的系统性缺陷的混合设计布局

Country Status (5)

Country Link
US (1) US10796065B2 (zh)
KR (1) KR102471846B1 (zh)
CN (1) CN112219271B (zh)
TW (1) TWI769381B (zh)
WO (1) WO2019245806A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230019641A1 (en) * 2021-07-14 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for modeling via defect

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
CN101042528A (zh) * 2006-03-20 2007-09-26 中芯国际集成电路制造(上海)有限公司 修正光学近距效应的图形分割方法
CN101334802A (zh) * 2007-06-27 2008-12-31 海力士半导体有限公司 验证半导体装置的图案的方法
US20090238443A1 (en) * 2008-03-18 2009-09-24 Hidetoshi Sato Pattern measurement methods and pattern measurement equipment
CN102445835A (zh) * 2011-10-12 2012-05-09 上海华力微电子有限公司 一种sram源漏极尺寸的光学临近修正建模方法
US20130078746A1 (en) * 2011-09-24 2013-03-28 Globalfoundries Inc. Reticle defect correction by second exposure
US20150294057A1 (en) * 2014-04-14 2015-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Fabricating an Integrated Circuit with Block Dummy for Optimized Pattern Density Uniformity

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US8003281B2 (en) 2008-08-22 2011-08-23 Taiwan Semiconductor Manufacturing Company, Ltd Hybrid multi-layer mask
JP2011137901A (ja) * 2009-12-28 2011-07-14 Hitachi High-Technologies Corp パターン計測条件設定装置
US10278501B2 (en) * 2014-04-25 2019-05-07 Applied Materials, Inc. Load lock door assembly, load lock apparatus, electronic device processing systems, and methods
US9552964B2 (en) 2014-06-20 2017-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating an integrated circuit with a pattern density-outlier-treatment for optimized pattern density uniformity
US9754068B2 (en) * 2014-12-09 2017-09-05 Globalfoundries Inc. Method, computer readable storage medium and computer system for creating a layout of a photomask
US10186026B2 (en) * 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
US10387601B2 (en) * 2015-11-26 2019-08-20 Kla-Tencor Corporation Methods to store dynamic layer content inside a design file
US9916965B2 (en) * 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US10416087B2 (en) * 2016-01-01 2019-09-17 Kla-Tencor Corporation Systems and methods for defect detection using image reconstruction
US10896282B2 (en) * 2016-07-12 2021-01-19 Asml Netherlands B.V. Visualization performance metrics of computational analyses of design layouts
EP3291007A1 (en) * 2016-08-30 2018-03-07 ASML Netherlands B.V. Patterning stack optimization

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
CN101042528A (zh) * 2006-03-20 2007-09-26 中芯国际集成电路制造(上海)有限公司 修正光学近距效应的图形分割方法
CN101334802A (zh) * 2007-06-27 2008-12-31 海力士半导体有限公司 验证半导体装置的图案的方法
US20090238443A1 (en) * 2008-03-18 2009-09-24 Hidetoshi Sato Pattern measurement methods and pattern measurement equipment
US20130078746A1 (en) * 2011-09-24 2013-03-28 Globalfoundries Inc. Reticle defect correction by second exposure
CN102445835A (zh) * 2011-10-12 2012-05-09 上海华力微电子有限公司 一种sram源漏极尺寸的光学临近修正建模方法
US20150294057A1 (en) * 2014-04-14 2015-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Fabricating an Integrated Circuit with Block Dummy for Optimized Pattern Density Uniformity

Also Published As

Publication number Publication date
WO2019245806A1 (en) 2019-12-26
KR102471846B1 (ko) 2022-11-28
TW202001231A (zh) 2020-01-01
US10796065B2 (en) 2020-10-06
US20190392111A1 (en) 2019-12-26
KR20210011502A (ko) 2021-02-01
CN112219271B (zh) 2022-01-28
TWI769381B (zh) 2022-07-01

Similar Documents

Publication Publication Date Title
US7569310B2 (en) Sub-resolution assist features for photolithography with trim ends
US8015511B2 (en) Adjustment of mask shapes for improving printability of dense integrated circuit layout
US20150362834A1 (en) Exposure methods using e-beams and methods of manufacturing masks and semiconductor devices therefrom
US8601406B2 (en) Method of creating photo mask layout, computer readable recording medium storing programmed instructions for executing the method, and mask imaging system
US20080063948A1 (en) Method for achieving compliant sub-resolution assist features
US11675958B2 (en) Lithography simulation method
TWI795566B (zh) 用於執行光學近接校正的方法及使用光學近接校正製造遮罩的方法
KR20190062026A (ko) 모니터링 매크로 제공 방법, OPC(Optical Proximity Correction) 방법 및 EUV(Extreme ultraviolet radiation) 마스크 제조 방법
JP2000049072A (ja) マスクパターン補正方法
US20240143887A1 (en) Method and system for reducing layout distortion due to exposure non-uniformity
US7930654B2 (en) System and method of correcting errors in SEM-measurements
CN112219271B (zh) 用以识别与光学邻近校正相关的系统性缺陷的混合设计布局
JP2007102207A (ja) 複雑度低減のためのルールベース光学近接効果補正における可変バイアス・ルールの作成および適用
US8127257B2 (en) Designing method of photo-mask and method of manufacturing semiconductor device using the photo-mask
US20230205092A1 (en) Optical proximity correction method, mask manufacturing method, semiconductor chip manufacturing method using the same and computing device
US8739098B1 (en) EUV mask defect reconstruction and compensation repair
CN112462570A (zh) 光学邻近校正(opc)方法以及使用opc方法制造掩模的方法
US20080270970A1 (en) Method for processing pattern data and method for manufacturing electronic device
US20230324881A1 (en) Machine learning (ml)-based process proximity correction (ppc) method and semiconductor device manufacturing method including the same
US20220326622A1 (en) Semiconductor device manufacturing method and extreme ultraviolet mask manufacturing method
US20230176470A1 (en) Method of generating curve sub-resolution assist feature (sraf), method of verifying mask rule check (mrc), and method of manufacturing mask including method of generating the same
JP2006156864A (ja) レジストパターン・ライン幅の算出方法、マスクパターン・ライン幅の補正方法、光近接効果補正方法、露光用マスクの作製方法、露光用マスクを作製するための電子線描画方法、露光方法、及び、半導体装置の製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant