KR20210029495A - Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법 - Google Patents

Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법 Download PDF

Info

Publication number
KR20210029495A
KR20210029495A KR1020190110788A KR20190110788A KR20210029495A KR 20210029495 A KR20210029495 A KR 20210029495A KR 1020190110788 A KR1020190110788 A KR 1020190110788A KR 20190110788 A KR20190110788 A KR 20190110788A KR 20210029495 A KR20210029495 A KR 20210029495A
Authority
KR
South Korea
Prior art keywords
filter
angle
edge
mask
polarization
Prior art date
Application number
KR1020190110788A
Other languages
English (en)
Inventor
여상철
최나락
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020190110788A priority Critical patent/KR20210029495A/ko
Priority to US16/849,258 priority patent/US11169437B2/en
Priority to CN202010818384.3A priority patent/CN112462570A/zh
Publication of KR20210029495A publication Critical patent/KR20210029495A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/0007Filters, e.g. additive colour filters; Components for display devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70308Optical correction elements, filters or phase plates for manipulating imaging light, e.g. intensity, wavelength, polarisation, phase or image shift
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 발명의 기술적 사상은, 곡선의 패턴(curvilinear pattern)에 대한 마스크 3D 효과를 효과적으로 보정할 수 있는 OPC 방법, 및 그 OPC 방법을 이용한 마스크 제조방법을 제공한다. 그 OPC 방법은 마스크 상의 패턴의 레이아웃에 대한 에지들을 추출하는 단계; 및 상기 에지들에 에지 필터(edge filter)를 적용하여 상기 패턴에 대한 광학적 이미지(optical image)를 생성하는 단계;를 포함하고, 상기 에지들은 곡선 에지(curvilinear edge)를 포함하고, 상기 에지 필터는 상기 곡선 에지의 각도에 따라 대응하는 애니-앵글(any-angle) 필터를 포함한다.

Description

OPC 방법 및 그 OPC 방법을 이용한 마스크 제조방법{OPC(Optical Proximity Correction) method, and methods for manufacturing mask using the OPC method}
본 발명의 기술적 사상은 마스크 제조방법에 관한 것으로, 특히 OPC 방법 및 그 OPC 방법을 이용한 마스크 제조방법에 관한 것이다.
반도체 공정에서, 웨이퍼 등과 같은 반도체 기판 상에 패턴을 형성하기 위하여 마스크를 이용한 포토리소그라피 공정이 수행될 수 있다. 간단하게 정의하면, 마스크는 투명한 기층 소재 상에 불투명한 재질의 패턴 형상이 형성되어 있는 패턴 전사체라고 말할 수 있다. 마스크의 제조 공정을 간단히 설명하면, 먼저 요구되는 회로를 설계하고 상기 회로에 대한 레이아웃을 디자인한 후, OPC(Optical Proximity Correction)을 통해 획득한 디자인 데이터를 MTO(Mask Tape-Out) 디자인 데이터로서 전달한다. 이후, 상기 MTO 디자인 데이터에 기초하여 마스크 데이터 준비(Mask Data Preparation: MDP)를 수행하고, 노광 공정 등의 전공정(Front End Of Line: FEOL)과 결함검사 등의 후공정(Back End Of Line: BEOL)을 수행하여 마스크를 제작할 수 있다.
본 발명의 기술적 사상이 해결하고자 하는 과제는, 곡선의 패턴(curvilinear pattern)에 대한 마스크 3D 효과를 효과적으로 보정할 수 있는 OPC 방법, 및 그 OPC 방법을 이용한 마스크 제조방법을 제공하는 데에 있다.
상기 과제를 해결하기 위하여, 본 발명의 기술적 사상은, 마스크 상의 패턴의 레이아웃에 대한 에지들을 추출하는 단계; 및 상기 에지들에 에지 필터(edge filter)를 적용하여 상기 패턴에 대한 광학적 이미지(optical image)를 생성하는 단계;를 포함하고, 상기 에지들은 곡선 에지(curvilinear edge)를 포함하고, 상기 에지 필터는 상기 곡선 에지의 각도에 따라 대응하는 애니-앵글(any-angle) 필터를 포함하는, OPC 방법을 제공한다.
또한, 본 발명의 기술적 사상은, 상기 과제를 해결하기 위하여, 마스크 상의 패턴의 레이아웃을 디자인하는 단계; 상기 레이아웃에 대한 에지들을 추출하는 단계; 얇은 마스크 근사를 적용하여 상기 패턴에 대한 제1 광학적 이미지를 생성하는 단계; 상기 에지들에 에지 필터를 적용하여 상기 패턴에 대한 제2 광학적 이미지를 생성하는 단계; 및 상기 제1 광학적 이미지와 상기 제2 광학적 이미지를 합쳐 최종 광학적 이미지를 생성하는 단계;를 포함하고, 상기 에지들은 곡선 에지를 포함하고, 상기 에지 필터는 상기 곡선 에지의 각도에 따라 대응하는 애니-앵글 필터를 포함하는, OPC 방법을 제공한다.
더 나아가, 본 발명의 기술적 사상은, 상기 과제를 해결하기 위하여, 마스크 상의 패턴의 레이아웃에 대한 에지들을 추출하는 단계; 상기 에지들에 에지 필터를 적용하여 상기 패턴에 대한 광학적 이미지를 생성하는 단계; 상기 광학적 이미지에 기초하여 OPC 모델을 생성하는 단계; 상기 OPC 모델을 이용한 시뮬레이션을 통해, 상기 마스크에 대한 디자인 데이터를 획득하는 단계; 상기 디자인 데이터를 MTO(Mask Tape-Out) 디자인 데이터로서 전달하는 단계; 상기 MTO 디자인 데이터에 기초하여 마스크 데이터를 준비하는 단계; 및 상기 마스크 데이터에 기초하여, 마스크용 기판 상에 노광을 수행하는 단계;를 포함하고, 상기 에지들은 곡선 에지를 포함하고, 상기 에지 필터는 상기 곡선 에지의 에지의 각도에 따라 대응하는 애니-앵글 필터를 포함하는, 마스크 제조방법을 제공한다.
본 발명의 기술적 사상에 의한 OPC 방법은, 곡선의 에지의 부분에 대응하는 애니-앵글 필터를 적용하여 광학적 이미지를 생성할 수 있고, 그에 따라, 마스크 3D 효과가 효과적으로 보정된 광학적 이미지를 생성할 수 있다. 또한, 이러한 광학적 이미지에 기초하여 OPC 모델을 생성하고, 상기 OPC 모델을 이용한 시뮬레이션을 수행함으로써, 마스크에 대한 최적의 디자인 데이터를 획득할 수 있다.
본 발명의 기술적 사상에 의한 OPC 방법을 이용한 마스크 제조방법은, 전술한 OPC 방법을 이용하여 획득한 마스크에 대한 디자인 데이터에 기초하여, 신뢰성 있는 고 품질의 마스크를 제조할 수 있다. 또한, 제품의 패터닝에 상기 마스크를 이용함으로써, 제품의 성능과 신뢰성을 향상시키는 데 크게 기여할 수 있다.
도 1은 본 발명의 일 실시예에 따른 OPC 방법의 과정을 개략적으로 보여주는 흐름도이다.
도 2a 및 도 2b는 기존 OPC 방법에서의 마스크 상의 패턴 형태와 본 실시예에 따른 OPC 방식에서의 마스크 상의 패턴 형태를 보여주는 개념도들이다.
도 3a 내지 도 3c는 직각 에지를 포함하는 패턴과 곡선 에지를 포함하는 패턴, 및 곡선 에지의 각도를 설명하기 위한 개념도들이다.
도 4a 내지 도 4e는 도 3a의 직각 에지를 포함하는 패턴에 대하여, 에지 필터를 이용하여 마스크 상의 패턴의 광학적 이미지를 생성하는 원리를 설명하기 위한 개념도들이다.
도 5a 및 도 5b는 도 3b의 곡선 에지를 포함하는 패턴에 적용할 애니-앵글 필터 및 소스 섹터 회전에 대한 개념을 설명하기 위한 개념도들이다.
도 6a 및 도 6b는 투과형 광학계에 존재하는 편광들을 보여주는 개념도, 및 편광 분해를 설명하기 위한 개념도이다.
도 7a 및 도 7b는 도 6b의 애니-앵글 필터와 관련하여 편광 분해를 수직 필터, 수평 필터 및 웨이트를 이용하여 표현한 원리를 설명하기 위한 개념도들이다.
도 8a 및 도 8b는 임의 각도(any-angle)의 1D 패턴의 마스크에 대하여, 애니-앵글 필터를 적용하기 전과 적용한 후의 차이를 보여주기 위한 마스크의 근접장 이미지에 대한 그래프들이다.
도 9는 DUV 광원에서, 도 3b의 패턴의 마스크에 대하여 엄격한 시뮬레이션을 이용하여 계산한 광학적 이미지를 보여주는 그래프이다.
도 10a 및 도 10b는 DUV 광원에서, 도 3b의 패턴의 마스크에 대하여 기존 에지 필터를 적용한 광학적 이미지와, 애니-앵글 필터를 포함한 에지-필터를 적용한 광학적 이미지를 보여주는 그래프들이다.
도 11a 및 도 11b는 도 9의 광학적 이미지와 도 10a의 광학적 이미지 간의 차, 및 도 9의 광학적 이미지와 도 10b의 광학적 이미지 간의 차를 보여주는 그래프들이다.
도 12는 EUV 광원에서, 도 3b의 패턴의 마스크에 대하여 엄격한 시뮬레이션을 이용하여 계산한 광학적 이미지를 보여주는 그래프이다.
도 13a 및 도 13b는 EUV 광원에서, 도 3b의 패턴의 마스크에 대하여 기존 에지 필터를 적용한 광학적 이미지와, 애니-앵글 필터를 포함한 에지-필터를 적용한 광학적 이미지를 보여주는 그래프들이다.
도 14a 및 도 14b는 도 12의 광학적 이미지와 도 13a의 광학적 이미지 간의 차, 및 도 12의 광학적 이미지와 도 13b의 광학적 이미지 간의 차를 보여주는 그래프들이다.
도 15는 본 발명의 일 실시예에 따른 마스크 제조방법의 과정을 개략적으로 보여주는 흐름도이다.
이하에서는 첨부된 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면 상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
도 1은 본 발명의 일 실시예에 따른 OPC 방법의 과정을 개략적으로 보여주는 흐름도이고, 도 2a 및 도 2b는 기존 OPC 방법에서의 마스크 상의 패턴 형태와 본 실시예에 따른 OPC 방식에서의 마스크 상의 패턴 형태를 보여주는 개념도들이며, 도 3a 내지 도 3c는 직각 에지를 포함하는 패턴과 곡선 에지를 포함하는 패턴, 및 곡선 에지의 각도를 설명하기 위한 개념도이다.
도 1 내지 도 3c를 참조하면, 본 실시예의 OPC 방법은, 먼저, 마스크 상의 패턴의 레이아웃에 대한 에지들을 추출한다(S110). 여기서, 마스크 상의 패턴은, 노광 공정을 통해 웨이퍼와 같은 기판 상에 전사되어, 기판 상에 타겟 패턴을 형성할 수 있는 패턴을 의미할 수 있다. 노광 공정의 특성상 일반적으로 기판 상의 타겟 패턴의 형태와 마스크 상의 패턴의 형태는 다를 수 있다. 또한, 마스크 상의 패턴은 축소 투영되어 기판 상에 전사되므로, 마스크 상의 패턴은 기판 상의 타겟 패턴보다는 큰 사이즈를 가질 수 있다.
한편, 마스크 상의 패턴의 레이아웃은 1D 또는 2D의 형태를 가질 수 있다. 여기서, 1D 형태는 면적이 없는 선을 의미하는 것이 아니라 라인 앤 스페이스(line & space) 패턴과 같이 일 방향으로 연장하는 형태를 의미할 수 있다. 에지들은 패턴의 레이아웃의 외곽을 둘러싸는 라인들에 해당할 수 있다. 실시예에 따라, 에지들은 패턴의 레이아웃들 간의 스페이스를 둘러싸는 라인들에 해당할 수도 있다. 또한, 에지들은 패턴의 레이아웃의 외곽을 둘러싸는 라인들과 스페이스를 둘러싸는 라인들 둘 다에 해당할 수도 있다.
다음, 추출된 에지들에 에지 필터(edge filter)를 적용하여 광학적 이미지를 생성한다(S120). 여기서, 광학적 이미지는 광이 마스크를 통과한 직후의 마스크 후면 상에서 계산된 마스크 근접장 이미지(mask near field image)일 수 있다. 에지 필터는 에지들에 대응하는 부분의 광학적 이미지를 생성할 수 있는 필터로서, 에지들의 위치 및 특성에 따라 에지 필터의 값이 달라질 수 있다. 에지들 각각에 대응하는 에지 필터를 적용함으로써, 에지들 각각에 해당하는 광학적 이미지를 생성할 수 있다.
마스크 상의 패턴에 대한 광학적 이미지의 생성과 관련하여, 좀더 구체적으로 설명하면, 최근 패터닝 한계의 극복과 공정 마진 확보를 위해, 마스크 상의 패턴의 형태가 도 2a와 같은 직각(orthogonal)의 형태에서, 도 2b와 같은 곡선(curvilinear)의 형태로 확장하고 있다. 또한, 이러한 곡선 형태의 패턴에 대한 OPC 방법에 대한 연구가 활발해지고 있다. 한편, 일반적으로 마스크 상의 패턴은 노광 파장에 비교하여 무시할 수 없는 수준의 두께를 가질 수 있다. 그에 따라, 정밀한 OPC를 위해서, 마스크 토포그라피(mask topography) 효과, 즉, 마스크 3D 효과를 고려한 광학적 이미지의 계산이 필수적이다.
대면적의 마스크에 대해 OPC를 수행하기 위해서는, 광학적 이미지의 계산을 빠른 시간 내에 수행해야 한다. 따라서, 계산 시간이 오래 걸리는 엄격한(rigorous) 시뮬레이션 방법을 광학적 이미지 계산에 사용하는 적은 적절하지 않다. 여기서, 엄격한 시뮬레이션 방법은 예컨대, RCWA(Rigorous Coupled-Wave Analysis), 또는 FDTD(Finite Difference Time Domain) 시뮬레이션과 같은 전자기장 시뮬레이션 방법을 의미할 수 있다. 이러한 엄격한 시뮬레이션 방법의 경우, 마스크 상의 패턴의 형태를 정확하게 반영한 마스크 근접장 이미지를 구할 수 있으나 계산 시간이 너무 많이 소요되는 문제점이 있다.
이에 콤팩트 시뮬레이션 모델(compact simulation model)을 이용하여, 마스크의 광학적 이미지를 계산하게 되는데, 실제 많이 쓰이는 방법은 DDM(Domain Decomposition Method)이다. DDM은 마스크 상의 패턴을 각 영역(domain)으로 나누고, 에지 필터 근사(edge filter approximation)를 이용하여 광학적 이미지를 구하는 방법이다. 예컨대, DDM은 미리 FDTD 시뮬레이션을 통하여 패턴의 폭/간격(width/space) 별로 계산한 에지 필터 값을 필터 라이브러리(filter library)에 저장하고, 각 패턴에 대한 광학적 이미지를 계산할 때, 각 에지에 해당하는 에지 필터 값을 필터 라이브러리에서 가져와서 이용함으로써, 엄격한 시뮬레이션을 통해 계산한 광학적 이미지와 거의 유사한 광학적 이미지를 계산할 수 있는 방법이다. 따라서, DDM은 마스크의 3D 효과를 효과적으로 보정한 광학적 이미지를 생성할 수 있다.
여기서, 에지 필터 근사(edge filter approximation)를 이용한 광학적 이미지는 얇은 마스크 근사(Thin Mask Approximation: TMA)에 의한 제1 광학적 이미지와 에지 필터를 이용한 제2 광학적 이미지를 포함할 수 있다. 다시 말해서, 제1 광학적 이미지와 제2 광학적 이미지를 합쳐 해당 패턴에 대한 최종적인 광학적 이미지를 생성할 수 있다. 참고로, 얇은 마스크 근사는, 키르히호프 근사(Kirchhoff approximation)라고도 하며, 마스크의 두께가 거의 없을 정도로 매우 얇고, 뚫린 부분은 광이 완전히 통과하고 막힌 부분은 광이 완전히 차단된다고 가정하여, 계산한 근접장 이미지를 의미할 수 있다. 얇은 마스크 근사와 관련하여, 도 4b의 설명 부분에서 좀더 상세히 설명하며, 얇은 마스크 근사와 에지 필터를 이용하여 최종적인 광학적 이미지를 생성하는 원리에 대해서는 도 4a 내지 도 4e의 설명 부분에서 좀더 상세히 설명한다.
본 실시예의 OPC 방법에서는, 에지 필터가 수직(90°) 필터와 수평(0°) 필터와 함께 애니-앵글(any-angle) 필터를 더 포함할 수 있다. 여기서, 수직 필터는 설정된 일 방향을 기준으로 할 때, 상기 일 방향에 수직인 에지에 적용하는 에지 필터를 의미하고, 수평 필터는 상기 일 방향에 수평인 에지에 적용하는 에지 필터를 의미할 수 있다. 한편, 애니-앵글 필터는 상기 일 방향에 수직도 수평도 아니 임의의 각도의 에지에 적용하는 에지 필터를 의미하며, 형성하고자 하는 패턴에 직각(orthogonal)이 아닌 에지들이 존재하는 경우, 예컨대, 곡선(curvilinear)의 에지에 이용될 수 있다. 애니-앵글 필터의 정의 내지 형성 방법에 대해서는 도 5a 및 도 5b의 설명 부분에서 좀더 상세히 설명한다.
에지 필터와 관련하여 좀더 구체적으로 설명하면, 도 3a와 같은 직각 형태의 폴리곤(polygon)에 대하여, 4개의 에지들이 추출될 수 있다. 여기서, 폴리곤은 해당 패턴의 각 꼭지점들 (vertexes)의 조합으로 만들어진 다각형이라고 할 수 있다. 또한, 4개의 에지들은 제1 방향(x 방향)을 기준으로 할 때, 제1 방향(x 방향)에 수직한 2개의 수직 에지들(Ve1, Ve2)과 제1 방향(x 방향)에 수평한 2개의 수평 에지들(He1, He2)로 구별될 수 있다. 또한, 추출된 수직 에지들(Ve1, Ve2)에는 대응하는 수직 필터(V-f1, V-f2)를 적용하고, 수평 에지들(He1, He2)에는 대응하는 수평 필터(H-f1, H-f2)를 적용하여 각 에지에 대응하는 광학적 이미지를 생성할 수 있다. 도 3a에서, 편의상 수직 필터(V-f1, V-f2)와 수평 필터(H-f1, H-f2)를 간단한 형태의 웨이브(wave)로 도시하고 있다.
한편, 도 3b와 같이 곡선 형태의 폴리곤의 경우, 에지들은 곡선 형태로 추출되고, 곡선 형태의 에지에 대해 수직 필터와 수평 필터를 적용할 수는 없다. 기존의 OPC 방법의 경우, 예컨대, EUV(Extreme Ultraviolet) 광원의 경우, 곡선 형태의 에지를 0°와 90°로 디-앵글(de-angle) 처리하여 수직 필터와 수평 필터를 적용하는 방식으로 진행하였다. 여기서, 0°와 90°로 디-앵글 처리는 곡선 형태의 에지 부분을 계단 형태와 같은 미세한 직각 형태의 에지로 변경하는 것을 의미할 수 있다. 그러나 0°와 90°로의 디-앵글 처리를 통한 방법의 경우, 시간이 많이 걸리고 계산된 광학적 이미지의 정확도가 많이 떨어질 수 있다.
또한, 기존의 OPC 방법으로서, DUV(Deep Ultraviolet) 광원의 경우, 곡선 형태의 폴리곤에 대하여, ILT(Inverse Lithography technology) 방법이 적용될 수 있다. ILT 방법은 웨이퍼 상의 패턴의 형태에 기초하여, 역으로 마스크의 패턴의 형태를 계산하는 방법으로, 웨이퍼 상에 실제로 패턴들을 형성해야 하고 여러 번의 시행착오를 감수해야 하므로 시간 및 비용 면에서 불리하다.
본 실시예의 OPC 방법에서는, 곡선의 에지의 부분에 대응하는 애니-앵글 필터를 적용하여 광학적 이미지를 생성할 수 있고, 그에 따라, 마스크 3D 효과가 효과적으로 보정된 광학적 이미지를 생성할 수 있다. 또한, 이러한 광학적 이미지에 기초하여 OPC 모델을 생성하고, 상기 OPC 모델을 이용한 시뮬레이션을 수행함으로써, 마스크에 대한 최적의 디자인 데이터를 획득할 수 있다. 더 나아가, 본 실시예의 OPC 방법은 상기 OPC 방법을 이용하여 획득한 마스크에 대한 최적의 디자인 데이터에 기초하여, 신뢰성 있는 고 품질의 마스크를 제조할 수 있도록 하며, 궁극적으로, 제품의 패터닝에 상기 마스크를 이용함으로써, 제품의 성능과 신뢰성을 향상시키는데 크게 기여할 수 있다.
한편, 곡선의 에지의 부분에 애니-앵글 필터를 적용한다는 것은, 곡선에 정확하게 대응하는 애니-앵글 필터를 적용한다는 개념이 아니고, 곡선의 에지를 대표하는 임의 각도(any-angle)의 라인을 애니-앵글 에지로 추출하고, 라인 형태의 애니-앵글 에지에 대응하는 애니-앵글 필터를 적용한다는 개념일 수 있다.
좀더 구체적으로 설명하면, 도 3c는 도 3b의 점선의 A 부분을 확대하여 보여주고 있는데, 곡선의 에지에서 설정된 범위, 예컨대 곡선의 에지 상의 제1 위치(A1)와 제2 위치(A2) 사이에서, 수평에 대한 평균 기울기를 갖는 라인으로 애니-앵글 에지(AAe)를 추출할 수 있다. 도 3c에 도시된 바와 같이 애니-앵글 에지(AAe)는 수평에 대하여 평균 기울기에 해당하는 각도(θ)를 가질 수 있다. 이와 같이 추출된 애니-앵글 에지(AAe)에 대응하는 애니-앵글 필터(AA-f)를 적용함으로써, 곡선의 에지 부분의 광학적 이미지를 생성할 수 있다. 한편, 애니-앵글 필터의 정의 내지 형성 방법과 관련해서는 도 5a 및 도 5b의 설명 부분에서 좀더 상세히 설명한다.
광학적 이미지 생성 후, 광학적 이미지에 기초하여 OPC 모델을 생성한다(S130). 이후, OPC 모델을 이용한 시뮬레이션을 통해 마스크에 대한 디자인 데이터를 획득한다(S140).
전술한 광학적 이미지 생성, OPC 모델 생성, 및 디자인 데이터를 획득하는 과정을 OPC 방법이라 한다. 그러나 OPC 방법은 그 이외의 다양한 과정을 더 포함할 수 있다. OPC 방법과 관련하여, 전반적으로 설명하면 다음과 같다.
OPC 방법은, 패턴이 미세화됨에 따라 이웃하는 패턴들 간의 영향에 의한 광 근접 현상(Optical Proximity Effect: OPE)이 노광 과정 중에 발생하고, 이를 극복하기 위해서 패턴의 레이아웃을 보정하여 OPE 발생을 억제하는 방법을 말한다. 이러한 OPC 방법은 크게 두 가지로 나누어지는데, 하나는 룰 베이스(rule-based) OPC 방법이고, 다른 하나는 시뮬레이션 베이스 또는 모델 베이스(model-based) OPC 방법이다. 본 실시예의 OPC 방법은 예컨대, 모델 베이스 OPC 방법일 수 있다. 모델 베이스 OPC 방법은 대량의 테스트 패턴들 모두를 측정할 필요가 없이 대표 패턴들의 측정 결과만을 이용하므로 시간 및 비용 면에서 유리할 수 있다.
한편, OPC 방법은 패턴의 레이아웃의 변형뿐만이 아니라, 패턴의 코너 상에 세리프들(serifs)로 불리는 서브 리소그라피 피쳐들(sub-lithographic features)을 부가하는 방법이나, 스캐터링 바아들(scattering bars)과 같은 서브 레졸루션 어시스트 피쳐들(Sub-Resolution Assist Features: SRAFs)을 부가하는 방법을 포함할 수 있다.
OPC 방법의 수행은, 먼저, OPC를 위한 기본 데이터를 준비한다. 여기서, 기본 데이터는 샘플의 패턴들의 형태에 대한 데이터, 패턴들의 위치, 패턴의 스페이스(space) 또는 라인(line)에 대한 측정과 같은 측정의 종류, 및 기본 측정값 등을 포함할 수 있다. 또한, 기본 데이터는 포토레지스트(Photo Resist: PR)에 대한 두께, 굴절률, 유전 상수 등의 정보를 포함하고, 조명계(illumination system) 형태에 대한 소스 맵을 포함할 수 있다. 물론, 기본 데이터가 상기 예시된 데이터들에 한정되는 것은 아니다.
기본 데이터 준비 후, 광학적 OPC 모델을 생성한다. 광학적 OPC 모델의 생성은 노광 공정에서 디포커스 시작(Defocus Stand: DS) 위치, 베스트 포커스(Best Focus: BF) 위치 등의 최적화를 포함할 수 있다. 또한, 광학적 OPC 모델의 생성은 광의 회절 현상이나 노광 설비 자체의 광학적 상태를 고려한 광학적 이미지의 생성 등을 포함할 수 있다. 앞서, 에지 필터 근사를 이용하여 구한 광학적 이미지는 광학적 OPC 모델의 생성에 이용될 수 있다. 물론, 광학적 OPC 모델의 생성이 상기 내용들에 한정되는 것은 아니다. 예컨대, 광학적 OPC 모델의 생성에는 노광 공정에서의 광학적 현상과 관련된 다양한 내용들이 포함될 수 있다.
광학적 OPC 모델 생성 후, PR에 대한 OPC 모델을 생성한다. PR에 대한 OPC 모델의 생성은 PR의 문턱값의 최적화를 포함할 수 있다. 여기서, PR의 문턱값은 노광 공정에서 화학적 변화가 일어나는 문턱값을 의미하며, 예컨대, 문턱값은 노광 광의 세기(Intensity)로 주어질 수 있다. PR에 대한 OPC 모델의 생성은 또한, 여러 PR 모델 폼들에서 적절한 모델 폼을 선택하는 것을 포함할 수 있다.
광학적 OPC 모델과 PR에 대한 OPC 모델을 합쳐서 일반적으로 OPC 모델이라고 한다. 따라서, 광학적 OPC 모델의 생성 과정과 PR에 대한 OPC 모델의 생성 과정 둘 다를 합쳐 OPC 모델의 생성 과정, 즉 OPC 모델링 과정이라고 할 수 있다. 이하, 특별히 언급하지 않는 한, OPC 모델은 광학적 OPC 모델과 PR에 대한 OPC 모델을 합한 개념으로 사용한다.
OPC 모델 생성 후, OPC 모델을 검증한다. OPC 모델의 검증은 CD 에러에 대한 RMS(Root Mean Square) 계산, EPE(Edge Placement Error) 체크 등을 통해 수행될 수 있다. OPC 모델이 설정된 스펙 내에 포함되면, OPC 모델 검증이 완료되고, 해당 OPC 모델이 시뮬레이션을 위한 OPC 모델로서 선택될 수 있다. 만약, OPC 모델이 설정된 스펙 내에 포함되지 않는 경우, OPC 모델을 생성하는 과정, 즉 광학적 OPC 모델 및/또는 PR에 대한 OPC 모델을 생성하는 과정을 다시 수행한다.
OPC 모델의 검증 후, 해당 OPC 모델을 이용하여 시뮬레이션을 수행한다. 이러한 OPC 모델을 이용한 시뮬레이션 수행을 통해 실측에 가까운 마스크의 디자인 데이터가 획득될 수 있다. 시뮬레이션을 통해 획득한 마스크의 디자인 데이터는 이후에 마스크 제작을 위하여 MTO(Mask Tape-Out) 디자인 데이터로서 마스크 제작팀으로 전달될 수 있다.
도 4a 내지 도 4e는 도 3a의 직각 에지를 포함하는 패턴에 대하여, 에지 필터를 이용하여 마스크 상의 패턴의 광학적 이미지를 생성하는 원리를 설명하기 위한 개념도들이다.
도 4a를 참조하면, 마스크를 이용하여 웨이퍼 상에 형성된 패턴의 형태를 보여주고 있는데, 설명의 편의를 위해 1D 형태의 라인 패턴의 수직 단면을 보여주고 있다. 라인 패턴은 제2 방향(y 방향)으로 연장되고, 도 4a에 도시된 바와 같이 제1 방향(x 방향)으로 소정의 폭(W)을 가질 수 있다.
도 4b를 참조하면, 도 4a와 같은 패턴을 형성하기 위하여, 이상적인 얇은 마스크(TM)를 이용한 경우의 광학적 이미지를 보여주고 있다. 즉, 도 4b는 얇은 마스크 근사(TMA)에 의한 광학적 이미지(TMI, 이하 '얇은 마스크 이미지'라 한다)를 보여주고 있다. 여기서, 이상적인 얇은 마스크(TM)는 두께가 거의 없는 마스크로서, 뚫린 부분은 광이 완전히 통과하고 막힌 부분은 광이 완전히 차단되는 마스크를 의미할 수 있다. 얇은 마스크(TM)의 하부에 도시된, 얇은 마스크 이미지(TMI)는 도 4a의 웨이퍼 상에 형성된 패턴의 형태와 거의 유사한 형태를 가질 수 있다.
도 4c를 참조하면, 패턴 형성을 위해 이용되는 실제 마스크(RM)를 보여주고 있다. 실제 마스크(RM)는 소정 두께(t)를 가지며, 그에 따라, 실제 마스크(RM)를 통과하여 나타난 광학적 이미지지(RMI, 이하 '실제 마스크 이미지'라 한다)는 얇은 마스크 이미지(TMI)와는 다를 수 있다. 실제 마스크(RM)의 하부에 도시된 광학적 이미지는 FDTD 시뮬레이션과 같은 엄격한 시뮬레이션(R-SIM)을 통해 계산한 광학적 이미지일 수 있다. 엄격한 시뮬레이션(R-SIM)을 통해 계산한 광학적 이미지는 실제 마스크 이미지(RMI)와 실질적으로 동일할 수 있다.
도 4d를 참조하면, 실제 마스크 이미지(RMI)와 얇은 마스크 이미지(TMI)를 함께 보여주고 있다. 도 4d에서, 실제 마스크 이미지(RMI)는 실선으로 표시되고, 얇은 마스크 이미지(TMI)는 점선으로 표시되고 있다. 도 4d에 도시된 바와 같이, 실제 마스크 이미지(RMI)와 얇은 마스크 이미지(TMI)는 서로 다르며, 특히, 실제 마스크 이미지(RMI)와 얇은 마스크 이미지(TMI)는 패턴의 에지들에 대응하는 부분에서 크게 차이가 있음을 확인할 수 있다. 달리 말하면, 패턴의 에지들에 대응하는 부분에서 멀어질수록, 실제 마스크 이미지(RMI)와 얇은 마스크 이미지(TMI)는 거의 동일하게 될 수 있다.
도 4e를 참조하면, 실제 마스크 이미지(RMI)에서 얇은 마스크 이미지(TMI)를 뺀 차 마스크 이미지(SMI)의 형태를 보여주고 있다. 전술한 바와 같이, 왼쪽 에지(LE)와 오른쪽 에지(RE) 각각에 인접한 부분에서 차 마스크 이미지(SMI)의 진폭(amplitude)이 크고, 왼쪽 에지(LE)와 오른쪽 에지(RE) 각각에서 멀어질수록 차 마스크 이미지(SMI)의 진폭이 작아짐을 알 수 있다. 한편, 이해의 편의를 위해, 도 4e에 도시된 차 마스크 이미지(SMI)는 앞서 실제 마스크 이미지(RMI) 및 얇은 마스크 이미지(TMI)와 비교하여 진폭의 스케일을 좀더 확대하여 보여주고 있다.
한편, 도 4e에서, 왼쪽 에지(LE) 부분의 차 마스크 이미지(SMI)와 오른쪽 에지(RM) 부분의 차 마스크 이미지(SMI)가 동일한 형태로 나타나고 있지만, 다양한 원인들에 기인하여 서로 다른 형태로 나타날 수도 있다. 예컨대, 광이 마스크에 사입사되거나, 또는 왼쪽 에지(LE)와 오른쪽 에지(RM)에 인접하는 패턴의 존재 유무나 패턴 형태의 차이에 따라, 왼쪽 에지(LE) 부분의 차 마스크 이미지(SMI)와 오른쪽 에지(RM) 부분의 차 마스크 이미지(SMI)는 서로 다른 형태로 나타날 수 있다.
이러한 왼쪽 에지(LE)와 오른쪽 에지(RE) 부분의 차 마스크 이미지(SMI)가 에지 필터에 해당할 수 있다. 따라서, 패턴의 레이아웃에서 에지들을 추출하고, 에지들에 대응하는 에지 필터를 적용하여 차 마스크 이미지(SMI), 즉, 제1 광학적 이미지를 생성할 수 있다. 이후, 제1 광학적 이미지에 얇은 마스크 근사에 의한 얇은 마스크 이미지(TMI), 즉 제2 광학적 이미지를 더해주게 되면, 실제 마스크 이미지(RMI)와 거의 유사한 광학적 이미지를 생성할 수 있다. 여기서, 실제 마스크 이미지(RMI)는 엄격한 시뮬레이션(R-SIM)을 통해 계산한 광학적 이미지와 실질적으로 동일할 수 있다.
결국, FDTD 시뮬레이션과 같은 엄격한 시뮬레이션을 통하여 미리 패턴의 폭/간격(width/space) 별로 에지 필터 값, 즉 에지에 해당하는 제1 광학적 이미지를 계산하고, 그 에지 필터 값을 필터 라이브러리에 저장해 논 후, 각 패턴에 대한 광학적 이미지를 계산할 때, 각 에지에 해당하는 에지 필터 값을 필터 라이브러리에서 가져와서 이용함으로써, 엄격한 시뮬레이션을 통해 계산한 광학적 이미지와 거의 유사한 광학적 이미지를 계산할 수 있다.
도 5a 및 도 5b는 도 2b의 곡선 에지를 포함하는 패턴에 적용할 애니-앵글 필터 및 소스 섹터 회전에 대한 개념을 설명하기 위한 개념도들이다.
도 5a를 참조하면, 애니-앵글 필터(AA-filter)는 수직 필터(V-filter)와 수평 필터(H-filter)에 웨이트(w)를 적용한 합으로 표시될 수 있다. 예컨대, 도 5a의 직각 삼각형에서, 밑변 부분을 수평 필터(H-filter)라고 하고, 높이 부분을 수직 필터(V-filter)라고 할 때, 애니-앵글 필터(AA-filter)는 빗변에 대응할 수 있다. 또한, 애니-앵글 필터(AA-filter)는 각도(θ)에 따라 적절한 웨이트(w)를 적용하여 수직 필터(V-filter)와 수평 필터(H-filter)의 합으로 표현될 수 있다. 예컨대, 애니-앵글 필터(AA-filter)는 하기 식(1)로 표현될 수 있다.
AA-filter = w*V-filter + (1-w)*H-filter ................식(1)
여기서, 웨이트(w)는 sin2θ로 주어질 수 있다. 그에 따라, 만약, 각도(θ)가 0°인 경우, 애니-앵글 필터(AA-filter)는 수평 필터(H-filter)에 해당하고, 각도(θ)가 90°인 경우, 애니-앵글 필터(AA-filter)는 수직 필터(V-filter)에 해당할 수 있다.
애니-앵글 필터(AA-filter)에 대해 단순히 수직 필터(V-filter) 및 수평 필터(H-filter)의 합으로 설명하였지만, 좀더 구체적으로 얘기하면, 애니-앵글 필터(AA-filter)의 생성에는 하기 도 5b에서 설명하는 바와 같이 소스 섹터 회전의 개념이 적용될 수 있다.
도 5b를 참조하면, 앞서, 콤팩트 시뮬레이션 모델에 대해 설명한 바와 같이, 필터 라이브러리에는 FDTD 시뮬레이션과 같은 엄격한 시뮬레이션을 이용하여 계산된 에지 필터 값들, 예컨대 수직 필터와 수평 필터 값이 저장되게 된다. 이러한 수직 필터와 수평 필터 값들은 소스 섹터 또는 소스 포인트의 위치별로 계산되어 저장될 수 있다. 예컨대, 도 5b는 광원을 원형의 소스 형태로 보여주고 있는데, 이러한 원형의 소스는 섹터 또는 포인트별로 나누어지며, 해당 섹터의 위치별로 수직 필터와 수평 필터 값들이 계산되어 필터 라이브러리에 저장될 수 있다.
직각 형태의 폴리곤에 대해서는, 소스를 기준으로 섹터를 지정하고, 필터 라이브러리에서 해당 섹터에 대응하는 에지 필터 값, 즉 수직 필터 및/또는 수평 필터 값을 그대로 가져와 광학적 이미지를 계산할 수 있다.
그러나 곡선 형태의 폴리곤의 경우, 직각 형태의 폴리곤과 달리 소스 섹터 회전 회전 또는 소스 포인트 회전을 통해 애니-앵글 필터를 정의 내지 생성한 후, 해당 애니-앵글 필터를 곡선 형태의 폴리곤에 적용하여 광학적 이미지를 계산할 수 있다.
좀더 구체적으로 설명하면, 곡선 형태의 폴리곤의 경우, 소스를 기준으로 섹터를 지정하되, 해당 섹터에 대응하는 에지 필터 값이 아닌, 해당 에지의 각도(θ)만큼 회전시킨 에지 필터 값을 필터 라이브러리에서 가져와 광학적 이미지를 계산할 수 있다. 여기서, 해당 에지의 각도(θ)는 앞서 3c에서 설명한 바와 같이, 곡선의 에지에서 추출한 애니-앵글 에지(AAe)가 수평에 대해 이루는 각도일 수 있다. 예컨대, 도 5b에서, 제1 각도(θ1)를 갖는 애니-앵글 에지에 수평 축(Sx)의 제1 포인트(P1(r, 0))가 대응된다고 할 때, 해당 애니-앵글 에지의 에지 필터 값은, 제1 포인트(P1(r, 0))에서 계산된 에지 필터 값이 아니고, 제1 포인트(P1(r, 0))를 제1 각도(θ1)만큼 회전한 제2 포인트(P2(rcosθ1, rsinθ1))에서 계산된 에지 필터 값이 이용되어 계산될 수 있다. 이와 같이, 0°나 90° 가 아닌 임의의 각도의 에지 필터에 대하여 에지 필터 값을 계산할 때, 소스 포인트의 원래 위치가 아닌 소스 포인트를 회전시킨 위치에서의 에지 필터 값을 이용하여 계산하는 것을 소스 섹터 회전이라고 한다. 또한, 이러한 소스 섹터 회전을 통해 에지 필터 값을 계산하는 것은, 곡선의 에지에 대해 애니-앵글 필터를 생성하고 그에 대한 애니-앵글 필터 값을 계산하는 것에 해당할 수 있다.
덧붙여, 전술한 바와 같이, sin 함수를 통해 해당 곡선의 에지, 예컨대, 애니-앵글 에지(AAe)의 각도(θ)만큼을 웨이트(w)로 결정하고, 식(1)과 같이 웨이트(w)를 적용하여 수직 필터(V-filter)와 수평 필터(H-filter)의 합으로 애니-앵글 필터(AA-filter)를 표현할 수 있다.
한편, EUV 광원과 같은 반사형 광학계의 경우는 편광(polarization)을 고려할 필요가 없기 때문에 소스 섹터 회전만으로 애니-앵글 필터를 만들 수 있다. 그에 반해, DUV 광원과 같은 투과형 광학계의 경우, (X, Y, XY, TE, TM)과 같은 다양한 편광이 존재하므로, 애니-앵글 필터를 만들 때, 편광을 함께 고려해 주어야 한다. 예컨대, DUV 광원의 경우, 애니-앵글 필터를 만들 때, 소스 센터 회전과 함께 편광-분해(polarization decomposition) 방법이 더 포함될 수 있다.
도 6a 및 도 6b는 투과형 광학계에 존재하는 편광들을 보여주는 개념도, 및 편광 분해를 설명하기 위한 개념도이고, 도 7a 및 도 7b는 도 6b의 애니-앵글 필터와 관련하여 편광 분해를 수직 필터, 수평 필터, 및 웨이트를 이용하여 표현한 원리를 설명하기 위한 개념도들이다.
도 6a를 참조하면, 투과형 광학계에 존재하는 편광들에 대해 간단히 설명하면, TM(Transverse Magnetic Field) 편광(TM(p)-pol.)은 수평 편광 또는 p 편광이라고도 하며, 일반적으로 전기장의 진동 방향이 입사 평면과 평행하게 편광된 전자기파를 의미한다. TE(Transverse Electric Field) 편광(TE(s)-pol.)은 수직 편광 또는 s 편광이라고도 하며, 전기장의 진동 방향이 입사 평면과 수직하게 편광된 전자기파를 의미한다. 한편, X 편광(X-pol.), Y 편광(Y-pol.), 및 XY 편광(XY-pol.)은 사용자의 정의에 따라 결정된 편광일 수 있다. 예컨대, 제1 방향(x 방향)의 편광을 X 편광(X-pol.)이라고 정의할 때, 그에 수직인 제2 방향(y 방향)의 편광은 Y 편광(Y-pol.)이 되고, X 편광과 Y 편광이 섹터별로 구별되어 복합된 편광이 XY 편광(XY-pol.)에 해당할 수 있다.
도 6b를 참조하면, 편광을 각각의 에지별로 적용함에 있어서, 편광을 분해(decomposition)할 수 있고, 이를 각 수직 필터와 수평 필터의 편광의 선형 조합으로 대체할 수 있다. 예컨대, 도 6b에서, X 편광(X pol.)은 TM 편광(TM(p))과 TE 편광(TE(s)의 벡터 합으로 표현할 수 있다. 한편, 도시된 바와 같이, 시계 방향으로 소스 섹터를 회전하는 경우, TE 편광(TE(s)은 수직 편광, 즉 Y 편광(X pol)에 해당하고, TM 편광(TM(p))은 수평 편광, 즉 X 편광(X pol)에 해당하므로, X 편광(X pol.)은 소스 섹터 회전 후, X 편광(X pol.)과 Y 편광(Y pol.)의 벡터 합으로 표현될 수 있다.
예컨대, 45°의 대각(diagonal) 패턴의 애니-앵글 필터에 대한 편광(△Dx x(r))은 sin 함수를 웨이트로 적용하여 하기 식(2)와 같이 수직 필터와 수평 필터의 X 편광(X pol.)과 Y 편광(Y pol.)의 선형 합으로 표현될 수 있다.
△Dx x(r) = sin245°*△Vx x(r) + cos245°*△Vy y(r)
= (△Vx x(r) + △Vy y(r))/2
= (△Vx x(r) + △Hx x(r))/2 ...........................식(2)
여기서, △(r)은 r의 거리에서 바라보는 에지에서의 에지 필터 값의 신호 값, 또는 광의 세기이고, Dx x에서, D는 빗각 또는 대각의 애니-앵글 필터를 의미하고, 아래 첨자는 입력 편광을 의미하며, 위 첨자는 출력 편광을 의미할 수 있다. 그에 따라, Dx x는 애니-앵글 필터의 x 편광을 의미할 수 있다. 또한, Vx x는 수직 필터에서의 x 편광을 의미하고, Vy y는 수직 필터에서의 y 편광을 의미하며, Hx x는 수평 필터에서의 x 편광을 의미할 수 있다.
만약, 임의의 각도(θ)의 에지를 갖는 패턴의 애니-앵글 필터에 대한 편광(△Dx x(r))은, 하기 식(3)과 같이 수직 필터와 수평 필터의 X 편광(X pol.)과 Y 편광(Y pol.)의 선형 합으로 표현될 수 있다.
△Dx x(r) = sin2θ°*△Vx x(r) + cos2θ°*△Vy y(r)
= w * △Vx x(r) + (1-w)*△Vy y(r)
= w * △Vx x(r) + (1-w)*△Hx x(r)......................식(3)
한편, 식(2) 또는 식(3)의 유도 과정에서, △Vy y(r)이 △Hx x(r)로 대체되고 있는데, 이는 도 7a 및 도 7b를 통해 이해할 수 있다. 즉, 수직 필터(V-filter)에 대해 임의 각도(θ)의 편광(θ-pol.)은 수직 필터(V-filter)의 X 편광(X pol.)과 Y 편광(Y pol.)의 벡터 합으로 표현할 수 있다. 한편, 도 7a를 통해 할 수 있듯이, 수직 필터(V-filter)의 Y 편광(Y pol.)은 수직 필터(V-filter)에 평행한 편광이고, 수직 필터(V-filter)의 X 편광(X pol.)은 수직 필터(V-filter)에 수직한 편광이다.
한편, 도 7b를 통해 알 수 있듯이, 수평 필터(H-filter)의 Y 편광(Y pol.)은 수평 필터(V-filter)에 수직한 편광이고, 수평 필터(H-filter)의 X 편광(X pol.)은 수평 필터(V-filter)에 수평한 편광이다. 편광의 개념으로 볼 때, 필터의 방향에 대해 수평한 편광이라는 점에서, 수직 필터(V-filter)의 Y 편광(Y pol.)은 수평 필터(H-filter)의 X 편광(X pol.)과 실질적으로 동일한 편광이다. 따라서, △Vy y(r)를 △Hx x(r)로 대체할 수 있다.
도 8a 및 도 8b는 임의 각도(any-angle)의 1D 패턴의 마스크에 대하여, 애니-앵글 필터를 적용하기 전과 적용한 후의 차이를 보여주기 위한 마스크의 근접장 이미지에 대한 그래프들이다. 그래프들 각각에서, x축은 위치를 나타내고, y축은 광의 인텐서티를 나타내며, 단위는 둘 다 임의 단위일 수 있다.
도 8a 및 도 8b를 참조하면, 도 8a의 'Before'는 본 실시예의 OPC에 이용되는 애니-앵글 필터를 적용하기 전을 의미하고, 도 8b의 'After'는 애니-앵글 필터를 적용한 후를 의미한다. 또한, REF는 엄격한 시뮬레이션을 이용하여 계산한 근접장 이미지를 의미하고, EF-old는 기존의 에지 필터를 적용하여 계산한 근접장 이미지를 의미하며, EF-new는 애니-앵글 필터를 포함한 에지 필터를 적용하여 계산한 근접장 이미지를 의미한다.
도 8a 및 도 8b를 통해 알 수 있듯이, 애니-앵글 필터를 포함한 에지 필터를 통해 계산한 근접장 이미지가 엄격한 시뮬레이션을 이용한 계산한 근접장 이미지와 거의 비슷한 수준의 결과를 보여줌을 확인할 수 있다. 따라서, 임의의 각도에 대응하는 곡선의 에지를 갖는 패턴에 대하여, 애니-앵글 필터를 적용하여 마스크 3D 효과가 효과적으로 보정된 광학적 이미지를 생성할 수 있음을 예측할 수 있다.
애니-앵글 필터를 포함한 에지 필터에 대한 직접적인 효과를 확인하기 위하여, 하기 두 가지 테스트를 진행한다.
도 9는 DUV 광원에서, 도 3b의 패턴의 마스크에 대하여 엄격한 시뮬레이션을 이용하여 계산한 광학적 이미지를 보여주는 그래프이고, 도 10a 및 도 10b는 DUV 광원에서, 도 3b의 패턴의 마스크에 대하여 기존 에지 필터를 적용한 광학적 이미지와, 애니-앵글 필터를 포함한 에지-필터를 적용한 광학적 이미지를 보여주는 그래프들이며, 도 11a 및 도 11b는 도 9의 광학적 이미지와 도 10a의 광학적 이미지 간의 차, 및 도 9의 광학적 이미지와 도 10b의 광학적 이미지 간의 차 이미지를 보여주는 그래프들이다. 그래프들 각각에서, x축과 y축은 위치를 나타내고, 오른쪽에 세로의 띠 모양의 음영 표시가 광의 인텐서티를 나타내며, 단위는 모두 임의 단위일 수 있다.
도 9 내지 도 11b를 참조하면, 도 11a의 광학적 이미지 간의 차 이미지에 대한 그래프에서, 광 인텐서티의 최대값이 1.03 정도로 나온 반면에, 도 11b의 광학적 이미지 간의 차 이미지에 대한 그래프에서, 광 인텐서티의 최대값이 0.91 정도로 나오고 있다. 따라서, DUV 광원의 경우, 기존 에지 필터를 이용한 경우와 비교하여, 애니-앵글 필터를 포함한 에지-필터를 이용하여 10% 이상 우수한 광학적 이미지를 생성함을 확인할 수 있다.
참고로, 차 이미지에서 광 인텐서티 값이 크게 나올수록 엄격한 시뮬레이션을 이용하여 계산한 광학적 이미지와 에지 필터를 이용하여 계산한 광학적 이미지의 차이가 큰 것을 의미한다. 또한, 이러한 차이는 마스크의 3D 효과에서 기인한다고 볼 수 있다. 따라서, 차 이미지에서 광 인텐서티 값이 작을수록 에지 필터를 이용한 계산한 광학적 이미지에서 마스크 3D 효과가 효과적으로 보정된 것으로 판단될 수 있다.
도 12는 EUV 광원에서, 도 3b의 패턴의 마스크에 대하여 엄격한 시뮬레이션을 이용하여 계산한 광학적 이미지를 보여주는 그래프이고, 도 13a 및 도 13b는 EUV 광원에서, 도 3b의 패턴의 마스크에 대하여 기존 에지 필터를 적용한 광학적 이미지와, 애니-앵글 필터를 포함한 에지-필터를 적용한 광학적 이미지를 보여주는 그래프들이며, 도 14a 및 도 14b는 도 12의 광학적 이미지와 도 13a의 광학적 이미지 간의 차, 및 도 12의 광학적 이미지와 도 13b의 광학적 이미지 간의 차를 보여주는 그래프들이다. 그래프들 각각에서, x축과 y축은 위치를 나타내고, 오른쪽에 세로의 띠 모양의 음영 표시가 광의 인텐서티를 나타내며, 단위는 모두 임의 단위일 수 있다.
도 12 내지 도 14b를 참조하면, 도 14a의 광학적 이미지 간의 차 이미지에 대한 그래프에서, 광 인텐서티의 최대값이 6.93 정도로 나온 반면에, 도 14b의 광학적 이미지 간의 차 이미지에 대한 그래프에서, 광 인텐서티의 최대값이 5.66 정도로 나오고 있다. 따라서, EUV 광원의 경우, 기존 에지 필터를 이용한 경우와 비교하여, 애니-앵글 필터를 포함한 에지-필터를 이용하여 20% 정도 더 우수한 광학적 이미지를 생성함을 확인할 수 있다.
도 9 내지 도 14b는 첫 번째 테스트 방법에 관한 내용으로, 이미지에 기초한 분석(image based analysis) 방법이다. 즉, 도 3b와 같이 곡선의 패턴(예컨대, 땅콩 형태 패턴)에 대하여, 해당 패턴의 마스크를 통과하여 나오는 광학적 이미지를 엄격한 시뮬레이션을 이용하여 계산한 값을 기준으로 하여, 기존 에지 필터와 애니-앵글 필터를 포함한 에지 필터를 이용하여 계산한 값을 비교함으로써, 애니-앵글 필터를 포함한 에지-필터를 이용한 경우의 정확도를 평가한다.
한편, 두 번째 테스트 방법은 실제 곡선의 패턴을 포함한 마스크를 이용하여 노광을 통해 웨이퍼 상에 패턴을 형성하고, 형성된 패턴을 측정한 실제 측정값을 이용한다. 즉, 웨이퍼 상의 패턴에 대한 실제 측정값을 애니-앵글 필터의 유무에 따른 OPC 모델의 교정(calibration)을 통해 형성된 패턴에 대해 계산된 값과 비교하여 정확도를 평가한다.
두 번째 테스트 방법에서, 기존 에지 필터만을 사용한 경우에 대비하여, 애니-앵글 필터를 포함한 에지 필터를 적용하여 OPC 모델을 교정한 결과가, DUV 광원 및 EUV 광원 모두에서 각각 개선됨을 확인할 수 있다. 예컨대, DUV 광원의 경우, 광학 RMS 값이 25% 이상 개선되고, EUV 광원의 경우, 광학 RMS 값이 11% 이상 개선됨을 확인할 수 있다. 여기서, 광학 RMS 값은 측정값과 OPC 모델을 통해 계산한 값 차이들에 대해 RMS 값으로서, 광학 RMS 값이 작을수록 OPC 모델을 통해 획득한 디자인 데이터가, 실측의 마스크를 형성할 수 있는 디자인 데이터에 근접하는 것으로 판단할 수 있다.
도 15는 본 발명의 일 실시예에 따른 마스크 제조방법의 과정을 개략적으로 보여주는 흐름도이다. 도 1 내지 도 7b의 설명 부분에서 이미 설명한 내용을 간단히 설명하거나 생략한다.
도 15를 참조하면, 본 실시예의 마스크 제조 방법은, 먼저, OPC 방법을 수행한다. OPC 방법은, 예컨대, 에지를 추출하는 단계(S110)에서부터 디자인 데이터를 획득하는 단계(S140)를 포함할 수 있다. 에지를 추출하는 단계(S110) 내지 디자인 데이터를 획득하는 단계(S140)에 대해서는 도 1의 OPC 방법의 각 단계들(S110 ~ S140)에 대해 설명한 바와 같다.
OPC 방법 수행 후, 디자인 데이터를 MTO(Mask Tape-Out) 디자인 데이터를 전달한다(S150). 일반적으로, MTO는 OPC 방법을 통해 획득한 최종 마스크 데이터를 마스크 제작팀으로 넘겨 마스크 제작을 의뢰하는 것을 의미할 수 있다. 따라서, MTO 디자인 데이터는 결국, OPC 방법을 통해 획득한 마스크에 대한 디자인 데이터에 해당할 수 있다. 이러한 MTO 디자인 데이터는 전자 설계 자동화(Electronic Design Automation: EDA) 소프트웨어 등에서 사용되는 그래픽 데이터 포맷을 가질 수 있다. 예컨대, MTO 디자인 데이터는 GDS2(Graphic Data System Ⅱ), OASIS(Open Artwork System Interchange Standard) 등의 데이터 포맷을 가질 수 있다.
MTO 디자인 데이터의 전달 후, 마스크 데이터 준비(Mask Data Preparation: MDP)를 수행한다(S160). 마스크 데이터 준비는 예컨대, 분할(fracturing)로 불리는 포맷 변환, 기계식 판독을 위한 바코드, 검사용 표준 마스크 패턴, 잡-덱(job deck) 등의 추가(augmentation), 그리고 자동 및 수동 방식의 검증을 포함할 수 있다. 여기서 잡-덱은 다중 마스크 파일들의 배치정보, 기준 도우즈(dose), 노광 속도나 방식 등의 일련의 지령에 관한 텍스트 파일을 만드는 것을 의미할 수 있다.
한편, 포맷 변환, 즉 분할(fracturing)은 MTO 디자인 데이터를 각 영역별로 분할하여 전자빔 노광기용 포맷으로 변경하는 공정을 의미할 수 있다. 분할에는 예컨대, 크기 조절(Scaling), 데이터의 정립(sizing), 데이터의 회전, 패턴 반사, 색상 반전 등의 데이터 조작이 포함될 수 있다. 분할을 통한 변환 과정에서, 설계 데이터로부터 웨이퍼 상의 이미지로의 전달과정 중의 어디에선가 발생할 수 있는 수많은 계통 오차들(systematic errors)에 대한 데이터가 보정될 수 있다. 상기 계통 오차들에 대한 데이터 보정 공정을 마스크 프로세스 보정(Mask Process Correction: MPC)이라고 부르며, 예컨대 CD 조절이라고 부르는 선폭 조절 및 패턴 배치 정밀도를 높이는 작업 등이 포함될 수 있다. 따라서, 분할은 최종 마스크의 품질 향상에 기여할 수 있고 또한 마스크 프로세스 보정을 위해 선행적으로 수행되는 공정일 수 있다. 여기서, 계통 오차들은 노광 공정, 마스크 현상(development) 및 에칭(etching) 공정, 그리고 웨이퍼 이미징 공정 등에서 발생하는 왜곡에 의해서 유발될 수 있다.
한편, 마스크 데이터 준비는 MPC를 포함할 수 있다. MPC는 전술한 바와 같이 노광 공정 중에 발생하는 에러, 즉 계통 오차를 보정하는 공정을 말한다. 여기서, 노광 공정은 전자빔 쓰기(Writing), 현상, 에칭, 베이크(bake) 등을 전반적으로 포함하는 개념일 수 있다. 덧붙여, 노광 공정 전에 데이터 프로세싱이 수행될 수 있다. 데이터 프로세싱은 일종의 마스크 데이터에 대한 전처리 과정으로서, 마스크 데이터에 대한 문법 체크, 노광 시간 예측 등을 포함할 수 있다.
마스크 데이터 준비 후, 마스크 데이터를 기반으로 하여 마스크용 기판을 노광한다(S170). 여기서, 노광은 예컨대, 전자빔 쓰기를 의미할 수 있다. 여기서, 전자빔 쓰기는 예컨대, 멀티-빔 마스크 노광기(Multi-Beam Mask Writer: MBMW)를 이용한 그레이 노광(Gray Writing) 방식으로 진행할 수 있다. 또한, 전자빔 쓰기는 가변 형상 빔(Variable Shape Beam: VSB) 노광기를 이용하여 수행할 수도 있다.
한편, 마스크 데이터 준비 단계 이후, 노광 공정 전에 마스크 데이터를 픽셀 데이터로 변환하는 과정이 수행될 수 있다. 픽셀 데이터는 실제의 노광에 직접 이용되는 데이터로서, 노광 대상이 되는 형상에 대한 데이터와 그 각각에 할당된 도우즈에 대한 데이터를 포함할 수 있다. 여기서, 형상에 대한 데이터는 벡터 데이터인 형상 데이터가 래스터라이제이션(rasterization) 등을 통해 변환된 비트-맵(bit-map) 데이터일 수 있다.
노광 공정 후, 일련의 공정들을 진행하여 마스크를 완성한다(S180). 일련의 공정들은 예컨대, 현상, 식각, 및 세정 등의 공정을 포함할 수 있다. 또한, 마스크 제조를 위한 일련의 공정에는 계측 공정, 결함 검사나 결함 수리 공정이 포함될 수 있다. 또한, 펠리클(pellicle) 도포 공정이 포함될 수도 있다. 여기서 펠리클 도포 공정은 최종 세척과 검사를 통해서 오염입자나 화학적 얼룩이 없다고 확인이 되면, 마스크 표면을 마스크의 배송 및 마스크의 가용수명 기간 동안 후속적인 오염으로부터 마스크를 보호하기 위해서 펠리클을 부착하는 공정을 의미할 수 있다.
본 실시예의 마스크 제조 방법에서, 마스크는 DUV용 마스크 또는 EUV용 마스크일 수 있다. 그러나 마스크가 DUV용 마스크 또는 EUV용 마스크에 한정되는 것은 아니다. 예컨대, 마스크는 DUV나 EUV 이외의 다른 파장용 마스크일 수도 있다.
본 실시예의 마스크 제조방법은, 애니-앵글 필터를 포함한 에지 필터를 이용하여 광학적 이미지를 생성함으로써, 3D 마스크 효과를 효과적으로 보정한 광학적 이미지를 생성할 수 있다. 또한, 그러한 광학적 이미지에 기초하여 OPC 모델을 생성하고, 그 OPC 모델을 이용한 시뮬레이션을 통해 최적의 마스크 디자인 데이터를 획득할 수 있다. 또한, 본 실시예의 마스크 제조방법은, 최적의 마스크 데이터에 기초하여 노광 공정을 통해 마스크를 제조함으로써, 웨이퍼 상에 타겟 패턴을 최적으로 형성할 수 있는 우수한 마스크를 구현할 수 있다.
지금까지, 본 발명을 도면에 도시된 실시예를 참고로 설명하였으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
Ve1, Ve1: 수직 에지, He1, He2: 수평 에지, AAe: 애니-앵글 에지, V-filter, V-f1, V-f2: 수직 필터, H-filter, H-f1, H-2: 수평 필터, AA-filter: 애니-앵글 필터, TM: 이상적인 얇은 마스크, RM: 실제 마스크, TMI: 얇은 마스크 이미지, RMI: 실제 마스크 이미지, SMI: 차 마스크 이미지

Claims (20)

  1. 마스크 상의 패턴의 레이아웃에 대한 에지들을 추출하는 단계; 및
    상기 에지들에 에지 필터(edge filter)를 적용하여 상기 패턴에 대한 광학적 이미지(optical image)를 생성하는 단계;를 포함하고,
    상기 에지들은 곡선 에지(curvilinear edge)를 포함하고, 상기 에지 필터는 상기 곡선 에지의 각도에 따라 대응하는 애니-앵글(any-angle) 필터를 포함하는, OPC 방법.
  2. 제1 항에 있어서,
    상기 애니-앵글 필터는 상기 곡선 에지의 각도에 대응하여, 소스 섹터 회전(source sector rotation)을 통해 만들어지는 것을 특징으로 하는 OPC 방법.
  3. 제1 항에 있어서,
    상기 에지 필터는 직각 에지(orthogonal edge)에 적용하는 수직 필터 및 수평 필터를 포함하고,
    상기 애니-앵글 필터는 상기 수직 필터와 수평 필터에 웨이트를 적용하여 하기 식(1)로 표현되며,
    AA-filter = w*V-filter + (1-w)*H-filter ...........식(1)
    상기 식(1)에서, AA-filter는 애니-앵글 필터이고, V-filter는 수직 필터이며, H-filter는 수평 필터이며, w는 웨이트인 것을 특징으로 하는 OPC 방법.
  4. 제1 항에 있어서,
    상기 곡선 에지의 각도가 θ라 할 때, 상기 웨이트는 sin2θ로 주어지고,
    상기 θ는 상기 곡선 에지의 설정된 범위 내에서 상기 수평에 대한 평균 기울기로 정의되는 것을 특징으로 하는 OPC 방법.
  5. 제1 항에 있어서,
    상기 애니-앵글 필터는 광원별로 다르게 만들어지는 것을 특징으로 하는 OPC 방법.
  6. 제5 항에 있어서,
    상기 애니-앵글 필터는, 투과형 광원에 대하여, 소스 섹터 회전을 통해 만들어지는 것을 특징으로 하는 OPC 방법.
  7. 제5 항에 있어서,
    상기 애니-앵글 필터는, 반사형 광원에 대하여, 소스 섹터 회전 및 편광 분해(polarization decomposition)을 통해 만들어지는 것을 특징으로 하는 OPC 방법.
  8. 제7 항에 있어서,
    상기 편광 분해는,
    소스 섹터의 포지션별 X, Y 편광을 조합하여, X, Y, XY, TE, 또는 TM 편광을 만드는 방법인 것을 특징으로 하는 OPC 방법.
  9. 제8 항에 있어서,
    상기 애니-앵글 필터에 대한 편광은 상기 편광 분해 및 웨이트를 적용하여 수직 필터와 수평 필터의 X 편광과 Y 편광의 선형 합으로 나타나는 것을 특징으로 하는 OPC 방법.
  10. 제9 항에 있어서,
    상기 애니-앵글 필터에 대한 편광은 하기 식(2)로 나타나고,
    △Dx x(r) = w*Vx x(r) + (1-w)*△Hx x(r).......식(2)
    상기 식(2)에서, △(r)을 r의 거리에서 바라보는 에지에서의 에지 필터의 신호 값이고, Dx x는 상기 애니-앵글 필터의 x 편광을 의미하고, Vx x는 수직 필터의 x 편광을 의미하고, Hx x는 수평 필터에서의 x 편광을 의미하며,
    상기 곡선 에지의 각도가 θ라 할 때, 상기 웨이트는 sin2θ로 주어지는 것을 특징으로 하는 OPC 방법.
  11. 제1 항에 있어서,
    상기 광학적 이미지는, 얇은 마스크 근사(thin mask approximation)에 의한 제1 광학적 이미지와 상기 에지 필터에 의한 제2 광학적 이미지를 포함한 근접장 이미지(near field image)인 것을 특징으로 하는 OPC 방법.
  12. 제1 항에 있어서,
    상기 광학적 이미지를 생성하는 단계 이후에,
    상기 광학적 이미지에 기초하여 OPC 모델을 생성하는 단계; 및
    상기 OPC 모델을 이용한 시뮬레이션을 통해, 상기 마스크에 대한 디자인 데이터를 획득하는 단계;를 더 포함하는 OPC 방법.
  13. 마스크 상의 패턴의 레이아웃을 디자인하는 단계;
    상기 레이아웃에 대한 에지들을 추출하는 단계;
    얇은 마스크 근사를 적용하여 상기 패턴에 대한 제1 광학적 이미지를 생성하는 단계;
    상기 에지들에 에지 필터를 적용하여 상기 패턴에 대한 제2 광학적 이미지를 생성하는 단계; 및
    상기 제1 광학적 이미지와 상기 제2 광학적 이미지를 합쳐 최종 광학적 이미지를 생성하는 단계;를 포함하고,
    상기 에지들은 곡선 에지를 포함하고, 상기 에지 필터는 상기 곡선 에지의 각도에 따라 대응하는 애니-앵글 필터를 포함하는, OPC 방법.
  14. 제13 항에 있어서,
    상기 에지 필터는 직각 에지에 적용하는 수직 필터 및 수평 필터를 포함하고,
    상기 애니-앵글 필터는 상기 곡선 에지의 각도에 대응하여, 상기 수직 필터와 수평 필터에 웨이트(w)를 적용하여 만들어지는 것을 특징으로 하는 OPC 방법.
  15. 제13 항에 있어서,
    상기 애니-앵글 필터는,
    투과형 광원에 대하여, 소스 섹터 회전을 통해 만들어지고,
    반사형 광원에 대하여, 소스 섹터 회전 및 편광 분해를 통해 만들어지는 것을 특징으로 하는 OPC 방법.
  16. 마스크 상의 패턴의 레이아웃에 대한 에지들을 추출하는 단계;
    상기 에지들에 에지 필터를 적용하여 상기 패턴에 대한 광학적 이미지를 생성하는 단계;
    상기 광학적 이미지에 기초하여 OPC 모델을 생성하는 단계;
    상기 OPC 모델을 이용한 시뮬레이션을 통해, 상기 마스크에 대한 디자인 데이터를 획득하는 단계;
    상기 디자인 데이터를 MTO(Mask Tape-Out) 디자인 데이터로서 전달하는 단계;
    상기 MTO 디자인 데이터에 기초하여 마스크 데이터를 준비하는 단계; 및
    상기 마스크 데이터에 기초하여, 마스크용 기판 상에 노광을 수행하는 단계;를 포함하고,
    상기 에지들은 곡선 에지를 포함하고, 상기 에지 필터는 상기 곡선 에지의 에지의 각도에 따라 대응하는 애니-앵글 필터를 포함하는, 마스크 제조방법.
  17. 제16 항에 있어서,
    상기 에지 필터는 직각 에지에 적용하는 수직 필터 및 수평 필터를 포함하고,
    상기 애니-앵글 필터는 상기 곡선 에지의 각도에 대응하여, 상기 수직 필터와 수평 필터에 웨이트(w)를 적용하여 만들어지는 것을 특징으로 하는 마스크 제조방법.
  18. 제17 항에 있어서,
    상기 애니-앵글 필터는 하기 식(1)로 표현되며,
    AA-filter = w*V-filter + (1-w)*H-filter ...........식(1)
    상기 식(1)에서, AA-filter는 애니-앵글 필터이고, V-filter는 수직 필터이며, H-filter는 수평 필터이며, w는 웨이트이며,
    상기 곡선 에지의 각도가 θ라 할 때, 상기 웨이트는 sin2θ로 주어지는 것을 특징으로 하는 마스크 제조방법.
  19. 제16 항에 있어서,
    상기 애니-앵글 필터는,
    투과형 광원에 대하여, 소스 섹터 회전을 통해 만들어지고,
    반사형 광원에 대하여, 소스 섹터 회전 및 편광 분해를 통해 만들어지는 것을 특징으로 하는 마스크 제조방법.
  20. 제19 항에 있어서,
    상기 편광 분해는,
    소스 섹터의 포지션별 X, Y 편광을 조합하여, X, Y, XY, TE, 또는 TM 편광을 만드는 방법이고,
    상기 애니-앵글 필터의 편광은 상기 편광 분해 및 웨이트를 적용하여 수직 필터와 수평 필터의 X 편광과 Y 편광의 선형 합으로 나타나는 것을 특징으로 하는 마스크 제조방법.
KR1020190110788A 2019-09-06 2019-09-06 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법 KR20210029495A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020190110788A KR20210029495A (ko) 2019-09-06 2019-09-06 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
US16/849,258 US11169437B2 (en) 2019-09-06 2020-04-15 Optical proximity correction (OPC) methods and methods of manufacturing masks using the OPC methods
CN202010818384.3A CN112462570A (zh) 2019-09-06 2020-08-14 光学邻近校正(opc)方法以及使用opc方法制造掩模的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020190110788A KR20210029495A (ko) 2019-09-06 2019-09-06 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법

Publications (1)

Publication Number Publication Date
KR20210029495A true KR20210029495A (ko) 2021-03-16

Family

ID=74833679

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190110788A KR20210029495A (ko) 2019-09-06 2019-09-06 Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법

Country Status (3)

Country Link
US (1) US11169437B2 (ko)
KR (1) KR20210029495A (ko)
CN (1) CN112462570A (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116893568B (zh) * 2023-09-07 2023-12-22 全芯智造技术有限公司 光学临近效应矫正方法及装置、可读存储介质、终端

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003504861A (ja) 1999-07-01 2003-02-04 エイエスエムエル ネザランドズ ベスローテン フエンノートシャップ 空間濾波による画像向上装置および方法
US7934172B2 (en) 2005-08-08 2011-04-26 Micronic Laser Systems Ab SLM lithography: printing to below K1=.30 without previous OPC processing
JP5299937B2 (ja) 2006-05-18 2013-09-25 カール・ツァイス・エスエムティー・ゲーエムベーハー 光近接効果を補正する方法
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US8572520B2 (en) 2012-03-01 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Optical proximity correction for mask repair
US10025175B2 (en) 2014-09-12 2018-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system to prepare, manufacture and inspect mask patterns for a semiconductor device

Also Published As

Publication number Publication date
US20210072637A1 (en) 2021-03-11
US11169437B2 (en) 2021-11-09
CN112462570A (zh) 2021-03-09

Similar Documents

Publication Publication Date Title
KR102441582B1 (ko) Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US20140141536A1 (en) Method and System for Providing a Target Design Displaying High Sensitivity to Scanner Focus Change
JP5020616B2 (ja) 短波長を持つ電磁放射を用いたリソグラフ方法および装置
US20040063000A1 (en) Chromeless phase mask layout generation
US10036961B2 (en) Optical proximity correction (OPC) method and method of fabricating mask using the OPC method
JP2009139632A (ja) マスクパターン補正方法及び露光用マスク
JP2006114901A (ja) リソグラフィ装置およびデバイス製造方法
TWI795566B (zh) 用於執行光學近接校正的方法及使用光學近接校正製造遮罩的方法
EP1962138B1 (en) Systems and methods for UV lithography
JP6858732B2 (ja) Opc方法、及びそのopc方法を利用したマスク製造方法
JP2008153447A (ja) シミュレーション方法およびシミュレーションシステム、ならびにマスクパターンの修正方法
US7930654B2 (en) System and method of correcting errors in SEM-measurements
KR20210029495A (ko) Opc 방법 및 그 opc 방법을 이용한 마스크 제조방법
US20230205092A1 (en) Optical proximity correction method, mask manufacturing method, semiconductor chip manufacturing method using the same and computing device
JP2007287907A (ja) マスクパターン補正方法、露光用マスクおよびマスク製造方法
KR20240000284A (ko) 딥러닝 기반 리소그라피 모델 생성방법, 및 그 모델 생성방법을 포함한 마스크 제조방법
JP5603685B2 (ja) 生成方法、作成方法、露光方法、デバイスの製造方法及びプログラム
CN112219271B (zh) 用以识别与光学邻近校正相关的系统性缺陷的混合设计布局
US20220326622A1 (en) Semiconductor device manufacturing method and extreme ultraviolet mask manufacturing method
US20220413377A1 (en) Optical proximity correction method and method of manufacturing extreme ultraviolet mask by using the same
KR20210046459A (ko) 멀티-opc 모델을 이용한 opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US8336000B2 (en) Method for determining position of auxiliary pattern, method for manufacturing photomask, and method for manufacturing semiconductor device
US20230132893A1 (en) Mask layout correction methods based on machine learning, and mask manufacturing methods including the correction methods
KR20230081361A (ko) 풀-칩 셀 cd 보정 방법, 및 그 보정 방법을 포함한 마스크 제조방법
KR20230131715A (ko) 딥러닝 기반 opc 패턴의 코너 라운딩 방법, 및 그 코너 라운딩 방법을 포함한 opc 방법과 마스크 제조방법

Legal Events

Date Code Title Description
A201 Request for examination