CN112189254A - 用于热处理的装置、基板处理系统和用于处理基板的方法 - Google Patents

用于热处理的装置、基板处理系统和用于处理基板的方法 Download PDF

Info

Publication number
CN112189254A
CN112189254A CN201880093723.7A CN201880093723A CN112189254A CN 112189254 A CN112189254 A CN 112189254A CN 201880093723 A CN201880093723 A CN 201880093723A CN 112189254 A CN112189254 A CN 112189254A
Authority
CN
China
Prior art keywords
carrier
substrate
processing system
modules
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880093723.7A
Other languages
English (en)
Inventor
马库斯·哈尼卡
约阿希姆·松嫩申
萨宾·宾德
西蒙·刘
于尔根·格里尔迈尔
朴炫灿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112189254A publication Critical patent/CN112189254A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本公开内容提供在处理系统中的载体(212)的热处理的装置(200)。装置包括载体(212),所述载体(212)被配置以在基板接收区域(232)中支撑基板(230),所述载体(212)具有延伸到基板接收区域(232)之外的一个或多个边缘部分(214),和被配置以提供热能至一个或多个边缘部分(214)的加热布置(240)。

Description

用于热处理的装置、基板处理系统和用于处理基板的方法
技术领域
本公开内容一般涉及基板处理,诸如大面积基板处理。特别地,本公开内容涉及在基板处理装置中在携带基板的载体上的基板处理。此外,本公开内容涉及用于热处理的装置、涉及基板处理系统和用于处理基板的方法。特别地,本公开内容涉及用于在处理系统中热处理载体(例如,用于携带基板的载体)的装置。
背景技术
用于基板上的层沉积的技术包括例如溅射沉积、热蒸镀,和化学气相沉积。溅射沉积工艺可用于在基板上沉积材料层,诸如导电材料或绝缘材料层。已涂布的材料可用于若干应用中和用于若干技术领域中。例如,一个应用在于微电子领域中,诸如用于产生半导体器件。此外,用于显示器的基板通常是通过物理气相沉积(例如,溅射沉积工艺),或化学气相沉积(chemical vapor deposition;CVD)涂布。进一步的应用包括绝缘板、具有薄膜晶体管(thin film transistor;TFT)的基板、滤色器等等。
基板处理系统可包括大气部分(例如,洁净室)、一个或多个真空腔室和负载锁定腔室,所述负载锁定腔室用于将基板从大气部分装载至一个或多个真空腔室。负载锁定腔室可被经常地抽空和排放以装载和/或卸载基板。此外,特别地对于大面积基板,可提供两个不同的概念。一方面,基板可直接由机械手等处理。另一方面,基板可被装载在载体(基板载体)上并且支撑基板的基板载体可在真空处理系统中处理。虽然载体增加了引导通过系统的设备并且可能具有某些缺点,但是载体具有可降低玻璃损坏的优点,特别地当考虑具有高达若干平方米的基板面积和低于1mm(例如,几十毫米)的厚度的基板时。
真空处理系统可提供基板从大气到真空再回到大气的循环。通常,多个基板可在系统中同时处理,例如可在周期的各个位置处提供。显示器制造商或真空处理系统的其他操作者可能由于多种原因而中断真空处理系统的操作。中断(即,工艺空闲)可能发生,并且支撑在载体中的基板(以及载体本身)会保留在周期的当前位置。从工艺空闲到具有新基板的处理周期的变化可改变处理系统中的解吸附行为和颗粒负载或气体水平。
鉴于上文,克服本领域中的至少一些问题的装置、系统和方法是有益的。
发明内容
根据本公开内容的一方面,提供一种用于处理系统中的载体的热处理的装置。装置包括载体,所述载体被配置以在基板接收区域中支撑基板,所述载体具有延伸到基板接收区域之外的一个或多个边缘部分,和被配置以提供热能至一个或多个边缘部分的加热布置。
根据本公开内容的一方面,提供一种基板处理系统。所述系统包括根据本公开内容的一方面的用于热处理的装置。
根据本公开内容的一方面,提供一种用于处理由载体支撑的基板的基板处理系统。系统提供一种基板载体布置,所述基板载体布置包括:用于热处理的第一装置,被配置以加热基板载体布置的第一区域;和用于热处理的第二装置,被配置以加热基板载体布置的第二区域。
根据本公开内容的一方面,提供一种用于处理基板的方法。所述方法包括:将基板装载在基板接收区域中的载体上;将载体引入基板处理系统中;和利用用于热处理的装置加热与基板接收区域不同的载体的区域。
实施方式还涉及用于执行所公开方法的装置,并且包括用于执行每一所述方法方面的装置部件。这些方法方面可通过硬件部件,通过由适当软件编程的计算机,通过所述两者的任一组合或以任何其他方式执行。
附图说明
因此以其中可详细理解本公开内容的上述特征的方式,上文简要概述的本公开内容的更具体描述可参考实施方式获得。附图涉及本公开内容的实施方式并且在下文中描述:
图1示出根据本文所述的实施方式的处理系统的俯视图;
图2A示出根据本文所述的实施方式的携带基板的载体的正视图;
图2B示出根据本文所述的实施方式的携带基板的载体的俯视图;
图3A至图3D示出根据本文所述的实施方式的易于热处理的区域和载体;
图4示出根据本文所述的实施方式的基板处理系统的俯视图;
图5A和图5B示出根据本文所述的实施方式的大气模块的侧视图;和
图6A和图6B示出根据本文所述的实施方式的方法的流程图。
具体实施方式
现将详细参考本公开内容的各种实施方式,所述实施方式的一个或多个实例在附图中示出。在附图的以下说明中,相同的元件符号指代相同的元件。仅描述了关于各个实施方式的差异。每一实例是通过对本公开内容的说明来提供并且不意味着作为对本公开内容的限制。此外,说明或描述为一个实施方式的一部分的特征可用于其他实施方式或结合其他实施方式一起使用,以产生更进一步实施方式。所述描述旨在包括此类修改和变化。
图1示出根据本文所述的实施方式的基板处理系统100的俯视图。处理系统可包括模块。模块可以是或包括腔室。处理系统包括一个或多个大气模块170。大气模块可包括摆动模块172。此外,处理系统可包括一个或多个负载锁定模块174,所述负载锁定模块也可在本文中被称为“预真空模块182”。进一步,处理系统可包括一个或多个传送模块180。一个或多个传送模块180可包括一个或多个高真空模块184。
根据本文所述的实施方式,处理系统包括一个或多个处理模块190。真空条件可被施加于一个或多个处理模块190和/或传送模块180和/或负载锁定模块174。包括预真空模块182和高真空模块184的负载锁定模块174、处理模块190和/或传送模块180可包括腔室。处理系统可用于处理基板230。
基板的处理可理解为传送材料至基板。例如,沉积材料可例如通过化学气相沉积(chemical vapor deposition;CVD)工艺或物理气相沉积(physical vapor deposition;PVD)工艺(诸如溅射或蒸镀)沉积在基板上。基板230可包括沉积材料接收侧。基板的沉积材料接收侧可被视为基板的面向沉积源的一侧。此外,基板的处理也可包括将基板从处理系统的一个模块输送至另一个模块。
根据本文所述的实施方式,大气模块170可连接至一个或多个传送模块180。另外地或替代地,大气模块170可连接至一个或多个处理模块190。例如,负载锁定模块174可将大气模块和一个或多个高真空模块184和/或处理模块190连接。负载锁定模块或腔室可帮助均衡模块之间的压力差。例如,大气压力被施加于一个模块,并且真空被施加于经由负载锁定模块连接至所述一个模块的模块中。
基板处理系统100可以是基板处理系统。系统可包括用于传送一个或多个基板230的输送布置160。特别地,输送布置160可包括通过处理系统延伸的输送路径162。例如,一个或多个基板230可从大气模块输送至一个或多个处理模块。此外,一个或多个基板可在一个或多个处理模块之间输送。例如,可输送多个基板。特别地,一个或多个基板和/或多个基板可通过基板处理系统100循环。基板例如可在大气模块和一个或多个处理模块之间循环。例如,此输送可沿着输送路径和/或输送环路。根据本公开内容的实施方式,基板被输送,例如,基板在由载体支撑的同时可循环。
此外,预真空模块可布置在大气模块和一个或多个处理模块之间。大气模块可包括大气条件。例如,负载模块中的气压可包括大气气压。因此,类似例如O2、H2O和N2的颗粒可存在于大气模块中或通常在真空模块的一个之外。与大气模块相比,预真空模块可包括不同的压力条件。例如,预真空腔室包括较低的压力条件。预真空腔室中的压力可低于10- 1mbar。预真空腔室可连接至一个或多个处理腔室。与大气模块和/或预真空腔室相比,处理腔室可包括不同的压力条件。负载锁定模块可布置在预真空腔室和处理腔室之间。例如,处理腔室可包括真空条件。
如本文使用的真空条件包括范围在低于10-1mbar或低于10-3mbar,诸如在10-7mbar与10-2mbar之间的压力条件。例如,负载锁定模块中的真空条件可在大气压力条件和低于大气压力条件之间切换,例如在10-1mbar的压力下或低于10-1mbar的压力范围中。为了将基板传送至高真空模块中,基板可被插入至在大气压力下提供的负载锁定模块中,所述负载锁定模块可被密封,并且随后可定位在范围低于10-1mbar的低于大气压力条件下。随后,在负载锁定腔室和高真空模块之间的开口可打开,并且基板可插入高真空模块中以被输送至处理模块中。
此外,处理模块中的真空条件可包括处于或低于10-2mbar,诸如10-3mbar至10- 4mbar的工艺压力条件。处理模块中的基准压力条件可在10-7mbar至10-6mbar的范围中,特别地在10-7mbar至5×10-6mbar的范围中。真空条件可通过使用真空泵或其他真空产生技术来施加。
根据本文所述的实施方式,一个或多个处理模块或腔室包含一个或多个沉积源220。如果存在多于一个沉积源,那么沉积源可排成一行。例如,沉积源彼此相邻布置。沉积源可在长度上垂直延伸。在垂直方向上,可分布多个开口。开口可为喷嘴的形式。例如,将要沉积在基板上的材料可通过多个开口(例如,通过喷嘴)喷涂在基板上。
根据实施方式,一个或多个沉积源可旋转固定至处理模块的底侧。特别地,二至十个沉积源可存在于一个或多个处理腔室中。更特别地,三至七个沉积源可存在于一个或多个处理腔室中。
从工艺空闲到具有新基板的处理周期的变化可改变处理系统中的解吸附行为和颗粒负载或气体水平。根据本公开内容的实施方式,颗粒负载可不仅由通过附着于基板而进入系统的颗粒改变。颗粒对进一步工艺元件(例如,载体)的吸附进一步增加了颗粒负载。诸如载体的部件以专用的方式具有降低的颗粒负载。
根据本文所述的实施方式,处理系统进一步包括用于热处理的装置200。装置可位于处理系统处和/或附近,例如在大气模块、负载锁定模块、高真空模块,和传送模块的至少一个或多个中(例如,在或者不在真空环境中)。另外地或替代地,装置可位于处理系统内部。装置可包括一个或多个加热布置240。
根据本文所述的实施方式,一个或多个基板230可通过基板处理系统100由载体212携带。载体212可经由处理系统中的输送布置输送。系统可包括携带多个基板230的多个载体212。每一载体212可携带一个基板。多个载体可同时通过处理系统输送。
图2A示出根据本文所述的实施方式的载体的正视图。
根据本文所述的实施方式,载体包括一个或多个边缘部分214。边缘部分214延伸到基板接收区域之外。此外,载体212可携带基板230。基板可装载到载体上。特别地,基板可装载于基板接收区域232中。基板230可经由保持布置218附接于载体212。例如,保持布置将载体连接至基板。保持布置可包括底座。底座可将载体和基板连接。保持布置可将基板和载体机械地连接。另外地或替代地,保持布置可将基板静电地连接在载体处。
另外地或替代地并且根据本文所述的实施方式,载体可包括或为静电卡盘(electrostatic chuck;E-chuck)。静电卡盘可具有用于在其上支撑基板230的支撑表面。在一个实施方式中,静电卡盘包括具有嵌入于其中的电极的电介质主体。电介质主体可包括介电材料,优选地是高导热率的介电材料,诸如热解氮化硼、氮化铝、氮化硅、氧化铝或等效材料。在一些实施中,介电材料可由聚合物材料(诸如聚酰亚胺)制成。电极可耦接至电源,电源提供功率至电极以控制卡紧力。卡紧力是作用于基板230上的静电力,以将基板230固定于支撑表面上。
通常,静电卡盘大体上支撑基板230的整个表面,诸如第二主表面或背侧。因为大体上整个表面附接于静电卡盘的已界定的支撑表面,所以可避免基板230的弯曲。基板230可被更稳定地支撑并且提高了工艺质量。
根据可与本文所述的其他实施方式结合的一些实施方式,基板230是大面积基板。大面积基板可具有至少0.01m2、特别地至少0.1m2,并且更特别地至少0.52m的尺寸。例如,大面积基板或载体可以是GEN 4.5,其对应于约0.67m2的基板(0.73x 0.92m);GEN 5,其对应于约1.4m2的基板(1.1m x 1.3m);GEN 7.5,其对应于约4.29m2的基板(1.95m x 2.2m);GEN8.5,其对应于约5.7m2的基板(2.2m X 2.5m);或甚至GEN 10,其对应于约8.7m2的基板(2.85m x 3.05m)。可同样地实施诸如GEN 11和GEN 12的甚至更大世代的基板和相应的基板面积。
一个或多个基板可以大体上垂直的位置定向。如贯穿本公开内容所使用,“大体上垂直”被特别地理解为当指代基板定向时,允许与垂直方向或定向偏差±20°或以下,例如±10°或以下。例如,可以提供此偏差,因为与垂直定向具有某些偏差的基板支撑件或载体可能产生更稳定的基板位置,或者面朝下的基板定向可能甚至更好地减少沉积期间在基板上的颗粒。然而,基板定向(例如,在层沉积工艺期间)被认为是大体上垂直的,其被视为与水平基板定向不同,水平基板定向可被视为水平±20°或更低。例如,在沉积工艺和/或输送期间,一个或多个基板可处于大体上垂直位置。
例如,沉积材料可从垂直布置的沉积源传送至大体上垂直定向的基板。将要沉积的材料可涂布在基板上。
本公开内容的实施方式例如涉及在处理系统中的载体212的热处理的装置200。装置包括加热布置240,所述加热布置被配置以提供热能至载体的一个或多个边缘部分214。载体被配置以在基板接收区域232中支撑基板,载体212具有延伸到基板接收区域外部的一个或多个边缘部分214。
根据本文所述的实施方式,摆动模块172可使一个或多个基板处于大体上垂直位置。摆动模块可进一步使基板载体布置250处于大体上垂直位置。摆动模块可包括可旋转轴。轴可被水平地定向。摆动模块可倾斜。例如,摆动模块172可倾斜大体上约90度。摆动模块可朝向负载锁定模块174定向。根据本文所述的实施方式,摆动模块172可包括摆动元件173。摆动元件可以是基板载体可位于其上的工作台。基板可装载在载体212上。摆动元件可朝向负载锁定模块倾斜。因此,摆动模块可将具有基板的载体或基板移动一角度,所述角度从非垂直定向(例如,水平)至非水平定向(例如,垂直)且反之亦然。角度可以是60°或以上和120°或以下,诸如约90°。
载体212可包括载体框架216。边缘部分214可提供围绕基板接收区域232的框架。载体框架216可显示载体212的最外部条纹。载体框架216可至少部分地围绕基板接收区域232。或者,载体框架216可完全围绕基板接收区域232。例如,载体框架的宽度范围可在10mm至500mm之间。特别地,载体框架的宽度范围可在50mm至400mm之间。更特别地,载体框架的宽度范围可在100mm至300mm之间。
根据本文所述的实施方式,用于热处理的装置200可进一步位于载体212处。例如,载体框架216可用作用于将加热布置240提供至载体的区域。进一步相对于图3A至图3D描述用于热处理的装置200的可能位置。
图2B示出根据本文所述的实施方式的携带基板的载体的俯视图。加热布置240可布置在载体附近。特别地,加热布置可布置在基板载体布置250附近。
根据实施方式,用于热处理的装置200可被布置以使得热能到达载体212。一个或多个加热布置240可被配置以提供热能至边缘部分214。例如,加热布置240可布置在模块的入口位置。另外地或替代地,加热布置可集成到载体212中。
加热布置240可提供至少1kW/m2的热能。例如,由加热布置提供的热能的范围在4kW/m2和100kW/m2之间,特别地在4kW/m2和10kW/m2之间。例如,载体可加热至120℃的温度。特别地,载体可加热至100℃,更特别地80℃的温度。另外地或替代地,载体可被加热以使得载体的加热和载体周围的温度导致载体温度为100℃,特别地80℃。
根据本文所述的实施方式,加热布置240可提供辐射加热。加热布置240可包括一个或多个辐射加热器。辐射加热器可延伸至一个或多个边缘部分214。辐射加热器可选自由紫外线灯、红外线灯、电阻加热器和上述各项的组合组成的群组。加热布置可包括电阻加热线和/或磁性材料。
加热布置240可被配置以加热与基板接收区域不同的载体的区域。此载体区域也可被称为加热的载体区域243。例如,加热布置240被配置以使得热能仅到达与载体的基板接收区域232不同或实质不同的区域(即,加热的载体区域243)。加热的载体区域可以是载体框架216。
可提供如预处理的基板的加热。然而,例如由玻璃制成并且厚度为0.7mm或以下的基板作为固体载体框架的热容量小得多。因此,与加热载体相比,作为预处理加热基板是不同的(例如,较低功率)。本领域的任何技术人员将了解,旨在加热基板的热辐射(即,基板接收区域中的热辐射)可能与基板接收区域之外的区域具有某些重叠。同样地,旨在加热基板接收区域之外的区域的热辐射与基板接收区域具有某些(小的)重叠。然而,如上所述,技术人员可以在两个意图之间进行区分。
有利地,载体加热支持颗粒从载体的解吸附。因此,可从载体去除杂质。载体可在不同压力条件之间输送。系统的一个或多个载体可停止在不同模块中并且从而处于不同压力条件下。在大气压力条件下停留期间,颗粒可吸附至载体。这些颗粒被输送至具有不同压力条件的后续模块。后续的输送干扰了正在进行的工艺,因此在继续进行工艺之前必须解决此情况。因此,有利的是从载体去除颗粒以加速工艺的确定。
图3A至图3D示出根据本文所述的实施方式的易于热处理的区域和载体。
根据实施方式,可在加热载体区域的外部提供热处理。外部加热应理解为远离加热的载体区域243定位的加热布置。加热布置可被布置在处理系统中。例如,加热布置可布置在处理系统的模块的任一侧。
图3A示出辐射加热的实例。热能可在不同于基板接收区域的区域处到达载体。例如,辐射加热的热能可在加热的载体区域243到达载体。此外,辐射加热的热能可在载体框架216处到达载体。
用于提供辐射加热至加热的载体区域的进一步方式(图3B中示例性示出)可通过电阻加热来提供。例如,可使用专用电阻加热器。电阻加热应理解为将电流通过导体,热量由所述导体产生。所述电阻加热器可布置在将要加热的载体附近。例如,用于辐射加热的电阻加热器可布置在处理系统的入口位置。例如,电阻加热器可位于载体框架的对面。
根据实施方式,可在加热载体区域的内部提供热处理。内部加热应理解为位于加热载体区域的加热布置。根据实施方式,加热布置可布置在加热载体区域的任一侧。例如,加热布置可布置在载体框架216处。
图3C示出用于提供加热至加热载体区域的进一步实施方式。可进一步提供电阻加热至载体212。电阻加热可通过将电阻加热器附接于载体来提供。例如,电阻加热线附接于载体212。电阻加热器可布置在载体框架内部。例如,电阻加热线可布置在加热载体区域内部,并且经由接触垫由电流来驱动。示例性地,电阻加热线可布置在载体框架216中。
图3D示出用于提供加热至加热载体区域的进一步实施方式。可进一步提供感应加热至载体。感应加热可被理解为通过将由涡流加热的元件中产生的热量的电磁感应的加热。加热布置240可感应地耦合至加热载体区域。例如,导电线可布置在加热载体区域处以提供感应加热。例如,导电线可布置在载体框架216中。
或者,加热载体区域可作为导电加热载体区域提供。电流可被施加于导电加热载体区域,从而将感应加热引入加热的载体区域。
根据一实施方式,加热布置进一步可包括用于提供能量至加热布置的能量源。能量源可包括用于提供电压至加热布置的电源。例如,电源可提供电压至电阻加热线。也可包括电源用于将电流感应耦合至磁性材料。
图4示出根据本文所述的实施方式的基板处理系统100的俯视图。
根据本文所述的实施方式,基板处理系统100包括大气模块170(包括摆动模块172)、负载锁定模块174、一个或多个传送模块180和一个或多个处理模块190。例如,一个摆动模块172可连接至负载锁定模块174,负载锁定模块174可进一步连接至预真空腔室182。预真空腔室可连接至高真空腔室184。高真空腔室可连接至处理腔室。处理腔室可连接至进一步处理腔室。通常,可随后布置的工艺腔室的数量可在一个腔室和八个处理腔室之间变化,特别地在一个处理腔室和五个处理腔室之间,更特别地在一个处理腔室和三个处理腔室之间变化。基板处理系统100可进一步包括输送布置160。
根据本文所述的实施方式,加热布置240可布置在基板处理系统100的不同位置。例如,加热布置240可布置在大气模块170处。例如,加热布置可位于摆动模块172处。
根据本文所述的实施方式,可在一个或多个传送模块180处提供用于热处理的装置200。可在一个或多个传送模块180中布置用于热处理的装置200。用于热处理的装置200或一个或多个加热布置240可位于预真空模块或腔室中。可静态地进行预真空腔室中的加热。静态加热被理解为例如在腔室壁处的固定的加热布置。也可理解为附接于腔室壁的固定的加热布置。固定加热可包括将载体停在腔室内部。
有利地,可在基板处理的开始时去除颗粒。因此,更有效地防止了颗粒到后续腔室的传播。此外,促进了载体的脱气。如此,可实现提高的工艺稳定性和性能。
根据本文所述的实施方式,残余颗粒或气体的消耗可由残余气体分析(residualgas analysis;RGA)测量来监测。温度的监测或调节可通过控制系统来进行。例如,控制系统可以是闭环系统。测量可在一个或多个传送模块180中和/或在一个或多个处理模块190中进行。例如,RGA可在预真空腔室和处理腔室中进行。RGA与加热的调节有关。根据实施方式,可建立用于加热载体的闭环系统。例如,用于热处理的装置200的调节可与RGA的结果相关。例如,如果测量到高的颗粒量或高的剩余气体体积,那么用于热处理的装置的温度可增加。
根据本文所述的实施方式,用于热处理的装置200可在模块或腔室壁的一部分处提供。模块或腔室可包括顶壁、四个侧壁和/或底壁。用于热处理的装置可被布置在一个或多个传送模块和/或一个或多个大气模块的每一壁处。用于热处理的装置200可至少布置在腔室壁的一部分处。例如,用于热处理的装置200可布置在相应壁的上部、下部和/或侧部。用于热处理的装置200可进一步覆盖整个相应的壁。
根据本文所述的实施方式,输送布置160可被配置以将载体212输送经过用于热处理的装置200。例如,输送路径162可被配置以在模块中的一位置处提供载体,在所述位置处,用于热处理的装置的加热可应用于载体212。例如,载体可相对于加热布置240停止。
根据本文所述的实施方式,加热可在载体移动期间提供至载体212。可在模块或腔室之间输送载体。例如,在两个传送模块之间输送载体。传送模块的一个可以是预真空腔室,并且第二传送模块可以是高真空腔室。加热可在载体传送期间提供至载体。加热可作为脉冲加热提供至载体框架,即,加热随后被开启或关闭。例如,加热可取决于载体和基板的位置而开启或关闭。例如,仅载体(而不是基板)可例如通过关闭在载体和/或基板的指定位置处的加热布置来加热。
根据可与本文所述的任何其他实施方式结合的实施方式,载体可包括基板载体布置。载体可装载有基板。基板可存在于载体的基板接收区域中。根据本文所述的实施方式,基板处理系统可包括提供基板载体布置的载体。系统可包括用于热处理的第一装置252。用于热处理的第一装置252可被配置以加热基板载体布置的第一区域。系统可进一步包括用于热处理的第二装置254。用于热处理的第二装置252可被配置以加热基板载体布置的第二区域。
根据本文所述的实施方式,用于热处理的第一装置252可提供与用于热处理的第二装置254相比较低功率的热能。例如,提供至基板载体布置的第一区域的热能比提供至第二区域的更少。
根据本文所述的实施方式,基板载体布置的第一区域可以是基板载体布置的基板接收区域。根据本文所述的实施方式,基板载体布置的第二区域可以是基板载体布置的载体的边缘部分。例如,用于热处理的第一装置252可提供加热至基板接收区域,并且用于热处理的第二装置254可提供加热至边缘部分和/或载体框架。
根据本文所述的实施方式,第二区域的加热可通过闭环系统调节。例如,闭环系统可以是如上所述的闭环系统。闭环控制系统可例如包括残余气体分析(RGA)。
根据本文所述的实施方式,基板处理系统可被配置以停止工艺。例如,载体在当前位置中停止。这样可允许例如将新的基板载体布置装载到处理系统中。此外,载体或基板载体布置可循环通过基板处理系统。输送布置可随后处于环路配置中。例如,提供了一种连续的输送路径。
图5A和图5B示出根据本文所述的实施方式的大气模块170的侧视图。根据可与本文所述的任何其他实施方式结合的实施方式,大气模块可包括一个或多个摆动模块。摆动模块可使基板处于大体上垂直位置。大气模块可进一步提供一个或多个负载锁定模块或腔室。摆动模块172可具有载体。载体可在基板接收区域中包括基板。
根据本文所述的实施方式,大气模块可提供用于热处理的装置200。例如,摆动模块172可提供用于热处理的装置。另外地或替代地,一个或多个负载锁定模块174可提供用于热处理的装置200。因此,加热可被提供至大气模块中的载体。用于热处理的装置200可被配置以加热载体。用于热处理的装置200可被定向以使得热能可到达载体。例如,热能到达载体和/或载体框架的边缘部分。
有利地,对于在处理模块中或接近于处理模块的载体,可降低载体处的颗粒或气体吸附。这可在工艺的早期阶段实现。因此,较少的颗粒被传送至处理系统。在工艺的早期阶段的载体上的低颗粒或气体负载是有利的,因为工艺经历较小的变化。
图6A和图6B示出根据本文所述的实施方式的方法的流程图。方法可通过使用根据本文所述的实施方式的基板处理系统100来进行。
根据可与本文所述的任何实施方式结合的实施方式,方框610包括将基板装载到基板接收区域中的载体上。载体和基板可以是基板载体布置。装载有基板的载体可被放置在摆动模块上。摆动模块可以是如根据本文的实施方式描述的摆动模块。可通过摆动模块使基板载体布置处于垂直位置。
根据可与本文所述的任何实施方式结合的实施方式,方框620包括将载体引入基板处理区域中。例如,基板载体布置被引入基板处理系统中。载体和/或基板载体布置可被垂直地引入。载体可连接至如本文的实施方式中所述的传输布置中。因此,载体和/或基板载体布置可通过基板处理系统输送。基板载体布置可被引入如本文所述的负载锁定模块或腔室中。
根据可与本文所述的任何实施方式结合的实施方式,方框630包括以用于热处理的装置加热与基板接收区域不同的载体区域。与基板接收区域不同的载体区域可以是加热的载体区域。加热可具有如本文的实施方式中描述的加热布置。用于热处理的装置可包括如本文所述的加热布置。
根据可与本文所述的任何其他实施方式结合的本文所述的实施方式,方法600可包括可同时地通过在多个载体上的基板处理系统同时输送的多个基板230。基板可包括在多个基板中并且载体可包括在多个载体中。因此,一个或多个载体和/或基板可同时通过基板处理系统被输送。载体可经由输送布置(例如,经由通过基板处理系统的输送路径)被输送。输送布置可配置为环路。
根据本文所述的实施方式,方法可进一步包括输送周期。方框640可包括将多个载体的至少一个载体输送至一个或多个大气模块。例如,至少一个载体被输送至负载锁定模块。另外地或替代地,基板载体布置可被输送至大气模块。
根据本文所述的实施方式,方框650可包括将至少一个载体从一个或多个大气模块输送至一个或多个传送模块。传送模块可以是如根据本文的实施方式描述的预真空模块或腔室或高真空模块或腔室。
根据本文所述的实施方式,方框660可包括将至少一个载体从一个或多个传送模块输送至一个或多个处理模块。例如,载体和/或基板载体布置可被输送至一个或多个处理模块。沉积材料可被传送至处理模块或腔室中的一个或多个基板。
根据本文所述的实施方式,方框670可包括将至少一个载体输送回至一个或多个大气模块。载体可在以环路配置布置的输送路径上输送。
根据本文所述的实施方式,方框680可包括停止基板处理系统。至少一个载体可保持在一个或多个大气模块中。载体和/或基板载体布置和/或基板可从基板处理系统去除。例如,可从处理系统去除已处理的基板。新的基板可装载到载体上。根据本文所述的实施方式,方框690可包括开启基板处理系统。多个载体和/或载体可在大气模块和/或传送模块和/或处理模块中停止。
根据本文所述的实施方式,一个输送周期的持续时间可取决于处理系统中包括的模块数量。例如,一个周期的持续时间是约10分钟或更低。特别地,持续时间可以是约5分钟。装载载体和/或基板载体布置的持续时间可以是90秒。特别地,装载的持续时间可以是60秒。
根据可与本文所述的任何其他实施方式结合的本文所述的实施方式,可在一个或多个大气模块和一个或多个处理模块之间加热至少一个载体。载体可在从大气模块到传送模块的输送期间加热。另外地或替代地,载体可在通过传送模块的输送期间加热。例如,载体可在从预真空模块到高真空模块的输送期间加热。加热可由如本文的实施方式中描述的一个或多个用于热处理的装置提供。
根据可与本文所述的实施方式结合的本文所述的实施方式,方法600可包括在载体输送期间加热与基板接收区域不同的载体的区域。加热的载体区域可被加热。例如,用于热处理的一个或多个装置被布置以使得加热的载体区域被加热。另外地或替代地,载体的边缘部分可被加热。加热可在处理系统的停止期间发生。
有利地,可从载体去除可能吸附到大气模块中的载体的颗粒。例如,当载体放置在大气模块中时,这种吸附可能在处理系统的停止期间越来越多地发生。因此,可以确保载体的脱气。此外,提高了工艺的效率。另外,减少了停止和开启工艺之间的时间和处理系统中的总颗粒负载。
根据本文所述的实施方式,方法600可进一步包括在载体从一个或多个大气模块到一个或多个传送模块的输送期间和/或在载体从一个或多个传送模块到一个或多个处理模块的传送期间加热与基板接收区域不同的载体的区域。
根据本文所述的实施方式,箭头692可指示确定在载体附近的气体负载,并且箭头694可指示在确定气体负载之后调节用于热处理的装置。气体负载可同义地用于颗粒负载。气体负载可经由相对于本文的实施方式描述的残余气体分析(RGA)来确定。加热调节可通过如本文中的实施方式描述的控制系统来进行。
虽然前述内容涉及本公开内容的实施方式,但是可在不背离本公开内容的基本范围的情况下设计本公开内容的其他和进一步实例,并且本公开内容的范围是由随附的权利要求书确定。

Claims (27)

1.一种用于处理系统中的载体(212)的热处理的装置(200),所述载体被配置以支撑基板接收区域(232)中的基板(230),所述载体(212)具有延伸到所述基板接收区域(232)之外的一个或多个边缘部分(214),所述装置(200)包含:
加热布置(240),被配置以提供热能至所述一个或多个边缘部分(214)。
2.如权利要求1所述的装置(200),其中所述一个或多个边缘部分(214)提供围绕所述基板接收区域(232)的框架(216)。
3.如权利要求2所述的装置(200),其中所述加热布置(240)被配置以提供热能至所述框架(216)。
4.如权利要求1至3任一项所述的装置(200),其中所述加热布置(240)包括:
一个或多个辐射加热器。
5.如权利要求4所述的装置(200),其中所述一个或多个辐射加热器延伸至所述一个或多个边缘部分(214)。
6.如权利要求4至5任一项所述的装置(200),其中所述一个或多个辐射加热器包括一群组中的一个或多个,所述群组包含红外线灯、紫外线灯、电阻加热器,和上述各项的组合。
7.如权利要求1至6任一项所述的装置(200),其中所述加热布置(240)包括:
电阻加热线和/或磁性材料。
8.如权利要求7所述的装置(200),其中所述电阻加热线附接于所述载体(212)。
9.如权利要求8所述的装置(200),其中所述加热布置(240)集成到所述载体(212)中。
10.如权利要求7至9任一项所述的装置(200),其中所述加热布置(240)包括:
能量源,用于提供能量至所述加热布置(240)。
11.如权利要求10所述的装置(200),其中所述能量源包括用于提供电压至所述电阻加热线的电源,或者用于将电流感应耦合至磁性材料的电源。
12.如前述权利要求任一项所述的装置(200),其中所述加热布置(240)提供至少1kW/m2的热能。
13.一种基板处理系统(100),包含:
如权利要求1至12中任一项所述的用于热处理的装置(200)。
14.如权利要求13所述的基板处理系统(100),其中所述系统进一步包括输送布置(160),所述输送布置(160)被配置以将所述载体(212)输送经过所述用于热处理的装置(200)。
15.如权利要求13或14所述的基板处理系统(100),其中所述系统进一步包括一个或多个传送模块(180),并且其中所述用于热处理的装置(200)设置在所述一个或多个传送模块(180)处。
16.如权利要求13或15所述的基板处理系统(100),其中所述系统进一步包括一个或多个大气模块(170),并且所述用于热处理的装置(200)设置在所述一个或多个大气模块(170)处。
17.一种用于处理基板(230)的基板处理系统(100),所述基板(230)由提供基板载体布置(250)的载体(212)支撑,所述基板处理系统(100)包含:
用于热处理的第一装置(252),被配置以加热所述基板载体布置(250)的第一区域;和
用于热处理的第二装置(254),被配置以加热所述基板载体布置(250)的第二区域。
18.如权利要求17所述的基板处理系统(100),其中所述用于热处理的第一装置(252)提供与用于热处理的第二装置(254)相比较低功率的热能。
19.如权利要求17或18所述的基板处理系统(100),其中所述第一区域是所述基板载体布置(250)的基板接收区域(232)。
20.如权利要求17至19所述的基板处理系统(100),其中所述第二区域是所述基板载体布置(250)的载体(212)的边缘部分(214)。
21.如权利要求17至20所述的基板处理系统(100),其中所述第二区域的加热是通过闭环控制系统调节。
22.如权利要求21所述的基板处理系统(100),其中所述闭环控制系统包括残余气体分析。
23.一种用于处理基板(230)的方法(600),所述方法包含:
将所述基板(230)装载在基板接收区域(232)中的载体(212)上;
将所述载体(212)引入基板处理系统(100)中;和
以用于热处理的装置(200)加热与所述基板接收区域(232)不同的所述载体的区域。
24.如权利要求23所述的方法(600),其中多个基板(230)同时地通过在多个载体(212)上的所述基板处理系统(100)输送,所述基板(230)包括在所述多个基板(230)中并且所述载体(212)包括在所述多个载体(212)中,所述方法进一步包含:
输送周期,包含:
输送所述多个载体(212)的至少一个载体(212)至一个或多个大气模块(170);
从所述一个或多个大气模块(170)输送所述至少一个载体(212)至一个或多个传送模块(180);
从所述一个或多个传送模块(180)输送所述至少一个载体(212)至一个或多个处理模块(190);
将所述至少一个载体(212)输送回至所述一个或多个大气模块(170);
停止所述基板处理系统(100),其中所述至少一个载体(212)保持在所述一个或多个大气模块(170)中;和
开启所述基板处理系统(100),其中所述至少一个载体(212)是在所述一个或多个大气模块(170)和所述一个或多个处理模块(190)之间加热。
25.如权利要求23至24所述的方法(600),所述方法进一步包含:
确定在所述载体附近的所述气体负载;和
在确定所述气体负载之后调节所述用于热处理的装置(200)。
26.如权利要求23至25所述的方法(600),所述方法进一步包含:
在所述载体(212)的输送期间加热与所述基板接收区域(232)不同的所述载体的区域。
27.如权利要求23至26所述的方法(600),所述方法进一步包含:
在所述载体(212)从所述一个或多个大气模块(170)到所述一个或多个传送模块(180)的输送期间和/或在所述载体(212)从所述一个或多个传送模块(180)到所述一个或多个处理模块(190)的输送期间加热与所述基板接收区域(232)不同的所述载体(212)的区域。
CN201880093723.7A 2018-05-30 2018-05-30 用于热处理的装置、基板处理系统和用于处理基板的方法 Pending CN112189254A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/EP2018/064242 WO2019228627A1 (en) 2018-05-30 2018-05-30 Apparatus for heat treatment, substrate processing system and method for processing a substrate

Publications (1)

Publication Number Publication Date
CN112189254A true CN112189254A (zh) 2021-01-05

Family

ID=62492637

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880093723.7A Pending CN112189254A (zh) 2018-05-30 2018-05-30 用于热处理的装置、基板处理系统和用于处理基板的方法

Country Status (3)

Country Link
KR (1) KR102534165B1 (zh)
CN (1) CN112189254A (zh)
WO (1) WO2019228627A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113874544A (zh) * 2019-05-24 2021-12-31 应用材料公司 用于热处理的设备、基板处理系统和用于处理基板的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080092821A1 (en) * 2004-08-24 2008-04-24 Shin-Etsu Handotai Co., Ltd. Quartz Jig and Semiconductor Manufacturing Apparatus
CN205122538U (zh) * 2013-03-12 2016-03-30 应用材料公司 用于内联基板处理工具的窗组件和内联基板处理工具
CN105917019A (zh) * 2014-02-04 2016-08-31 应用材料公司 用于有机材料的蒸发源、具有用于有机材料的蒸发源的设备、具有带有用于有机材料的蒸发源的蒸发沉积设备的系统以及用于操作用于有机材料的蒸发源的方法
CN108026635A (zh) * 2015-09-24 2018-05-11 应用材料公司 用于在材料沉积工艺中承载基板的载体和用于承载基板的方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5580607A (en) * 1991-07-26 1996-12-03 Tokyo Electron Limited Coating apparatus and method
JPH09306921A (ja) * 1996-05-15 1997-11-28 Hitachi Ltd 熱処理方法および装置
KR100425445B1 (ko) * 2001-04-24 2004-03-30 삼성전자주식회사 플라즈마 에칭 챔버 및 이를 이용한 포토마스크 제조 방법
KR101713196B1 (ko) * 2015-06-30 2017-03-09 주식회사 테라세미콘 인라인 열처리 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080092821A1 (en) * 2004-08-24 2008-04-24 Shin-Etsu Handotai Co., Ltd. Quartz Jig and Semiconductor Manufacturing Apparatus
CN205122538U (zh) * 2013-03-12 2016-03-30 应用材料公司 用于内联基板处理工具的窗组件和内联基板处理工具
CN105917019A (zh) * 2014-02-04 2016-08-31 应用材料公司 用于有机材料的蒸发源、具有用于有机材料的蒸发源的设备、具有带有用于有机材料的蒸发源的蒸发沉积设备的系统以及用于操作用于有机材料的蒸发源的方法
CN108026635A (zh) * 2015-09-24 2018-05-11 应用材料公司 用于在材料沉积工艺中承载基板的载体和用于承载基板的方法

Also Published As

Publication number Publication date
WO2019228627A1 (en) 2019-12-05
KR102534165B1 (ko) 2023-05-17
KR20210015950A (ko) 2021-02-10

Similar Documents

Publication Publication Date Title
KR102269469B1 (ko) 인젝터 대 기판 갭 제어를 위한 장치 및 방법들
CN109585252B (zh) 可旋转加热静电夹盘
US7655933B2 (en) Techniques for temperature-controlled ion implantation
TWI618138B (zh) 半導體處理中的邊緣環之熱管理
WO2008020955A2 (en) Techniques for temperature-controlled ion implantation
KR102582667B1 (ko) 플라즈마 식각 장치를 이용한 반도체 소자의 제조 방법
KR20090071060A (ko) 정전척 및 그를 포함하는 기판처리장치
KR20180109662A (ko) 기판 캐리어 및 기판을 프로세싱하는 방법
TWI719762B (zh) 成膜裝置
US8361549B2 (en) Power loading substrates to reduce particle contamination
CN112189254A (zh) 用于热处理的装置、基板处理系统和用于处理基板的方法
JP2006278652A (ja) 基板処理装置
JP2008311555A (ja) 基板処理装置
KR102503252B1 (ko) 진공 처리 장치
KR20140003856U (ko) 웨이퍼에 균일한 열전도가 가능한 서셉터를 구비하는 증착 장치
WO2018171909A1 (en) Apparatus and method for holding a substrate, apparatus and method for loading a substrate into a vacuum processing module, and system for vacuum processing of a substrate
KR20210008549A (ko) 버퍼 유닛, 그리고 이를 가지는 기판 처리 장치 및 방법
CN113874544A (zh) 用于热处理的设备、基板处理系统和用于处理基板的方法
KR20180024069A (ko) 반송 로봇 및 이를 가지는 기판 처리 장치
CN111819663B (zh) 液处理装置和液处理方法
KR20080030713A (ko) 기판 가공 장치
CN118016583A (zh) 基板支撑单元、包括基板支撑单元的用于处理基板的设备以及环传送方法
KR20230017622A (ko) 기판 처리 장치
KR20230064019A (ko) 반송 로봇, 이를 가지는 기판 처리 장치
JP2024000503A (ja) 成膜装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination