CN111933581B - 一种半导体结构的制备方法 - Google Patents

一种半导体结构的制备方法 Download PDF

Info

Publication number
CN111933581B
CN111933581B CN202011021798.XA CN202011021798A CN111933581B CN 111933581 B CN111933581 B CN 111933581B CN 202011021798 A CN202011021798 A CN 202011021798A CN 111933581 B CN111933581 B CN 111933581B
Authority
CN
China
Prior art keywords
layer
etching
hard mask
groove
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202011021798.XA
Other languages
English (en)
Other versions
CN111933581A (zh
Inventor
卢俊玮
贾涛
范广超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nexchip Semiconductor Corp
Original Assignee
Nanjing Crystal Drive Integrated Circuit Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanjing Crystal Drive Integrated Circuit Co ltd filed Critical Nanjing Crystal Drive Integrated Circuit Co ltd
Priority to CN202011021798.XA priority Critical patent/CN111933581B/zh
Publication of CN111933581A publication Critical patent/CN111933581A/zh
Application granted granted Critical
Publication of CN111933581B publication Critical patent/CN111933581B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种半导体结构的制备方法,至少包括以下步骤:提供一半导体衬底,并在所述半导体衬底上依次形成阻挡层、介质层、金属硬掩模层、底部抗反射层及光刻胶;根据所述光刻胶上的图案刻蚀所述底部抗反射层;刻蚀所述金属掩模层,在所述金属硬掩模层上形成第一沟槽,同时在所述第一沟槽侧壁上形成保护膜;进行去胶灰化刻蚀,去除所述光刻胶和所述底部抗反射层;刻蚀所述介质层,在所述介质层中形成第一通孔、第二沟槽,所述第二沟槽对准于所述第一沟槽;刻蚀所述阻挡层,在所述阻挡层中形成第二通孔,所述第二通孔对准于所述第一通孔。本发明可在刻蚀过程中保护刻蚀侧壁不受损伤。

Description

一种半导体结构的制备方法
技术领域
本发明涉及半导体器件制造领域,具体涉及一种半导体结构的制备方法。
背景技术
随着半导体制造技术的飞速发展,半导体器件为了达到更快的运算速度、更大的资料存储量以及更多的功能,半导体芯片向更高集成度方向发展;而半导体器件之间的信号传输是通过半导体结构中的金属互连层实现的,半导体芯片的集成度越高,则半导体器件的特征尺寸越小,后段互连(BEOL)电阻电容(Resistor Capacitor,简称 RC)延迟的问题越严重。为减低RC延迟的影响,引入低介电常数(Low-k)材料的介质层,并且铜互连取代铝互连成为主流工艺。双大马士革镶嵌(Dual damascene process)工艺成为铜互连线制作的方法。双大马士革结构是通过刻蚀工艺形成的,但是在刻蚀过程中,会导致盖帽层圆弧化及金属硬掩模刻蚀侧壁受损,进而影响金属互连线的导通。
发明内容
针对现有技术中的不足与缺陷,本发明提供一种半导体结构的制备方法,刻蚀过程中在金属硬掩模侧壁上形成一层保护膜,用于解决盖帽层圆弧化及金属硬掩模层侧壁受损的问题。
为实现上述目的及其他相关目的,本发明提供一种半导体结构的制备方法,至少包括以下步骤:
提供一半导体衬底,并在所述半导体衬底上依次形成阻挡层、介质层、金属硬掩模层、底部抗反射层及光刻胶,其中所述光刻胶上形成有沟槽图案;
根据所述光刻胶上的沟槽图案,刻蚀所述底部抗反射层;
刻蚀所述金属硬掩模层,在所述金属硬掩模层上形成第一沟槽,同时在所述第一沟槽侧壁上形成保护膜;
进行去胶灰化刻蚀,以去除所述光刻胶和所述底部抗反射层;
刻蚀所述介质层,并在所述介质层中形成第一通孔及第二沟槽,所述第二沟槽对准于所述金属硬掩模层中的所述第一沟槽;及
刻蚀所述阻挡层,并在所述阻挡层中形成第二通孔,所述阻挡层中的第二通孔对准于所述介质层中的所述第一通孔。
于本发明的一实施例中,所述半导体衬底中形成有金属层,所述金属层表面至少与所述半导体衬底表面齐平。
于本发明的一实施例中,刻蚀所述底部抗反射层采用四氟化碳和三氟甲烷等离子体进行干法刻蚀。
于本发明的一实施例中,所述金属硬掩模层包括形成于所述介质层上的正硅酸乙酯氧化层,形成于所述正硅酸乙酯氧化层上的氮化钛硬掩模及形成于所述氮化钛硬掩模上的氮氧化硅层。
于本发明的一实施例中,刻蚀所述金属掩膜层的步骤包括:
刻蚀所述氮氧化硅层,在刻蚀所述氮氧化硅层时采用四氟化碳和三氟甲烷等离子体进行干法刻蚀;
刻蚀所述氮化钛硬掩模,在刻蚀所述氮化钛硬掩模层时采用氯气和甲烷等离子体进行干法刻蚀;
刻蚀所述正硅酸乙酯氧化层,在刻蚀所述所述正硅酸乙酯氧化层时采用四氟化碳等离子体进行干法刻蚀。
于本发明的一实施例中,刻蚀所述氮氧化硅层时对所述氮氧化硅层进行过刻蚀,过刻蚀比例为85%~95%。
于本发明的一实施例中,刻蚀所述氮化钛硬掩模对所述氮化钛硬掩模进行过刻蚀,过刻蚀比例为75%~85%。
于本发明的一实施例中,刻蚀所述正硅酸乙酯氧化层时对所述正硅酸乙酯氧化层进行部分刻蚀以形成第一沟槽,所述第一沟槽底部为未刻蚀的部分正硅酸乙酯氧化层。
于本发明的一实施例中,所述保护膜是通过刻蚀气体与氮氧化硅层发生化学反应形成的。
于本发明的一实施例中,去胶灰化刻蚀过程采用氮气和氧气等离子体进行干法刻蚀。
如上所述,本发明公开一种半导体结构的制备方法,在半导体结构中刻蚀形成双大马士革结构,用以填充金属,与半导体衬底中的金属层导通形成金属互连结构,在刻蚀过程中,通过氮氧化硅层与刻蚀气体反生化学反应在金属硬掩模层的刻蚀侧壁上形成一层保护膜,防止刻蚀沟槽、通孔过程中电浆轰击损伤金属硬掩模侧壁,影响金属互连结构的导通。
附图说明
通过参考附图会更加清楚的理解本发明的特征和优点,附图是示意性的而不应理解为对本发明进行任何限制,在附图中:
图1显示为本发明中半导体结构的制备方法的流程示意图。
图2显示为本发明中刻蚀前的半导体结构。
图3显示为图2中金属硬掩模层的结构示意图。
图4显示为图3中刻蚀后金属硬掩模层的结构示意图。
图5显示为现有技术刻蚀后金属硬掩模层切片的透射电镜(TEM)图片。
图6显示为本发明的刻蚀方法应用于90nm技术刻蚀后金属硬掩模层切片的TEM图片。
图7显示为本发明的刻蚀方法应用于55nm技术刻蚀后金属硬掩模层切片的TEM图片。
附图标记
1、半导体衬底;2、阻挡层;3、介质层;4、金属硬掩模层;41、TEOS氧化层;42、TiN硬掩模;43、SiON层;5、底部抗反射层;6、光刻胶;7、金属层;8、保护膜。
具体实施方式
以下通过特定的具体实例说明本发明的实施方式,本领域技术人员可由本说明书所揭露的内容轻易地了解本发明的其它优点与功效。本发明还可以通过另外不同的具体实施方式加以实施或应用,本说明书中的各项细节也可以基于不同观点与应用,在没有背离本发明的精神下进行各种修饰或改变。需说明的是,在不冲突的情况下,以下实施例及实施例中的特征可以相互组合。还应当理解,本发明实施例中使用的术语是为了描述特定的具体实施方案,而不是为了限制本发明的保护范围。下列实施例中未注明具体条件的试验方法,通常按照常规条件,或者按照各制造商所建议的条件。
当实施例给出数值范围时,应理解,除非本发明另有说明,每个数值范围的两个端点以及两个端点之间任何一个数值均可选用。除非另外定义,本发明中使用的所有技术和科学术语与本技术领域的技术人员对现有技术的掌握及本发明的记载,还可以使用与本发明实施例中所述的方法、设备、材料相似或等同的现有技术的任何方法、设备和材料来实现本发明。
本发明提供一种半导体结构的制备方法,刻蚀过程中可在金属硬掩模侧壁上形成一层保护膜,可以防止电浆轰击损伤刻蚀侧壁。
请参阅图1至图3,本发明提供一种半导体结构的制备方法,至少包括以下步骤:
S1、提供一半导体衬底1,并在半导体衬底1上依次形成阻挡层2、介质层3、金属硬掩模层4、底部抗反射层(BARC)5以及光刻胶(PR)6,其中光刻胶6上形成有沟槽图案;
S2、根据光刻胶6上的沟槽图案,刻蚀底部抗反射层5;
S3、刻蚀金属硬掩模层4,在金属掩膜层上形成第一沟槽,同时在第一沟槽侧壁上形成一层保护膜8;
S4、进行去胶灰化刻蚀,以去除光刻胶6及底部抗反射层5;
S5、刻蚀介质层3,在介质层3中形成第一通孔及第二沟槽,其中第二沟槽对准于第一沟槽;
S6、刻蚀阻挡层2,在阻挡层2上形成第二通孔,阻挡层2中的第二通孔对准于介质层3中的第一通孔。
参见图1至图2,执行步骤S1,提供一半导体衬底1,并在半导体衬底1上并在半导体衬底1上由下至上依次形成阻挡层2、介质层3、金属硬掩模层4、底部抗反射层(BARC)5以及光刻胶(PR)6。其中,半导体衬底1中形成有金属层7例如铜(Cu),金属层7上表面暴露于半导体衬底1表面,金属层2上表面至少与半导体衬底1上表面齐平。阻挡层2位于半导体衬底1和金属层7上方,作为扩散阻挡层和刻蚀停止层,保护金属层7在刻蚀过程中不受损伤;介质层3位于阻挡层2上方,介质层3为低介电常数材料,刻蚀介质层3以形成沟槽和通孔,与半导体衬底1中的金属层7导通,然后在沟槽和通孔内填充金属形成金属互连结构;金属硬掩模层4位于介质层3上方,用来保护介质层3及作为介质层3刻蚀沟槽的掩模板;底部抗反射层(BARC)5位于金属硬掩模层4上方,光刻胶(PR)6位于底部抗反射层(BARC)5的上方,并在光刻胶(PR)6上形成沟槽图案。
参见图1至图3,在一实施例中,阻挡层2为一层较薄的介电材料,例如氮掺杂碳化硅(NDC),NDC的厚度为450~550Å;介质层3为超低介电常数(low-k)的材料形成的薄膜,介电常数为2.4~2.7,例如黑钻石(black diamond,BD),介质层3的厚度为3100~3600Å;金属硬掩模层4包括位于介质层3上方的正硅酸乙酯(TEOS)氧化层41、位于TEOS氧化层41上方的氮化钛(TiN)硬掩模42及位于氮化钛(TiN)硬掩模42上方的氮氧化硅(SiON)层43,其中,TEOS氧化层41具有保护介质层3的作用,其厚度可选择为475~525Å,TiN硬掩模42作为沟槽刻蚀模板,其厚度可选择为300Å,SiON层43为缓冲层,可与刻蚀气体反生化学反应,在金属硬掩模层刻蚀侧壁上形成保护膜,其厚度可选择为250~300Å,底部抗反射层(BARC)5例如可为基于硅的抗反射层(Si-arc),底部抗反射层5的厚度可选择为780Å,光刻胶(PR)6的厚度可选择为2000Å,光刻胶(PR)6上通过光刻显影形成有沟槽图案。
参见图1至图2,执行步骤S2,根据光刻胶6上的沟槽图案向下刻蚀底部抗反射层5。在一实施例中,光刻胶6上包含有通过光刻显影形成的沟槽图案,根据光刻胶6上的沟槽图案,向下刻蚀底部抗反射层5,在本实施例中,刻蚀底部抗反射层5采用四氟化碳(CF4)和三氟甲烷(CHF3)等离子体进行干法刻蚀,将沟槽图案刻蚀在底部抗反射层5上。刻蚀过程是在刻蚀机台内进行的,半导体结构固定在刻蚀机台反应腔内的静电吸附盘(ESC)上,CF4和CHF3气体从半导体结构的中心注入刻蚀机台反应腔内,同时,向反应腔内通入惰性气体例如氦气(He)起稳定和均匀等离子体的作用,并有加强各向异性刻蚀效果的作用,CF4和CHF3气体在射频的激发下形成CF4等离子体和CHF3等离子体,等离子体在电子的撞击下吸收能量转变成活性基团,活性基团与底部抗反射层5发生化学反应在底部抗反射层5上形成沟槽图案。
参见图1至图3,执行步骤S3,刻蚀金属硬掩模层4,在金属硬掩模层4上形成第一沟 槽。在一实施例中,金属硬掩模层4包括位于介质层3上方的TEOS氧化层41、位于TEOS氧化层 41上方的TiN硬掩模42及位于TiN硬掩模42上方的SiON层43。刻蚀金属掩模层4首先刻蚀 SiON层43,刻蚀SiON层43时,采用CF4和CHF3等离子体进行干法刻蚀,以底部抗反射层5上的 沟槽图案为模板对SiON层43进行刻蚀,刻蚀时,CF4和CHF3气体从半导体结构的中心注入反 应腔,同时向反应腔内通入惰性气体例如氦气(He)起稳定和均匀等离子体的作用,并有加 强各向异性刻蚀效果的作用。刻蚀SiON层43完成后需向下继续进行过刻蚀(OE)来保证SiON 层43完全刻蚀,其中过刻蚀比例为85%~95%,例如过刻蚀比例为90%,而过刻蚀的时间可通过 终点探测(Endpoint detector)方法进行控制,即由于不同材料之间的刻蚀选择比的差异, 过刻蚀步骤会自动停在刻蚀终点处。在刻蚀SiON层时,SiON层中的二氧化硅(SiO2)与刻蚀 气体CHF3发生化学反应形成聚合物SiF4,具体反应如下:CHF3+e-
Figure 422619DEST_PATH_IMAGE001
CHF2 ++F(游离基)+ 2e-;生成的F原子到达SiON表面时,发生反应为SiO2+4F
Figure 339759DEST_PATH_IMAGE001
SiF4+O2,生成的SiF4沉积在刻 蚀侧壁上,防止刻蚀过程电浆轰击损坏侧壁。在过刻蚀的这段时间内,TiN硬掩模42完全暴 露在SiON层43刻蚀的等离子体中,生成的SiF4沉积在TiN硬掩模42的刻蚀侧壁上,保护TiN 硬掩模42刻蚀侧壁不受电浆轰击,而且在后续TiN硬掩模42主刻蚀过程中,TiN硬掩模刻蚀 侧壁不受Cl-侧向刻蚀;然后以SiON层43中的刻蚀图像为模板继续向下对TiN硬掩模42进行 主刻蚀,刻蚀TiN硬掩模42时采用氯气(Cl2)和甲烷(CH4)进行干法刻蚀,Cl2和CH4刻蚀气体 从半导体结构中心注入反应腔体内,同时向反应腔内通入惰性气体例如氦气(He)起稳定和 均匀等离子体的作用,并有加强各向异性刻蚀效果的作用。刻蚀TiN硬掩模42层完成后需向 下继续进行过刻蚀来保证TiN硬掩模42层完全刻蚀,其中,过刻蚀比例为75%~85%,例如过刻 蚀比例可选择80%,而过刻蚀的时间可通过终点探测(Endpoint detector)方法进行控制, 即由于不同材料之间的刻蚀选择比的差异,过刻蚀步骤会自动停在刻蚀终点处。在过刻蚀 的这段时间内,TEOS氧化层41完全暴露在TiN硬掩模42层刻蚀的等离子体中;接着继续向下 对TEOS氧化层41进行部分刻蚀,使刻蚀停留在TEOS氧化层41上,由此在金属硬掩模4上形成 第一沟槽,第一沟槽的底部为未刻蚀的TEOS氧化层。刻蚀TEOS氧化层41时采用CF4等离子体 进行干法刻蚀,CF4刻蚀气体从半导体结构的边缘位置进入反应腔体,可以调节边缘的刻蚀 速率和形貌,同时向反应腔内通入惰性气体例如氦气(He)起稳定和均匀等离子体的作用, 并有加强各向异性刻蚀效果的作用。
参见图4,TEOS氧化层41刻蚀完成,在金属硬掩模层4上形成第一沟槽,同时SiO2与刻蚀气体CHF3反应生成的SiF4保护膜8沉积在第一沟槽的侧壁上,保护沟槽侧壁在后续的沟槽通孔刻蚀过程中不受电浆的轰击而损伤。
参见图1至图2,执行步骤S4,进行去胶灰化刻蚀,将光刻胶6及底部抗反射层5去除。在一实施例中,去胶灰化刻蚀过程采用氮气(N2)和氧气(O2)等离子体进行干法刻蚀,在去胶灰化刻蚀过程中,刻蚀气体氮气(N2)和氧气(O2)从半导体结构中心注入,有中心向边缘扩散逐步将光刻胶6及底部抗反射层5完全去除。在刻蚀过程中还向反应腔内通入惰性气体例如氦气(He)起稳定和均匀等离子体的作用,并有加强各向异性刻蚀效果的作用。
参见图1至图2,执行步骤S5,刻蚀介质层3,在介质层3中形成第一通孔和第二沟槽,其中第二沟槽对准于金属硬掩模层4上的第一沟槽。在一实施例中,在介质层3中形成第一通孔,是通过在金属硬掩模层4形成的第一沟槽中填充光刻胶并在光刻胶中形成通孔图案,根据通孔图案刻蚀形成的,而在介质层3中形成第二沟槽,是根据金属硬掩模层4中形成的第一沟槽继续向下刻蚀形成的。刻蚀介质层3形成沟槽和通孔以CF4为主刻蚀气体进行的等离子体干法刻蚀。
参见图1至图2,执行步骤S6,刻蚀阻挡层2,在阻挡层2上形成第二通孔,第二通孔对准于介质层3中的第一通孔,进而将半导体衬底1上的金属层7暴露出来,在通孔与沟槽内填充金属与半导体衬底1中的金属层7相连通形成金属互连结构。
参见图5至图7,图5为现有技术刻蚀后金属硬掩模层的切片透射电镜(TEM)图片,从图中可以看出,金属硬掩模层刻蚀侧壁出现损伤呈非直线结构而且盖帽层呈圆弧化,图6和图7分别为将本发明的刻蚀方法应用于90nm和55nm技术中,刻蚀后的金属硬掩模层切片的透射电镜(TEM)图片,从图中可以看出,本发明的刻蚀方法形成的金属硬掩模层刻蚀侧壁均保持直线状,没有出现损伤,而且盖帽层也保持垂直结构,没有出现圆弧化。
综上所述,本发明公开一种半导体结构的制备方法,在刻蚀半导体形成沟槽、通孔前在金属硬掩模的刻蚀侧壁上形成一层SiF4薄膜,在沟槽、通孔刻蚀过程中,保护金属硬掩模侧壁不受电浆攻击而损伤,使金属硬掩模侧壁保持直线状及盖帽层保持垂直结构,进而不影响金属互连结构的导电性。所以,本发明有效克服了现有技术中的一些实际问题从而有很高的利用价值和使用意义。
上述实施例仅例示性说明本发明的原理及其功效,而非用于限制本发明,本领域技术人员可以在不脱离本发明的精神和范围的情况下作出各种修改和变型,这样的修改和变型均落入由所附权利要求所限定的范围之内。

Claims (8)

1.一种半导体结构的制备方法,其特征在于,至少包括以下步骤:
提供一半导体衬底,并在所述半导体衬底上依次形成阻挡层、介质层、金属硬掩模层、底部抗反射层及光刻胶,其中所述光刻胶上形成有沟槽图案;
根据所述光刻胶上的沟槽图案,刻蚀所述底部抗反射层;
刻蚀所述金属硬掩模层,在所述金属掩模层上形成第一沟槽,同时在所述第一沟槽侧壁上形成保护膜;
进行去胶灰化刻蚀,以去除所述光刻胶和所述底部抗反射层;
刻蚀所述介质层,并在所述介质层中形成第一通孔及第二沟槽,所述第二沟槽对准于所述金属硬掩模层中的所述第一沟槽;
刻蚀所述阻挡层,并在所述阻挡层中形成第二通孔,所述阻挡层中的第二通孔对准于所述介质层中的所述第一通孔;
其中,所述金属硬掩模层包括形成于所述介质层上的正硅酸乙酯氧化层,形成于所述正硅酸乙酯氧化层上的氮化钛硬掩模及形成于所述氮化钛硬掩模上的氮氧化硅层;
刻蚀所述金属硬掩模层的步骤包括:
刻蚀所述氮氧化硅层,在刻蚀所述氮氧化硅层时采用四氟化碳和三氟甲烷等离子体进行干法刻蚀;
刻蚀所述氮化钛硬掩模,在刻蚀所述氮化钛硬掩模时采用氯气和甲烷等离子体进行干法刻蚀;
刻蚀所述正硅酸乙酯氧化层,在刻蚀所述正硅酸乙酯氧化层时采用四氟化碳等离子体进行干法刻蚀。
2.根据权利要求1所述的制备方法,其特征在于,所述半导体衬底中形成有金属层,所述金属层至少与所述半导体衬底表面齐平。
3.根据权利要求1所述的制备方法,其特征在于,刻蚀所述底部抗反射层采用四氟化碳和三氟甲烷等离子体进行干法刻蚀。
4.根据权利要求1所述的制备方法,其特征在于,刻蚀所述氮氧化硅层时对所述氮氧化硅层进行过刻蚀,过刻蚀比例为85%~95%。
5.根据权利要求1所述的制备方法,其特征在于,刻蚀所述氮化钛硬掩模对所述氮化钛硬掩模进行过刻蚀,过刻蚀比例为75%~85%。
6.根据权利要求1所述的制备方法,其特征在于,刻蚀所述正硅酸乙酯氧化层时对所述正硅酸乙酯氧化层进行部分刻蚀以形成第一沟槽,所述第一沟槽底部为未刻蚀的部分正硅酸乙酯氧化层。
7.根据权利要求1所述的制备方法,其特征在于,所述保护膜是通过刻蚀气体与所述氮氧化硅层发生化学反应形成的。
8.根据权利要求1所述的制备方法,其特征在于,去胶灰化刻蚀过程采用氮气和氧气等离子体进行干法刻蚀。
CN202011021798.XA 2020-09-25 2020-09-25 一种半导体结构的制备方法 Active CN111933581B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011021798.XA CN111933581B (zh) 2020-09-25 2020-09-25 一种半导体结构的制备方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202011021798.XA CN111933581B (zh) 2020-09-25 2020-09-25 一种半导体结构的制备方法

Publications (2)

Publication Number Publication Date
CN111933581A CN111933581A (zh) 2020-11-13
CN111933581B true CN111933581B (zh) 2020-12-22

Family

ID=73334178

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011021798.XA Active CN111933581B (zh) 2020-09-25 2020-09-25 一种半导体结构的制备方法

Country Status (1)

Country Link
CN (1) CN111933581B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112687537B (zh) * 2020-12-17 2024-05-17 北京北方华创微电子装备有限公司 金属硬掩膜刻蚀方法
CN113097066B (zh) * 2021-03-30 2024-03-29 上海华力微电子有限公司 半导体器件的制备方法
CN117706685B (zh) * 2024-02-06 2024-04-30 上海铭锟半导体有限公司 一种硬掩模保护的硅光波导表面氧化平滑方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101483135A (zh) * 2008-12-31 2009-07-15 中微半导体设备(上海)有限公司 含碳层的刻蚀方法
CN102324400A (zh) * 2011-09-28 2012-01-18 上海华力微电子有限公司 铜互连结构的制作方法
CN102543849A (zh) * 2011-10-21 2012-07-04 上海华力微电子有限公司 一种刻蚀第一金属层的方法
CN107481969B (zh) * 2017-08-16 2020-07-17 上海华力微电子有限公司 一种通孔的形成方法

Also Published As

Publication number Publication date
CN111933581A (zh) 2020-11-13

Similar Documents

Publication Publication Date Title
CN111933581B (zh) 一种半导体结构的制备方法
US7192863B2 (en) Method of eliminating etch ridges in a dual damascene process
US6503829B2 (en) Metal via contact of a semiconductor device and method for fabricating the same
US6905968B2 (en) Process for selectively etching dielectric layers
US7265056B2 (en) Method for forming novel BARC open for precision critical dimension control
US6103619A (en) Method of forming a dual damascene structure on a semiconductor wafer
US7385287B2 (en) Preventing damage to low-k materials during resist stripping
US7828987B2 (en) Organic BARC etch process capable of use in the formation of low K dual damascene integrated circuits
TWI651805B (zh) 具有高角落選擇性的自我對準接觸窗/導通孔之形成方法
JP2002525840A (ja) 特に銅デュアルダマシーンに有用な原位置統合酸化物エッチングプロセス
US6187666B1 (en) CVD plasma process to fill contact hole in damascene process
SG187508A1 (en) Reducing damage to low-k materials during photoresist stripping
US7300878B1 (en) Gas switching during an etch process to modulate the characteristics of the etch
US6225220B1 (en) Plug forming method for semiconductor device
JP2005328060A (ja) 半導体装置の製造方法
US20030003717A1 (en) Method for forming a dual damascene line
US20110097899A1 (en) Method of forming funnel-shaped opening
KR100400302B1 (ko) 반도체 소자의 제조 방법
KR100909175B1 (ko) 듀얼 다마신 패턴 형성 방법
US6875688B1 (en) Method for reactive ion etch processing of a dual damascene structure
JP2005005697A (ja) 半導体装置の製造方法
US7135406B2 (en) Method for damascene formation using plug materials having varied etching rates
JP2000353688A (ja) 半導体装置の製造方法
US9252051B1 (en) Method for top oxide rounding with protection of patterned features
TWI833380B (zh) 形成半導體結構之方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20240326

Address after: 230000 No. 88, xifeihe Road, Hefei comprehensive free trade zone, Xinzhan District, Hefei City, Anhui Province

Patentee after: Hefei crystal integrated circuit Co.,Ltd.

Country or region after: China

Address before: No.18-h1105, Yinchun Road, science and technology R & D base, Maigaoqiao entrepreneurship Park, Qixia District, Nanjing, Jiangsu Province, 210000

Patentee before: Nanjing crystal drive integrated circuit Co.,Ltd.

Country or region before: China