CN111263918A - 用于预测测量方法的性能的方法和设备、测量方法和设备 - Google Patents

用于预测测量方法的性能的方法和设备、测量方法和设备 Download PDF

Info

Publication number
CN111263918A
CN111263918A CN201880036595.2A CN201880036595A CN111263918A CN 111263918 A CN111263918 A CN 111263918A CN 201880036595 A CN201880036595 A CN 201880036595A CN 111263918 A CN111263918 A CN 111263918A
Authority
CN
China
Prior art keywords
wavefront
radiation
array
radiation source
source arrangement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201880036595.2A
Other languages
English (en)
Other versions
CN111263918B (zh
Inventor
S·M·维特
G·S·M·詹森
L·C·弗瑞森
K·S·E·艾克玛
S·G·J·马西森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP17173786.9A external-priority patent/EP3410211A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of CN111263918A publication Critical patent/CN111263918A/zh
Application granted granted Critical
Publication of CN111263918B publication Critical patent/CN111263918B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J9/00Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • G01J1/4257Photometry, e.g. photographic exposure meter using electric radiation detectors applied to monitoring the characteristics of a beam, e.g. laser beam, headlamp beam
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • G03F7/706Aberration measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • G01J2001/4247Photometry, e.g. photographic exposure meter using electric radiation detectors for testing lamps or other light sources
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J9/00Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength
    • G01J2009/002Wavefront phase distribution

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analytical Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种量测设备(302)包括用于产生(310)EUV辐射的高阶谐波产生(HHG)辐射源。使用包括孔阵列(424、702)的波前传感器(420)和图像传感器(426)来监测HHG源的操作。光栅(706)将穿过各孔的辐射分散,使得图像检测器针对横过束的不同位置以及不同光谱分量获取高阶衍射的位置和强度。这样,该波前传感器能够被布置成测量在所述阵列中的每个位置处的多个谐波的波前倾斜度。在一个实施例中,所述孔被划分成两个子集(A)和(B),各个子集的光栅(706)具有不同的色散方向。光谱分辨的波前信息(430)被用于反馈控制(432),以使HGG源的操作稳定和/或改善量测结果的准确度。

Description

用于预测测量方法的性能的方法和设备、测量方法和设备
相关申请的交叉引用
本申请要求2017年5月31日递交的EP申请17173786.9和2017年10月3日递交的EP申请17194552.0的优先权,上述EP申请的全部内容以引用的方式并入本文中。
技术领域
本发明涉及能够用于例如通过光刻技术来制造器件的检查(例如量测)方法和设备,并且涉及使用光刻技术来制造器件的方法。
背景技术
光刻设备是将期望的图案施加到衬底上(通常施加到衬底的目标部分上)的机器。光刻设备能够用于例如制造集成电路(IC)。在这种情况下,图案化装置(其可替代地被称作掩模或掩模版)可以用于产生待形成于IC的单层上的电路图案。可以将该图案转印到衬底(例如硅晶片)上的目标部分(例如,包括管芯的一部分、一个或多个管芯)上。通常通过成像到设置在衬底上的辐射敏感材料(抗蚀剂)层上来进行图案的转印。通常,单一衬底将包括被连续地图案化的相邻目标部分的网络。这些目标部分通常被称作“场”。
在光刻过程中,需要频繁地对所产生的结构进行测量例如以用于过程控制及验证。用于进行这些测量的各种工具是已知的,包括经常用于测量临界尺寸(CD)的扫描电子显微镜以及用于测量重叠(器件中的两个层的对准准确度)的专用工具。最近,已经开发出在光刻领域中使用的各种形式的散射仪。这些装置将辐射束引导到目标上并测量散射辐射的一个或多个属性-例如随着波长变化的单一反射角下的强度;随着反射角变化的一种或多种波长下的强度;或者随着反射角变化的偏振-以获得能够确定目标的感兴趣的属性的衍射“光谱”。
同时,已知的检查技术使用在可见光或紫外波带中的辐射。这限制了可测量的最小特征,使得该技术不再能够直接测量在现代化光刻过程中制成的最小特征。为了允许测量更小结构,已提议使用具有更短波长的辐射,所述更短波长类似于例如EUV光刻术中使用的极紫外(EUV)波长。这些波长可以例如在1至100nm范围内,或者在1至125nm的范围内。该波长范围的一部分或全部也可以被称作软X射线(SXR)波长。有些作者可能使用SXR来指代更窄的波长范围,例如在1至10nm或者1至20nm的范围内。出于本发明的目的,将使用这些术语SXR和EUV,而不暗指任何硬性区别。使用更硬X射线(例如在0.1至1nm范围内)的量测术也被考虑在内。已公开的专利申请US2015331336A1中披露了在透射和/或反射散射模式中使用这些波长的透射和反射量测技术的示例。在已公开的专利申请US2016282282A1、US2017045823A1和WO2017025392A1中以及在国际专利申请PCT/EP2016/080058中披露了在透射和/或反射散射模式中使用这些波长的量测技术和设备的其他示例,该国际专利申请在本申请的优先权日之前尚未公开(现在已公开为US2017184981A1)。所有这些申请的内容以引用的方式并入本文中。
SXR辐射的适当的源包括高阶谐波产生(HHG)源,其中,来自激光的红外泵辐射通过与气态介质的相互作用而转换为较短的波长辐射。HHG源可以购自例如美国科罗拉多州博尔德市的KMLabs公司(http://www.kmlabs.com/)。也考虑到了HHG源的多种变型用于光刻检查设备的应用。例如,日期为2016年11月11日的欧洲专利申请16198346.5披露了这些变型中的一些变型,该欧洲专利申请在本申请的优先权日之前尚未公开。美国专利申请15/388,463和国际专利申请PCT/EP2016/080103中披露了其他变型,这两个专利申请都要求了日期为2015年12月23日的欧洲专利申请15202301.6的优先权,该欧洲专利申请在本申请的优先权日之前尚未公开(现在已公开为US2017184511A1)。日期为2016年9月14日的在本优先权日之前尚未公开的欧洲专利申请16188816.9描述了HHG辐射源中的波前校正,以使检查设备中测量光点的模糊量最小化。所有这些申请的内容以引用的方式并入本文中。
波前测量能够用于例如指示能够将光源聚焦成特定光点大小和形状。当受限且明确限定的光点中需要高SXR通量以用于例如重叠量测时,这是重要的信息。如果能够快速地测量这些参数,则该信息能够用于反馈回路中,以用于适应性地控制用于量测术的SXR束,或者用于改善量测术测量的结果。
因为大多数材料的高吸收率和制造聚焦光学器件的难度,所以对极紫外(EUV)和软X射线(SXR)光谱区中的波前的测量具有挑战性。EUV波带中常见的途径是使用Hartmann传感器(它是孔阵列)来测量局部相位梯度。Mercère等人的Opt.Lett.28,1534(2003)、Künzel等人的Appl.Opt.54,4745(2015)以及已公开的专利申请US2004196450A1中描述了多个示例。EUV中另一种经常使用的途径是被称为相移点衍射干涉量测术的干涉量测技术(Naulleau等人的Appl.Opt.38,7252(1999))。还提及了两种较新的非标准技术。第一种技术是基于通过扫描狭缝在整个束轮廓上测量的单一狭缝衍射(Frumker等人的Opt.Lett.34,3026(2009))。第二种技术在两个相同束之间使用干涉图案,并且通过侧向剪切算法来重构波前(Austin等人的Opt.Lett.36,1746(2011))。
在考虑到对于EUV/SXR量测术有前景的HHG源的情况下,HHG源在光谱上本质上是宽带并且易于遭受由于非线性产生过程而产生的束参数的变化。虽然存在快速光谱测量,但是快速2-D波前测量仅可以在无光谱分辨(即,在源的全部光谱范围内整合)的情况下进行。最近的测量显示了:对于不同谐波,波前可能有相当大的变化。因此,希望在短于典型测量的时间尺度上测量光谱分辨的2-D波前,并且潜在地对HHG源执行反馈以使其特性稳定。
对于光谱分辨的波前测量,现有技术的描述中提及的各种技术必须与额外的光谱仪组合。这导致对仅在一个维度中测量波前的约束,这是因为EUV光谱仪需要摄像机的一个空间维度来记录光谱。为了测量具有光谱分辨及2-D的波前,将需要扫描过程,而扫描过程将是缓慢且繁琐的。
已经尝试了在孔中使用彩色滤光器来分辨波前传感器中的光谱分量(参见例如US2016109290A1和RU2036491C1)。遗憾地,这些技术降低了与色彩数目成比例的传感器的空间分辨率;并且对于EUV/SXR波长而言,彩色滤光器不易于使用。
发明内容
本发明旨在改善对辐射源布置(例如EUV辐射源或SXR辐射源,包括例如HHG辐射源布置)中的操作条件的监测和/或控制。
在另一方面中,本发明旨在提供一种具有2-D空间分辨率及光谱分辨的波前传感器。该波前传感器可以适用于EUV波长及SXR波长或任何波带。
在第一方面中,本发明提供一种能够操作以产生辐射束的辐射源布置,所述辐射源布置还包括:
波前传感器,其用于至少间歇地测量在横过所产生的辐射束的位置的阵列处的波前倾斜度;以及
处理器,其用于至少部分地基于所测量的波前倾斜度来确定所述辐射源布置的操作条件,
其中,所述波前传感器设置有在所述阵列中的每个部位处的色散元件,并且被布置成利用光谱分辨测量在所述阵列中的每个位置处的波前倾斜度。
本发明进一步提供一种检查设备,所述检查设备包括:用于将检查辐射传送到目标结构的照射系统、以及用于与所述目标结构相互作用之后检测所述检查辐射的检测系统,并且其中,所述照射系统包括如上文所阐述的根据本发明的第一方面所述的辐射源布置。
本发明进一步提供一种监测辐射源布置中的操作条件的方法,所述方法包括:至少间歇地测量横过由所述辐射源布置产生的辐射束的位置的阵列处的波前倾斜度、以及至少部分地基于所测量的波前倾斜度来确定所述辐射源布置的操作条件,其中,利用光谱分辨测量所述阵列中的每个位置处的波前倾斜度。
这样,能够获得未在已知的波前传感器中获得的光谱分辨与空间分辨率的组合。测量波前倾斜度与光谱分辨可能涉及例如测量多个光谱分量中的各个光谱分量的波前倾斜度。在实施例中,所设想的是,可以在所述阵列中的每个部位处测量相同的多个光谱分量以获得经完全光谱分辨及空间分辨的波前信息。然而,可以基于本发明的原理设想其他实施方案。本发明不排除下述实施方案:其中,在所述位置的阵列中的不同部位处测量不同的多个光谱分量的波前倾斜度。本发明不排除提供多个部位所组成的其他阵列(例如,穿插有本发明的位置的阵列)的实施方案,其中,在没有任何光谱分辨的情况下以常规方式检测波前倾斜度。
本发明进一步提供一种控制辐射源布置的方法,所述方法还包括:至少部分地响应于由处理器基于一个或多个光谱分量的波前倾斜度而确定的操作条件,自动地调整所述方法的至少一个操作参数。
本发明进一步提供一种检查已经通过光刻过程而形成于衬底上的结构的方法,所述方法包括:
利用通过高阶谐波产生器而产生的检查辐射来照射目标结构,所述高阶谐波产生器受如上文所阐述的根据本发明的方法控制;以及
与所述目标结构相互作用之后检测所述检查辐射的一部分。
所述检查方法还可以包括至少部分地基于所述检查辐射的被检测到的部分来确定所述目标结构的属性。
所述检查方法还可以包括至少部分地基于所述目标结构的已确定的属性来确定所述光刻过程的至少一个性能参数。
本发明进一步提供一种制造器件的方法,所述方法包括光刻过程步骤,其中,在执行所述光刻过程步骤之前或之后,通过如上文所阐述的根据本发明中的检查方法来确定衬底上的一个或多个目标结构的属性,并且其中,所确定的属性用于调整所述光刻过程步骤的参数以用于处理所述衬底和/或其他衬底。
本发明进一步提供一种计算机程序产品,所述计算机程序产品包括用于使得处理器实施如上文所阐述的根据本发明的辐射源布置的处理器和/或控制器的机器可读指令。所述机器可读指令可以设置在非暂时性存储介质中。
在另一个独立方面中,本发明提供一种用于测量横过辐射束的位置的阵列处的波前倾斜度的波前传感器,其中,所述波前传感器设置有在所述阵列中的每个位置处的色散元件,并且被布置成利用光谱分辨测量所述阵列中的每个位置处的波前倾斜度。
这种波前传感器可以用于监测如上文所阐述的辐射源布置的状况,或者它能够用于任何期望的目的。所述波前传感器可以适用于EUV辐射或者用于任何其他波带中的辐射。
在本发明的任何方面中,可以由一个或多个间距向量定义所述位置的阵列,使得各个色散元件的色散方向与所述间距向量中的任何一个间距向量不平行。这样允许在来自阵列中的不同位置的光谱分量之间无干涉的情况下实现期望的空间分辨率。
所述位置的阵列可以划分成两个或更多个子集,每个子集中的色散元件具有不同的色散方向。这样允许进一步提高空间分辨率和/或进一步使所述阵列中的不同位置的光谱分量分离。
下文参考附图来详细地描述其他特征和优点以及各种实施例的结构和操作。应当注意的是,本发明不限于本文中描述的特定实施例。本文仅出于说明性目的来呈现这些实施例。基于本文所包括的教导,其他实施例对本领域技术人员而言将是显而易见的。
附图说明
现在将参考附图并仅以示例的方式来描述实施例,在附图中:
图1描绘了光刻设备以及形成用于半导体器件的生产设施并且包括量测设备的其他设备,该量测设备包括根据本发明的实施例的检查设备;
图2示意性地示出了检查设备的第一实施例中部件的布置,该布置包括可供实施本发明的辐射源布置和波前传感器;
图3示出了示例性辐射源布置中第一辐射与HHG介质之间的相互作用的区域;
图4说明了可能在HHG辐射源布置中出现的操作条件中的一些示例性偏差;
图5说明了已知的不具有光谱分辨的Hartmann型波前传感器的操作原理;
图6说明了已知的不具有光谱分辨的Shack Hartmann型波前传感器的操作原理;
图7是用于根据本发明的实施例的经修改的Hartmann波前传感器中的具有色散元件的孔阵列的显微图;
图8示出了图7的阵列内的两个子集(A)和(B)中具有色散元件的孔的放大细节;
图9示出了使用图7和图8所示的孔阵列在经修改的Hartmann波前传感器中获取的衍射图像;
图10示出了图9的衍射图像的一部分的放大细节,其示出了对阵列中的一个位置处的多个光谱分量的波前倾斜度的计算过程;
图11示出了根据图9所示的衍射图像重构的九个光谱分量的波前;
图12说明了:(a)针对具有零波前倾斜度的单一波长波前传感器的几何布置,(b)针对具有非零波前倾斜度的单一波长波前传感器的几何布置,以及(c)针对具有非零波前倾斜度的单一波长和传感器内组件的对准不良的波前传感器的几何布置;
图13说明了针对示例性HHG辐射源对波前倾斜度的测量结果;
图14说明了能够用于针对具有连续光谱的辐射对波前测量的3个二维孔光栅(a)、(b)、(c);
图15说明了针对具有连续光谱的辐射测量波前的原理;
图16说明了用于基于图14和图15的原理确定波前倾斜度的示例性程序;
图17示意性地说明了根据本发明的示例性实施例的图2的检查设备中的传感器信号流及反馈控制信号流;并且
图18是说明了使用通过图1的检查设备进行的测量来控制量测方法和/或光刻制造过程的性能的方法的流程图。
具体实施方式
在详细地描述本发明的实施例之前,有指导性的是呈现可供实施本发明的实施例的示例性环境。
图1在100处示出了作为实施大容量光刻制造过程的工业设施的一部分的光刻设备LA。在本示例中,该制造过程适用于制造位于衬底(诸如半导体晶片)上的半导体产品(集成电路)。本领域技术人员应当明白,可以通过以该过程的变型来处理不同类型的衬底以制造多种产品。半导体产品的生产纯粹用作现今具有巨大商业意义的示例。
在光刻设备(或者简称为“光刻工具”100)内,在102处示出了测量站MEA并在104处示出了曝光站EXP。在106处示出了控制单元LACU。在本示例中,各个衬底造访测量站及曝光站以被施加图案。例如,在光学光刻设备中,投影系统用于使用经调节的辐射及投影系统而将产品图案从图案化装置MA转移到衬底上。该过程是通过在辐射敏感抗蚀剂材料层中形成图案的图像来完成的。
本文中使用的术语“投影系统”应当被广泛地解释为涵盖适于所使用的曝光辐射或者适于诸如浸没液体的使用或真空的使用等其他因素的任何类型的投影系统,包括折射型、反射型、反射折射型、磁性型、电磁型和静电型光学系统,或者它们的任意组合。图案化MA器件可以是掩模或掩模版,它将图案赋予由图案化装置透射或反射的辐射束。公知的操作模式包括步进模式和扫描模式。众所周知,投影系统可以以多种方式与用于衬底和图案化装置的支撑件及定位系统协作,以将期望的图案施加到横过衬底的许多目标部分上。可以使用可编程图案化装置而不是具有固定图案的掩模版。辐射例如可以包括位于深紫外(DUV)波带或极紫外(EUV)波带中的电磁辐射。本发明也适用于其他类型的光刻过程,例如压印光刻术和例如利用电子束的直写光刻术。
光刻设备控制单元LACU控制各种致动器和传感器的所有移动和测量,从而使得设备收纳衬底W和掩模版MA并且实施图案化操作。LACU还包括用于实施与设备的操作相关的期望的计算的信号处理及数据处理能力。实际上,控制单元LACU将被实现为许多子单元所组成的系统,这些子单元各自处置设备内的子系统或部件的实时数据获取、处理和控制。
在曝光站EXP处将图案施加到衬底之前,在测量站MEA处处理衬底,从而能够进行各种预备步骤。这些预备步骤可以包括:使用位阶传感器来映射衬底的表面高度,以及使用对准传感器来测量衬底上的对准标记的位置。对准标记名义上以规则栅格图案排布。然而,由于产生标记的不准确度并且由于衬底的贯穿其处理过程而发生的变形,标记偏离理想的栅格。因此,在设备应当以非常高的准确度在正确位置处印刷产品特征的情况下,除了测量衬底的位置和取向之外,对准传感器实际上必须详细地测量横过衬底区域的许多标记的位置。
光刻设备LA可以是具有两个衬底台的所谓的双平台类型,每个衬底台具有通过控制单元LACU控制的定位系统。当在曝光站EXP处曝光一个衬底台上的一个衬底时,可以在测量站MEA处将另一个衬底装载至另一个衬底台上,从而可以进行多个预备步骤。因此,对准标记的测量非常耗时,并且提供两个衬底台会实现设备的生产量的相当大的增加。如果位置传感器IF在衬底台处于测量站以及处于曝光站时不能够测量衬底台的位置,则可以提供第二位置传感器以便得能够在两个站处追踪衬底台的位置。当光刻设备LA是具有两个衬底台的所谓的双平台类型时,曝光站和测量站可以是不同的位置,可以在它们之间交换衬底台。然而,这只是一种可能的布置,并且测量站和曝光站不必是不同的。例如,已知具有单一衬底台,在曝光前测量阶段期间测量平台暂时联接到该单一衬底台。本发明不限于任一类型的系统。
在生产设施内,设备100形成“光刻元”或“光刻簇”的一部分,该“光刻元”或“光刻簇”还包括涂覆设备108以用于将感光抗蚀剂和其他涂层施加到衬底W上以供设备100进行图案化。在设备100的输出侧处,提供焙烤设备110和显影设备112以用于将经曝光的图案显影成实体抗蚀剂图案。在所有这些设备之间,衬底处置系统负责支撑衬底并且将衬底从一台设备转移到下一台设备。通常统称为轨道(track)的这些设备处于轨道控制单元的控制下,该轨道控制单元自身受监控系统SCS控制,该监控系统SCS也经由光刻设备控制单元LACU来控制光刻设备。因此,不同的设备可以被操作以使生产量和处理效率最大化。监控系统SCS接收选配信息R,该选配信息R非常详细地提供待执行以建立每个经图案化的衬底的步骤的定义。
一旦已经在光刻元中施加及显影图案,就将经图案化的衬底120转移到诸如在122、124、126处说明的其他处理设备。通过典型制造设施中的各种设备实施较宽范围的处理步骤。出于示例的目的,在本实施例中,设备122是蚀刻站,并且设备124执行蚀刻后退火步骤。在另外的设备126等中实施另外的物理和/或化学处理步骤。可能需要许多类型的操作来制作实际器件,诸如材料的沉积、表面材料特性的改性(氧化、掺杂、离子注入等)、化学机械抛光(CMP)等。实际上,设备126可以表示在一个或多个设备中执行中的一系列不同的处理步骤。
众所周知,半导体器件的制造涉及这种处理的许多重复,以在衬底上逐层地构建具有适当材料和图案的器件结构。因此,到达光刻簇的衬底130可以是新近制备的衬底,或者它可以是此前已经在该簇中或完全地在另一设备中处理过的衬底。类似地,依赖于所需的处理,衬底132在离开设备126时可以返回以用于同一光刻簇中的后续图案化操作,它们可以被预定以用于不同的簇中的图案化操作,或者它们可以是待发送以用于切块和封装的成品。
产品结构的各层需要不同处理步骤的集合,并且在各层处使用的设备126可在类型方面完全不同。此外,即使在待由设备126应用的处理步骤名义上相同的情况下,在大型设施中也可能存在并行地工作以对不同衬底执行步骤126的多种假定为相同的机器。这些机器之间的微小设定差异或故障可能意味着它们以不同的方式影响不同的衬底。甚至对于各层而言相对共同的步骤(诸如蚀刻(设备122))仍然可以由名义上相同但并行地工作以使生产量最大化的多种蚀刻设备来实施。此外,实际上,不同的层根据待蚀刻的材料的细节和特殊的要求(诸如各向异性蚀刻)而需要不同的蚀刻过程(例如化学蚀刻、等离子体蚀刻)。
可以在其他光刻设备中执行前期和/或后续过程(如刚才所提及的),并且可以甚至在不同类型的光刻设备中执行前期和/或后续过程。例如,器件制造过程中的在诸如分辨率及重叠等参数方面要求非常高的一些层相较于要求较低的其他层可以在更先进的光刻工具中予以执行。因此,一些层可以在浸没型光刻工具中曝光,而其他层在“干式”工具中曝光。一些层可以在DUV波长下工作的工具中曝光,而其他层使用EUV波长辐射来曝光。
为了正确且一致地曝光由光刻设备曝光的衬底,需要检查经曝光的衬底以测量诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)等属性。因此,定位有光刻元LC的制造设施还包括量测系统MET,该量测系统MET收纳已经在光刻元中处理过的衬底W中的一些或全部衬底。将量测结果直接地或间接地提供到监控系统(SCS)138。如果检测到误差,则可以对后续衬底的曝光进行调整,尤其是在可以足够迅速地且快速地完成量测以使得同一批的其他衬底仍然处于待曝光的情况下。另外,已经曝光的衬底可能被剥离及返工以改善良率或者被舍弃,由此避免对已知有缺陷的衬底执行进一步处理。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行进一步曝光。
图1还示出了量测设备140,该量测设备被设置成用于在制造过程中对在期望的平台处的产品进行参数测量。现代化光刻生产设施中的量测设备的常见示例是散射仪(例如,角度分辨散射仪或光谱散射仪),并且它可以应用于在设备122中蚀刻之前测量在120处经显影的衬底的属性。在使用量测设备140的情况下,可以确定诸如重叠或临界尺寸(CD)等重要性能参数不满足经显影的抗蚀剂中的指定准确度要求。在蚀刻步骤之前,存在通过光刻簇来剥离经显影的抗蚀剂并重新处理衬底120的机会。如众所周知的,通过监控系统SCS和/或控制单元LACU106随着时间推移而进行少量调整,可以使用来自设备140的量测结果142在光刻簇中维持图案化操作的准确性能,由此使得制出不合格产品并要求返工的风险最小化。当然,量测设备140和/或其他量测设备(未示出)能够应用于测量经处理的衬底132、134和传入衬底130的属性。
量测设备140可以视情况实施混合量测系统。现代化光刻生产设施中的量测设备的常见示例是散射仪(例如,角度分辨散射仪或光谱散射仪),并且它可以应用于在设备122中蚀刻之前测量在120处的经显影的衬底的属性。
各代光刻制造技术(通常被称为技术“节点”)具有对诸如CD的性能参数的较严格的规范。量测术中的主要挑战中的一个挑战是产品内的特征的尺寸变得越来越小,并且这种较小特征尺寸也应当反映在量测目标的设计中。因此,量测设备140可以包括被设计成用波长短于常规可见光或UV波长的辐射来操作的检查设备。作为特定示例,可以使用具有在1至10nm或1至20nm范围内的波长的软X射线(SXR)辐射,或者可以使用具有在1至100nm范围内的波长的更一般的极紫外EUV辐射。
并非出于所有目的依赖于单个检查设备,实际上可以使用多种检查设备。混合量测系统可以包括在不同波长下工作的散射仪和其他类型的检查设备,从而可以在混合量测系统内执行多种类型的测量以获得对给定目标结构的感兴趣的一个或多个参数的较好的总体测量结果。
混合量测系统内的每个检查设备可以具有用于具有特定特性的辐射的特定照射系统。上文所提及的在审的国际专利申请PCT/EP2016/080058给定可以被组合的类型的设备的更详细的示例。出于本发明的目的,假定量测设备140是使用短于100nm的波带中的软X射线(SXR或EUV)辐射的检查设备。虽然该SXR检查设备可以作为检查设备中的一个而应用在混合量测系统中,但是也可以视需要而单独地应用。
图2纯粹以示例的方式说明了包括使用掠入射中的EUV/SXR辐射的光谱散射仪的检查设备302的示意性实体布置。检查设备的可替代形式可以以角度分辨散射仪的形式提供,该角度分辨散射仪与在较长波长下操作的常规散射仪类似地使用正入射或接近正入射中的辐射。检查设备302包括辐射源310、照射系统312、衬底支撑件316、检测系统318和量测处理单元(MPU)320。在本示例中,源310包括基于高阶谐波产生(HHG)技术的EUV或软X射线辐射的产生器。这些源可以购自例如美国科罗拉多州博尔德市的KMLabs公司(http://www.kmlabs.com/)。辐射源的主要部件是驱动激光器330和HHG气胞332。气体供应件334将合适气体供应到气胞,在该气胞中,该合适气体可选地由电源336离子化。驱动激光器可以是例如具有光学放大器的以光纤为基础的激光器,从而产生每脉冲可持续例如小于1ns(1纳秒)的红外辐射的脉冲,其中,脉冲重复率视需要而达到几兆赫兹。红外辐射的波长可以是例如大约1μm(1微米)。激光脉冲作为第一辐射束340被传送到HHG气胞332,其中,在气体中,辐射的一部分转换为比第一辐射高的频率,从而成为包括具有期望的一种或多种波长的相干第二幅射的束342。
第二辐射可以包括多种波长。如果该辐射是单色的,则虽然可以简化测量计算(例如重构),但是利用HHG比较容易产生具有多种波长的辐射。气胞332内的气体体积限定HHG空间,尽管该空间无需被完全围封并且可以使用气流代替静态体积。例如,气体可以是情性气体,诸如氖气(Ne)或氩气(Ar)。N2、O2、He、Ar、Kr、Xe气体都可单独地或者以混合物形式被考虑在内。这些情形是设计选择的问题,并且甚至可以是在同一设备内可选择的选项。不同波长将例如在对不同材料的结构进行成像时提供不同等级的对比度。例如,为了检查金属结构或硅结构,可以将不同波长选择为用于成像(碳基)抗蚀剂的特征或用于检测这些不同材料的污染物的波长。可以设置一个或多个滤光装置344。例如,诸如铝(Al)薄膜的滤光器可以用于切断基本IR辐射以避免其进一步传递到检查设备中。可以设置光栅(未示出),以从气胞中产生的波长中选择一种或多种特定谐波波长。在真空环境内可以包括束路径中的一些或全部,应当记住的是,SXR辐射在空气中行进时会被吸收。辐射源310和照射光学器件312的各种部件可以调整,以在同一设备内实施不同量测“选配方案”。例如,可以使得不同波长和/或偏振成为可选择的。
依赖于被检查的结构的材料,不同波长可以提供到下部层中的期望的程度的穿透量。为了分辨最小器件特征以及最小器件特征中的缺陷,短波长可能是优选的。例如,可以选择在1至20nm或1至10nm的范围内的一种或多种波长。短于5nm的波长在从半导体制造中通常感兴趣的材料反射时遭受非常低的临界角。因此,选择大于5nm的波长将会在较高入射角下提供较强的信号。另一方面,如果检测任务是用于检测某一材料的存在,例如以检测污染物,则高达50nm的波长可能是有用的。
经滤光的束342从辐射源310进入检查室350,在检查室350中,包括感兴趣的结构的衬底W由衬底支撑件316保持以用于检查。感兴趣的结构被标注为T。检查室350内的气氛由真空泵352维持为接近真空,使得EUV辐射可以在没有不当衰减的情况下传递通过该气氛。照射系统312具有将辐射聚焦成聚焦束356的功能,并且可以包括例如二维弯曲镜面或者一系列一维弯曲反射镜,如上文所提及的国际申请PCT/EP2016/080058中所描述的那样。执行该聚焦以在投影到感兴趣的结构上时获得直径小于10μm的圆形或椭圆形光点S。衬底支撑件316包括例如X-Y平移平台和旋转平台,通过该X-Y平移平台和该旋转平台,能够使衬底W的任何部分在期望的取向上到达束的焦点。这样,在感兴趣的结构上形成辐射光点S。
反射辐射360由检测器318获取,并且光谱被提供到处理器320以用于计算目标结构T的属性。这样,照射系统312和检测系统318形成检查设备。该检查设备可以包括US2016282282A1中所描述的种类的SXR光谱反射计。还可以提供衬底在一个或多个维度上的倾斜。
为了辅助光点S与期望的产品结构的对准及聚焦,检查设备302还可以提供在量测处理器320的控制下使用辅助辐射的辅助光学器件。量测处理器320还可以与位置控制器372通信,该位置控制器372操作平移平台及旋转平台。量测处理器320经由传感器接收关于衬底的位置及取向的高度准确的反馈。传感器374可以包括例如干涉仪,该干涉仪可以给出皮米量级的准确度。在检查设备302的操作中,由检测系统318获取的光谱数据382被传送到量测处理单元320。
如所提及的,检查设备的可替代形式使用正入射或接近正入射的SXR辐射,例如以执行以衍射为基础的不对称性测量。两种类型的检查设备可以设置在混合量测系统中。待测量的性能参数可以包括重叠(OVL)、临界尺寸(CD)、相干衍射成像(CDI)和依分辨率重叠(ARO)量测。SXR辐射可以例如具有小于100nm的波长,例如使用在5至30nm范围内的辐射。该辐射在特性上可以是窄频带或宽频带。
如同用于现今生产设施中的光学散射仪,检查设备302能够用于测量在光刻元内处理的抗蚀剂材料内的结构(被称为显影后检查或ADI)和/或在结构已形成于较硬材料中之后测量该结构(被称为蚀刻后检查或AEI)。例如,在衬底已通过显影设备112、蚀刻设备122、退火设备124和/或其他设备126进行处理之后,可以使用量测设备302来检查衬底。
图3示出了高阶谐波产生(HHG)源的操作原理。在该源中,高功率飞秒脉冲式IR激光器(驱动激光器330)产生第一辐射束340。如图3中的实线示意性地指示的,束340聚焦在HHG产生空间中的点402处。喷嘴404发射包括将与第一辐射相互作用的Ne、Ar或其他原子的气体406的射流。围绕聚焦位置,由第一辐射引起的大的振荡电场使来自气体原子的电子移位。在重组时,产生与泵辐射同步但具有高阶谐波能量的高能光子。由此产生第二辐射的束342(虚线)。由于感兴趣的SXR/EUV光子在任何介质中具有非常短的穿透深度,所以气体射流位于恒定地泵吸至低压的真空室中。在另一类型的HHG辐射源布置中,气体连同泵辐射一起被限制在细长光导内。操作原理在任一情况下都是相同的。
基于HHG辐射源的检查设备应用于大容量制造设施中要求HHG辐射源布置的控制及稳定性程度超过由手动设置程序及现有的源的短运行时间提供的程度。可以预期的是,诸如泵辐射焦点相对于气体射流的位置、形状及大小的特性以及气体射流自身的几何形状、流动速率、压力及稳定性是产生期望的辐射的高功率、稳定输出的重要参数。
图4示意性地说明了可能使HHG辐射源布置的操作降级的操作条件中的一些偏差。在图4的(a)中,泵辐射聚焦在自气体射流移位的点402’处,导致气体射流内的电场的最大振幅的损失并因此导致HHG过程的可能的故障/降级。在(b)中,泵辐射不完美地聚焦,再次导致电场的最大振幅的损失。在(c)中,泵辐射聚焦在气体射流内的点402”处,但是从设计位置402移位。气体射流的形式在此时可以是不同的,导致HHG过程的可能的故障/降级,和/或导致第二辐射342相对于照射系统312不正确地定位。在(d)中,泵辐射聚焦在期望的位置处,但是气体射流的状况例如由于错误的压力或喷嘴的磨损而降级,再次导致HHG过程的可能的故障/降级。这四个示例仅是可能出现的偏差中的一些。目前,手动地调整上文所提及的大多数参数,同时评估所产生的EUV光的(取决于波长)强度。
本发明旨在实现提供工业上更加适用的源布置,该源布置具有自动反馈回路以稳定化并优化EUV输出。除了喷嘴磨损之外的磨损条件也可能出现,包括曝光于来自HHG过程的离子的光学部件的磨损。这些部件可以包括真空系统入口窗和泵辐射聚焦光学器件(如果其位于真空内部的话)。可能视为“磨损”条件的其他条件包括气体组合物和气体纯度的变化。例如,如果出于经济原因回收气体,则可能会使用随着时间推移而变化的气体的受控混合物,否则杂质可能会累积。
再次参考图2,本申请提出提供一个或多个传感器,该一个或多个传感器用于通过针对多个光谱分量感测辐射束356的波前而监测HHG辐射源布置和照射系统的操作条件。将描述多个实施例,各个实施例都纯粹地作为示例。在所说明的示例中,波前传感器420经由束转向器422接收经聚焦束356的至少一部分356’。换句话说,波前传感器在本示例中在照射系统312中的最后一个聚焦元件下游的点处接收第二辐射342的一部分。这样,波前传感器可以用于获得关于HHG产生空间中的操作条件并且还考虑到了照射系统312的属性的信息。在其他实施例中,波前传感器可以接收来自聚焦元件上游的辐射342,或者可以不存在聚焦元件。
束转向器422可以是分束器,它在使束的主体部分连续以在目标T上形成光点S时使束356的一部分转向到传感器。这样,与目标的测量同时进行,波前传感器能够连续地操作。在其他实施例中,束转向器422可以是可移动元件,其间歇地放置于束356的路径中,使得波前传感器仅在目标的测量动作之间操作,并且目标的测量动作在第二辐射342的损失及干扰最小的情况下进行。在第二辐射342具有SXR或EUV波带中的波长的示例中,应当理解,难以提供透射光学元件。然而,分束器可以实施为非常薄的多层器件或非常薄的金属膜。在可移动元件的示例中,可以设想多层反射器或者甚至金属表面。
波前传感器420包括:配置于辐射束356’的路径中的某一形式的孔阵列424,以及用于获取通过孔阵列产生的二维光点图案的图像传感器426。波前处理器428从图像传感器426接收表示光点图案的图像信号(模拟或数字数据),并且从光点图案的信息导出波前信息WF。可以产生表示辐射源布置及照射系统的操作条件的一个或多个信号430(模拟或数字数据)。
如将在下文中解释的,使用本发明中所披露的经修改的波前传感器来对波前信息WF进行光谱分辨及空间分辨。波前信息可供控制器432用于实施自动反馈控制回路,以用于控制辐射源布置310的操作。可替代地或另外,可以出于诊断目的来监测表示辐射源布置的操作条件的信号,例如以检测磨损条件的故障条件及迫切地中断操作,或者安排维护操作。可替代地或另外,波前信息能够用于量测处理器320中以影响对光谱数据382的处理,从而产生感兴趣的参数的更准确的测量结果。可以另外使用其他类型的传感器来提供关于操作条件的其他信息。
将在下文进一步描述辐射源310中能够受控制的操作参数的示例。作为示例,图2示出了用于在HHG胞元332上游的第一辐射340的路径中进行波前校正的补偿性光学器件440。日期为2016年9月14日的欧洲专利申请16188816.9(其在本申请的优先权日之前尚未公开)描述了下述波前校正:其用于校正检查设备中的照射系统312光学元件的“斜率误差”,并且因此使测量点的模糊量最小化。该欧洲专利申请描述了其中用于校正该斜率误差的校准是一次校准的实施例:一旦配置了补偿性光学器件,就不会预期需要进一步调整。在这样的实施例中,校准步骤可以在构造期间仅执行一次。在其他实施例中,校准步骤可能必须周期性地重复。为了允许对波前的实时、闭合回路控制,补偿性光学器件440可以是可编程空间光调制器(SLM)。毋庸置疑,束传送系统的真实的实施方案可以包括多个光学元件,而并非如简化图中所示出的那样包括单一透镜。应当注意的是,该图纯粹为了说明简单起见而提出了透射SLM器件。由于激光束中的功率,所以实际的实施方案可以使用反射型SLM(例如数字微镜器件(DMD)阵列)。
波前传感器背景技术
图5示出了常规Hartmann类型波前传感器(不具有光谱分辨)的操作原理。孔阵列502和图像传感器504(例如CCD或CMOS图像传感器)定位在辐射束的路径中。孔阵列502包括例如具有在由维度X及Y限定的平面中以规则阵列形式间隔开的孔506的金属板。在Z方向上名义上接近波前传感器的辐射穿过孔阵列并因此在图像传感器504上形成光点508的阵列,它也在X-Y平面中取向。如果辐射束具有理想的平波前,使得它仅包括完全同相且与Z轴完全对准的平行射线,则各光点将精确地形成于对应孔506后方。另一方面,实际束将具有某种形式的倾斜度和/或曲率,诸如附图中所示出的凸形波前510。在这种情况下,波前在孔阵列中的每个位置处以特定方式倾斜,并且对应的光点508将形成于图像传感器504上,其中,位置偏差依赖于所述倾斜度。即使在光点的绝对“零偏差”位置未知时,也可以观测及测量相对位置偏差。
在504a处示出了图像传感器504的放大部分,图中示出了一个光点508(空心圆)并且示出了同一光点的参考位置508r(实心圆)。图像传感器504包括光电检测器元件(像素)的阵列,其具有足够的空间分辨率(足够的像素密度),使得对于孔阵列中的各个孔506能够在两个维度上检测并量化实际光点508与参考位置508r的偏差512。波前处理器520接收来自图像传感器504的图像数据并输出波前信息522。波前处理器520将通常通过对合适的可编程处理器进行编程来实现,并且可以例如在数个阶段中操作。第一处理阶段524分析来自图像传感器504的图像以检测光点508的位置。第二阶段526比较光点508的位置与光点508的参考位置508r,以确定孔阵列中的每个位置处波前510的局部倾斜度。第三阶段528整合并内插计算局部倾斜度的阵列以获得整个波前模型。该波前模型可以作为波前信息中的一个示例性输出。波前模型可以例如使用Zernike或其他多项式表示来表示为局部倾斜度向量的阵列,和/或表示为参数化模型。
图6说明了已知的Shack-Hartmann波前传感器的一部分。这以与图5所示的Hartmann传感器完全相同的原理进行操作,并且类似部分具有类似的参考编号,仅以前缀“6”来代替前缀“5”。Shack-Hartmann传感器的主要差异是提供诸如小型透镜(小透镜或微透镜)的聚焦元件606的阵列602来代替简单孔606的阵列。主要益处是改善所获取光的量,并且因此增强图像传感器604上的光点608的强度。通过光点位置检测到的局部波前倾斜度变为横过聚焦元件的区域而非一个小孔处的倾斜度平均值。假定波前在阵列的尺度上相对平滑。
应当注意的是,当图5所示的孔阵列502是由如所说明的透射辐射的实际孔的阵列实施时,相同功能可以由局部反射辐射的反射光点的阵列来实现。虽然任何一种实施方案对于本发明都有效,但是各种实施方案都将带来其自身的实际益处和挑战。类似地,虽然聚焦元件606的阵列602可以通常由透射小透镜来实施,但是也可以由一个或多个成形的反射表面来实施。原则上,通过衍射的聚焦(使用菲涅尔波带片(Fresnel zone plate),反射或透射)是又一个选项。如果要针对EUV/SXR波长设计这种波前传感器,则反射选项可能更加实际,其中,折射元件并不易于使用。
关于常规波前传感器应注意的另一点是他们使用单色图像传感器504、604。因此,没有解决可能具有不同波前形状的不同光谱分量。添加光谱分辨之前尝试仅以缩减空间分辨率为代价来这样进行,而不与诸如EUV及SXR波长的所有波长兼容。
具有光谱分辨的波前传感器
如上文所提及的,根据本发明,提供经修改的波前传感器,其可以用于获得光谱分辨的波前信息。经修改的波前传感器可以例如作为波前传感器420来控制辐射源装置,和/或能够用于测量检查设备中的波前,例如使用SXR波长进行的量测。然而,经修改的波前传感器的原理不限于那些应用或那些波长,并且可以应用于其他应用及波带中。然而,经修改的波前传感器为那些应用带来特定的优点,并且将在那些内容中描述经修改的波前传感器的示例。
根据本发明的原理,经修改的波前传感器420适用于至少间歇地测量横过辐射束的位置的阵列处的波前倾斜度。经修改的波前传感器设置有在所述阵列中的每个位置处的色散元件,并且被布置成针对多个光谱分量中的各个光谱分量来测量所述阵列中的每个位置处的波前倾斜度。为此,修改孔阵列502/602,并且修改通过波前处理器520进行的处理,如现在将说明和描述的那样。
图7是用于根据本发明的实施例的经修改的Hartmann波前传感器中的具有色散元件的孔阵列的显微图。孔阵列702由具有孔706的掩模限定,并且各个孔706包括透射光栅结构。在本示例中,该结构由直径为20μm的孔的集合组成,所述孔被研磨成金属涂覆膜(其对EUV不透明)。孔的大小是设计选择的问题。
在本示例中,孔阵列是由孔位置的两个交错子集(其被标注为A及B)限定。将在下文进一步解释将位置的阵列划分成位置的多个子集的原因。子集的数量视情况可以是一个、两个或更多个。孔的各子集排列在分别由二维间距向量a1a2b1b2定义的二维栅格上。交错间距向量ab定义两个栅格之间的偏移。应当注意的是,各栅格的间距向量既不平行,也不正交。在不背离本发明的原理的情况下,其他布置是容许的。
图8的(a)更详细地示出了属于阵列位置的子集A的孔706(A)中的光栅结构,而图8的(b)示出了子集B中的孔706(B)。如图所示,各个光栅结构利用(透射)线和(不透明)空间的光栅填充其孔。各个光栅结构具有周期性方向,并且子集A与B之间的差异为子集A的周期性方向(由光栅向量Pa所指示)不同于子集B的周期性方向(由光栅向量Pb所指示)。光栅结构的间距使得合理数目的光栅线落入孔706的大小内。在附图所示的示例中,光栅结构的间距为0.5μm。虽然两个子集的间距相同,但是原则上可以不同。
图7中也示出了光栅向量PaPb。可以看出,各个光栅向量与孔阵列702中的位置的对应子集的间距向量成一倾斜角。熟悉本领域技术的读者将知道光栅结构用于使不同波长(不同光谱分量)的辐射分散于不同方向上。不同光谱分量的方向沿着色散方向间隔开。光栅向量也限定色散方向。图7中还标记出了间距Sa及Sb。这些内容示出了在横向于由位置阵列的子集中的光栅向量定义的色散方向的方向上测量的所述子集中的位置的最小分离度。
光栅结构是色散元件的示例。原则上,也可以设想诸如棱镜的折射色散元件。孔阵列和色散元件可以设置为反射元件,而不是如图所示的透射元件。在实施例中,基于Shack-Hartmann传感器,色散元件将足够大以覆盖聚焦元件的区域。色散元件可以视情况形成为聚焦元件的一部分或者作为分离的部件而应用。色散元件可以视情况配置于不同子集中。本发明不排除在没有色散元件的情况下或者在出于不同目的设置了色散元件的情况下提供另一子集的位置的可能性。此外,前文的介绍和权利要求的语言不应当被解释为排除提供缺乏色散元件或出于不同目的具有色散元件的其他孔位置。此外,前文的介绍和权利要求的语言不需要在各子集位置内测量相同的多个光谱分量。简而言之,前文的介绍和权利要求的语言不排除在阵列位置中的不同位置处测量不同的多个光谱分量的波前倾斜度的实施方案。前文的介绍和权利要求的语言不排除在没有光谱分辨的情况下提供另一阵列位置(其中以常规方式检测波前倾斜度)的实施方案。
图9示出了在辐射束中使用孔阵列702通过经修改的波前传感器中的图像传感器而从HHG源(诸如图2所示的辐射源310)获取的光点图像。图10示出了该图像的放大部分。图9和图10的图像由使用800nm波长的激光辐射的单次1秒曝光产生,该曝光涵盖氩气中所产生的HHG辐射束的300个脉冲。所得EUV光谱包括主要在25至45nm范围内的光谱分量。在一些改善的情况下,甚至单一脉冲检测是可行的,在毫秒获取时间内提供全2-D波前及位置分辨光谱。这种快速测量能够用于反馈方案中,以在量测术测量中稳定HHG聚焦点参数。可替代地,这些参数的并行测量可以用于通过后处理改善晶片量测术测量。
HHG源产生具有数个光谱分量的辐射,主要是泵辐射340的高阶谐波。虽然所捕获的图像显示标注为(0)的中心区域中的常规Hartmann掩模光点图案,但是另外显示各个孔的+1及-1阶衍射的各自的光点图案。根据孔的子集A,在标注为(A,-1)及(A,+1)的区域中找到衍射阶。这些光点图案由光点阵列组成,每个光点对应于散布于由光栅向量Pa定义的色散方向上的辐射中的一个谐波波长(光谱分量)。类似地,根据孔的子集B,在标注为(B,-1)及(B,+1)的区域中找到衍射阶。对应于不同光谱分量的光点散布于由光栅向量Pb定义的色散方向上。
将看到间距Sa如何确保子集A中的不同孔的光谱分量不会发生冲突并且在图像中空间上分离。类似地,间距Sb确保子集B中的不同孔的光谱分量不会发生冲突。孔被划分成具有不同色散方向的子集提供了在单一色散方向上可能的更大空间分离,由此允许孔阵列整体上具有更大的空间分辨率。可以添加额外子集来扩展该原理。例如,可以容易地添加第三子集以利用图9中被标注为(C,-1)及(C,+1)的区域中的“空的”空间。
应当注意的是,已经选择光栅结构的间距,使得对于通常产生于特定HHG辐射源布置中的高阶谐波的子集仅在图像传感器上获取一阶衍射。可以视情况通过适当的设计获取更高阶。依赖于辐射的光谱含量,一阶光点及二阶光点的分布可能重叠。在本示例中,图像传感器可以在孔阵列后方仅几毫米处,从而产生波前传感器的非常紧凑的设计。孔内部的光栅结构可以设计成例如使用接近于边缘的非周期性光栅或者仅在孔外部的额外结构以抑制来自孔的衍射。
现在,中心光点图案(A+B,0)将孔阵列中的对应位置处的辐射的所有光谱分量组合到各个光点中。这里无法获得每个光谱分量的波前倾斜度。然而,在高阶衍射分散成分离光点的外部区域中,可以找到这些分离光点并单独地测量它们的位置。简单调适可以应用于上文在图5中所提及的波前传感器的处理阶段524中。事实上,可以考虑多种方法以进行该调适,将描述一个示例,但不排除其他方法。
图10示出了图9的衍射图像的一部分的放大细节,其示出了对阵列中的一个位置处的多个光谱分量的波前倾斜度的计算过程。所捕获的衍射图像中的一系列光点对应于一系列预期波长λ1、λ2等。例如,在图10中,针对一个孔突出显示波长λ5及λ6。衍射致使波长λ5的+1阶光点相对于该波长的零阶位置移位一量q5+,而波长λ5的-1阶光点相对于零阶位置移位一量q5-。因为零阶光点都重叠并且在单色捕获图像中不可区分,所以无法直接地测量它们的位置,但是可以根据高阶(例如一阶)光点的位置来推断其位置。这是经修改的步骤处理阶段324的功能。
在示例中,例如,通过将2-D高斯轮廓拟合于预期在波长λ5的两个+1阶光点及-1阶光点各自附近的图像来识别这两个光点,并且测量它们的位置。然后,计算这两个位置的重心,并且将其用作波长λ5的总体位置。该总体位置与参考位置的偏差可以用于测量该孔位置处波长λ5的波前倾斜度。类似地,通过辨识和测量不同波长λ1至λ5、λ6等的光点的位置,能够获得各光谱分量(波长)的波前倾斜度的单独的测量结果,以及孔阵列中的各位置的波前倾斜度的单独的测量结果。在一个实施方案中,计算各高阶光点的参考位置并且将该参考位置与观测到的位置进行比较以获得各阶的偏差。然后,合并+阶及-阶的偏差(例如求平均)以获得表示所述光谱分量的波前倾斜度的偏差。可替代地,如上文所提及的,可以计算重心(平均)位置,然后将该位置与重心的参考位置进行比较。
图像传感器上的衍射角与位置之间的关系不必是对称的。假设几何布置是已知的,可以应用适当的变换来计算衍射阶的预期位置及偏差。如将在下文中参考图12和图13进一步解释的,对每光谱分量的波前倾斜度的计算不必是简单的重心计算。可以使用增强型计算,增强型计算考虑到倾斜角增大时衍射图案的失真,和/或由波前传感器内的组件的对准不良造成的失真。另外,虽然上述方法适合具有已知光谱分量(诸如谐波)的非连续光谱,但是可以应用进一步增强以测量连续或准连续光谱测量波前倾斜度。将在下文中参考图14和图15描述这些增强。
测量相反阶的平均值或重心不是必要的。在其他实施方案中,仅针对各光谱分量测量一个高阶光点,例如+1阶光点或-1阶光点。借助计算和/或前期校准来限定所述光点的参考位置。直接使用所述一个光点的偏差来确定波前倾斜度。光点的位置可以是该光点的所述位置。虽然使用相反阶及计算重心可以降低测量时噪音的影响,但是通过以统计方式对两个测量结果求平均,仅使用一个阶也是可能的。例如“闪耀”光栅是公知的多种衍射光栅,其抑制一个衍射阶并且将衍射辐射集中到仅另一阶,比如+或-。通过使用闪耀光栅来抑制一个衍射阶,人们可以布置孔阵列中的孔的较秘籍的封装,并由此提高空间分辨率。这些设计选择属于本发明的原理范围内。例如,如果通过使用闪耀光栅使衍射光谱为单侧的,则孔的不同子集可能具有彼此相反的色散方向,使得图9中被标注为“A,+1”及“A,-1”的区域实际上将对应于孔的不同子集。
本领域技术人员可以决定哪一个实施方案适合于他们的特定情形。量测工具及软件可以被设计成允许在测量时选择特定的实施方案以作为量测选配方案的一部分。如果存储了原始衍射图像,则可以对相同数据执行不同的实施方案。
图11示出了在实际实验中使用图7和图8的孔阵列及处理诸如图9和图10所示的所捕获的衍射图像而获得的结果。图11中的各波前图像(a)至(i)表示自一个光谱分量的波前倾斜度重构的波前。在附图标签上方写明了波长,该波长范围为具有49.2nm作为最长波长的光谱分量(a)与具有25.4nm作为最短波长的光谱分量(i)。光滑的波前模型拟合于针对各孔位置所测量的各个倾斜度。将结果说明为条纹图案,仅用于辅助可视化。光从亮到暗的每次转变又表示波前的2π相移,该相移表示波前的推进或滞后等于波长。如将预期的,随着波长变得越短,条纹变得越多。
虽然单色条纹图案未显示波前为凸形还是凹形,但是条纹图案隐含的数据显示其在各光谱分量上为凸形。初始分析也指示波前曲率存在波长相关的变化,其将对聚焦点的形状及大小产生相当大的影响。因此,实时束分析似乎是软X射线晶片量测的重要方面。应当注意的是,可以以这样的方式选择孔的空间维度及光栅间距:对于给定光谱及中心波长,优化测量结果,并且上述示例仅用于说明该方法。有用的设计概念是,布置光栅衍射在远场条件下进行,同时来自孔的衍射保持为有限的。如所提及的,简单孔可以视情况由聚焦元件替换。
图11之(j)示出了图7的孔阵列如何映射至图11的(a)至(i)的重构波前图像的轮廓。条纹区域的各顶点表示一个孔位置。相对于图7中的显微图,孔阵列略微翻转及旋转。根据对波前的计算省略左上方(图7至图9中的右下方)的外围位置,这是因为未在图9的衍射图像中获取足够的衍射阶。
这样,示出了对于各谐波波长,在使用两个衍射阶的质心或其他方法的情况下,人们可以如何获得对两个维度中的局部波前倾斜度的测量结果。该数据足以提取各谐波的2-D波前,也可以从中获取聚焦大小和形状以及束指向信息。可以根据各光谱分量中的波前信息进行量化的束的另一特性是空间相干性,空间相干性与束剖面相关。包括单一横向模式的束通常被称为“完全空间相干”(或“衍射受限”),而包括多种空间模式的束往往会被称为“部分相干”,这是因为并非束剖面的所有部分都会在重叠时进行相长干涉。
基于上述原理修改的波前传感器也可以用于测量光谱分量的其他参数。在了解色散特性(光栅间距)及孔阵列到图像传感器的距离的情况下,各光栅也充当透射光栅光谱仪,提供与横过辐射束的位置相关的光谱。
另外,使2-D高斯滤光器适合于所捕获的衍射图像中的光点可以揭示光点并非圆形,而是在色散方向上扩展成椭圆形。该扩展可以指示该特定光谱分量的带宽以及可以在辐射源布置中起作用的光谱加宽现象。
类似地,虽然两个相反衍射阶的重心移位指示波前倾斜度,但是这两个衍射阶之间的间距增大或减小(例如,图10中距离q5-及q5+延长或缩短)可以用作光谱分量的频移的测量结果。如果仅进行单侧测量,则是波前倾斜度还是光谱移位是光点位置偏差的原因可能是不明确的。特定的实施方案的设计者可以决定对于预期应用,该不明确性是否重要。
波前传感器420也可以被布置成计算具有不同光谱分量的辐射中的偏振的测量结果。与常规传感器相比,对横过辐射束的多个位置处的多个光谱分量进行的所有这些不同测量提供了增加的诊断及控制机会。
图12说明了(a)针对具有零波前倾斜度的单一波长的波前传感器的几何布置。图12的(b)说明了针对存在非零波前倾斜度的单一波长的波前传感器的几何布置。图12的(c)说明了针对存在波前倾斜度和单一波长的波前传感器内的组件的对准不良(其可能在实际中出现)的波前传感器的几何布置。如上文所提及的,使用考虑了波前倾斜度及传感器内的组件的对准不良的增强型计算,可以提高对不同光谱分量及位置的波前倾斜度的计算准确度。
在图12的(a)中,孔阵列424中的一个孔以横截面形式显示,孔与图像传感器426间隔开距离z。为了简单起见,所显示的横截面是沿着X轴看到的,而该特定孔的色散方向平行于Y轴。感兴趣的辐射的光谱分量的入射辐射1202接触孔中的光栅并分裂成零阶束1204以及一阶束1206+和1206-。衍射射线横过孔阵列与图像传感器之间的间隙,并且撞击于图像传感器上,成为待检测的形成图9和图10所示的类型的图案的辐射的光点。检测图像传感器上的位置h-1、h0及h+1处的辐射的光点,如图所示。
在图12的(a)中,孔阵列424与图像传感器426彼此完全平行,并且入射辐射1202与Z轴完全对准,对应于入射角θi=0,即,倾斜度为零。所测量的位置h-1、h0及h+1分别对应于位置y-1、y0及y+1。可以根据一阶光点的重心计算零阶束的位置y0,如上文所描述的,即y0=(y+1+y-1)/2。(如上文所解释的,无法直接地测量单一光谱分量的零阶束的位置h0或y0,这是因为其正与所有光谱分量的零阶束重叠。)
现在,如图12的(b)中所示,具有非零入射角θi的非零倾斜度的存在导致衍射图案在到达图像传感器的平面时不对称地失真。在数学方面,如果入射束与波前传感器之间存在非零角度,则衍射阶的衍射角根据下述光栅等式而改变:
Figure BDA0002298851650000271
其中,m是衍射阶,并且d是孔中的光栅的间距。然后,衍射光点在图像传感器上的位置由ym=z tan(θm)给出。对于非零倾斜度θi,结果是衍射阶的质心(y+1+y-1)/2从0阶位置y0移位。换句话说,由表达式(y+1+y-1)/2给出的光点的重心不再准确地表示零阶光点的位置y0。使用作为波前倾斜度的测量结果的光点的重心给出了波前倾斜度的不准确的测量结果,由具有不准确入射角θi′的虚线1202’以及1204’表示。对于较小的角度,不准确度将较小,并且至少一般倾斜方向及相对倾斜度幅值仍然被正确地表示。因此,简单重心计算对于监测及控制目的而言可能是足够的。然而,对于较高的准确度,可以执行计算以便校正衍射图案的失真,由此以计算各光谱分量的正确位置y0和/或倾斜角θi
为了准确地计算波前倾斜度,可能需要考虑到其他因素。图12的(c)说明了例如图像传感器426与平行于孔阵列424的方向不完全对准的情形。该对准不良在图示中由围绕X轴的旋转角β表示。虽然该角度β可能非常小,但是在设备中测量的波前倾斜度也可以非常小,例如数十或数百微弧度。如图12的(b)中所示,该对准不良的效果是在图像传感器上测量的位置h-1、h0及h+1不再线性地对应于X-Y平面中的位置y-1、y0及y+1。换句话说,非零角度β使得直接地从所测量的图像提取波前倾斜度θi更加复杂。如由虚线1202”及1204”以及不准确的入射角θi″所示,在这种情况下,根据(h+1+h-1)/2计算出的重心位置不能更精确地表示真正的零阶位置及真正的倾斜角θi
在数学方面,所测量的点位置h±1通过下述关系式而与“真正的”位置y±1相关联:
Figure BDA0002298851650000272
由此产生下述入射角的表达式:
Figure BDA0002298851650000281
该表达式显示完全不可能在没有精确校准β的情况下根据测量结果来确定绝对倾斜角θi。实际上,通过使用已知参考束执行测量,这种校准可以是可能的。然而,在没有校准的情况下,除了每个位置处的波长之间的相对倾斜度之外,仍然可以检测随着时间推移而改变的倾斜度。
应当注意的是,对于色散方向的平面中的倾斜度仅观测到这种效应。因此,如果孔阵列424包括在至少两个相异方向上取向的光栅(如图7至图11的示例的情况),则可以通过测量不同光栅取向的+/-1阶衍射的质心位置之间的移位而检测波前倾斜度。传感器对准效应(角度β)将仅影响具有与倾斜方向对准的色散方向的孔子集,而不同位置处的波前与波长之间的相对倾斜度应当出现在两个子集中。通过针对所有波长比较这些子集之间的质心移位,对准不良的效应因此可以相对于波前倾斜度独立。不同子集的光栅无需正交取向以独立测量x方向及y方向两者上的倾斜度。对与Y轴对准的对准不良的描述仅出于简单说明的目的。可以进行一般分析,使得任何方向上的对准不良可以被分辨成与任何光栅方向对准的分量,并且然后(视需要)变换成任何轴系统。如下文所说明及上文所提及的,不同色散方向的数量不限于两个,并且可以在实践中组合来自三个或更多个不同取向的孔子集的测量结果。
如果从某个其他源得知β,例如,通过直接地测量对准,则采用所测量的质心移位对(vs.)波长并将其拟合于等式(2),也会产生绝对倾斜角θi
图13示出了对实际HHG束的倾斜分析的示例。波前倾斜度θi是在两个维度中由分量θx及θy表示(其可分别被称作例如“倾斜”及“翻转”)。利用来自图7的孔阵列进行测量,并且然后从色散方向Pa及Pb变换成适当的x及y坐标。样本1302/1304等表示七个不同光谱分量(谐波)的倾斜/翻转的测量结果,波长范围在30nm与60nm之间。通过刚才描述的技术来测量这些分量,通过组合来自两个孔子集的信号而去除未对准角(βx、βy)的效应。曲线1306拟合于倾斜测量结果1302,并且曲线1308拟合于翻转测量结果1304。这些结果显示波长相关的波前倾斜度实际上可能存在于HHG束中,并且可以在两个维度中在横过HHG束的多个位置处被测量。应当理解,图13中的竖直尺度具有任意原点:本示例中的测量结果披露了光谱分量之间的相对倾斜度,而不是任何绝对倾斜度。可见最长波长样本(最低谐波)较差地拟合于曲线。常见的情况是:与高阶谐波相比较,HHG过程中的最低谐波经受额外的效应。这可以解释所显示的分布。可以视情况进行进一步研究。
可以利用单一孔或多个孔和/或横过孔阵列在空间上分离的数个位置执行波前倾斜度及对准的上文的测量结果。虽然可以不在所有实施例中测量绝对倾斜度,但是测量不同波长之间及各位置之间的相对倾斜度的能力会提供宝贵的诊断工具。应当注意的是,除了对传感器-摄像机距离z的合理估计之外,不需要外部校准。如果关于光谱的一些知识可供使用(即,已知至少两个波长,或谐波频率相等地间隔开的事实),则也可以根据测量结果自身来确定z。
图14至图16示出了上文所描述的技术能够如何扩展至连续或准连续光谱,而不仅是包括一系列离散谐波作为光谱分量的光谱。应当理解,在辐射具有较连续光谱的情况下,图9的光点图案将变成一系列“污迹”或“条纹”。基于对衍射光点的辨识的技术将失效。然而,在利用具有不同色散方向的色散元件的存在的情况下,甚至对于这些连续光谱,也可以在多个位置处测量波长相关的波前倾斜度。
图14说明了具有两个或更多个色散方向的各种类型的光栅。示例(a)示出了两个一维光栅的簇,第一光栅1402具有与X轴对准的色散方向并且第二光栅1404具有与Y轴对准的色散方向。色散方向由虚线箭头指示。示例(b)示出了单一二维光栅1408的一部分,该二维光栅1408具有与X轴及Y轴两者对准的色散方向。示例(c)示出了单一二维光栅1410的一部分,该二维光栅1410具有三个色散方向。
图14的(a)、图14的(b)或图14的(c)所示的类型的光栅可以形成于横过上文总体地描述的类型的波前传感器420中的孔阵列424的位置处。在一些实施例中,两个或更多个一维光栅的簇可以定位于孔阵列中的每个位置处。可以基于图7的孔阵列702通过移动子集B的孔直至其更接近于子集A的孔为止而进行该布置。在其他实施例中,如下文所解释的,如图7所示,以空间分辨率为代价,能够使子集A及子集B保持间隔开。在其他实施例中,单一二维光栅(例如1408或1410)可以布置在每个位置处。原则上,出于色散方向的进一步多样性,可以将二维光栅的簇设置在每个位置处。
图15说明了针对具有连续光谱的辐射测量二维波前倾斜度的原理。1502处的插图是辐射的标称光谱。假定已提供一对一维光栅1402及1404,如图14的(a)所示。这样有效地形成孔阵列424中紧密地间隔开的两个孔。这两个孔及所有波长的零阶光点将于位置1504处在图像传感器上重叠。从X取向光栅1402开始,一阶衍射辐射将在附图的左侧及右侧广泛地形成条纹1506-及1506+,如图所示。从Y取向光栅1404开始,一阶衍射辐射将在附图的底部及顶部广泛地形成条纹1508-及1508+,如图所示。
衍射图案中的各条纹具有独特的强度分布及独特的横向偏差其依赖于光谱的各部分中的波前的翻转角及倾斜角。在所说明的示例中,假定对于任何波长,波前具有在X方向上的相当大的波前相关倾斜度,以及在Y方向上的相对较小的倾斜(翻转)度。这种情况在所观测的衍射图案中产生以下效应。首先,如条纹1506-及1506+所示出的,这些条纹相对地沿着直线,具有非常小的横向偏差dy。另一方面,相对于辐射的标称光谱1502,不同波长下的不同倾斜度引起强度剖面1516-及1516+的失真。相对于标称光谱,该光谱的峰值及谷值可移动到左侧或右侧,成为光谱的压缩部分及扩展部分。当根据条纹1506-/1506+的不同部分的强度来测量光谱时,可以辨识该失真。对于+1阶衍射及-1阶衍射,该失真将是相反的,这是因为波前倾斜度使两个光点都在同一方向上移动(因此显而易见,一个阶的波长较低并且另一阶的波长较高)。
第二,如条纹1508-及1508+所示出的,X方向上的倾斜度引起相当大的横向偏差dx,横向偏差dx可以在+条纹及-条纹两者中进行测量。由于不存在Y倾斜度,所以强度剖面1518-及1518+紧密地对应于标称光谱1502,并且因此相对于辐射的光谱不存在强度剖面的失真。
换句话说,在垂直于局部波前倾斜度的方向上,发生直线的部分的移位(横向偏差)。在平行于倾斜度的方向上,一些光谱分量的移位将导致光谱的显而易见的失真。因为对于两种衍射阶,真实的波前校正光谱应当相同,所以该效应也允许检测由光谱波前倾斜度造成的失真。因为对于+1阶衍射及-1阶衍射而言,失真将是相反的,所以它可以区别于辐射自身的光谱的任何改变。
总之,通过检测从一个光栅方向的衍射方向的横向移位与另一方向的光谱失真的组合,可以识别局部波前倾斜度。当然,通常二维波前倾斜度可以具有平行于给定光栅的色散方向的分量和垂直于给定光栅的色散方向的分量。通常,色散方向可以选择为不同于X轴及Y轴,并且无需彼此垂直。
应当理解,相较于在包括多种隔离谐波(光点)的光谱的情况下,在连续光谱(条纹)的情况下,对波前倾斜度的计算更复杂。在一个实施例中,提议通过数值程序针对各孔重构光谱分辨的波前倾斜度。
图16说明了示例性程序并且包括如下步骤S11至S15:
S11:通过具有不同波长、强度及波前倾斜度的大量单色探测束来近似照射的近似光谱。基于近似标称光谱,可以将数个这种探测器调整成所研究的光。
S12:对于各个探测器,根据掩模的衍射计算所预期的光点图案。这会在图像传感器的坐标中产生对应探测束的标签。
S13:在共同考虑所有探测束的所预期的光点图案的情况下,可以与实际上通过图像传感器测量的条纹图案进行比较。所预期的光点图案与观测到的图案之间的相关性会产生逐像素乘数(pixel-wise multipler),逐像素乘数增强匹配于所测量的数据的那些探测束并且使不匹配于所测量的数据的探测束折减。
S14:在用它们的乘数乘以各个探测器之后,使用探测束的更新后的集合来更新孔处的波前及强度。
S15:在使用具有经修改的强度及波前的探测束的更新后的集合的情况下,流程返回到步骤S13以计算图像传感器的场中的所预期的新光点图案。
S16:在进行足够的迭代之后,将更新后的探测束的参数报告为波前倾斜度的测量结果。
开始于步骤S11,然后从步骤S12至S15迭代多次应当收敛成对所测量的束中的所有光谱分量的波前倾斜度的可靠估计。该过程可以对广泛范围的传感器几何结构及照射起作用。算法的收敛将依赖于多种属性,诸如正交衍射方向的存在、照射知识及高阶衍射的存在。通过及时在相邻孔之间(例如,以及或在连续样本之间)共享知识,能够使计算更稳定并且更高效。这当然基于波前随着束和/或随着时间取样间隔而缓慢地变化的假定。
如所提及的,多向光栅或光栅簇可以以与图7中所呈现的布局相似的布局存在。然而,使衍射图案重叠的风险增加,使得总光谱分辨及空间分辨率可能需要降低以获得来自具有连续或准连续光谱的辐射的信息。无需将多向光栅或光栅簇设置在每个位置处。在多于两个衍射方向上取向的光栅(诸如图14的(c)的三角形光栅)应当改善准确的波前的重构。在光栅簇的情况下,具有不同取向的光栅对略微不同的位置出的波前进行取样,如图15中所示。如果波前不包括显著的近程变化,则这是可接受的。在波前仅在长范围变化的情况下,不同取向的簇聚可以是不必要的,并且可以使用光栅的子集如图7中所示的完全分散的布局。
虽然该方法可以包括校准孔阵列与图像传感器之间的距离z,但是通过利用已知波长照射传感器是可能的。
如果还可以检测到高阶衍射(+/-2阶及更高阶),则它们可以提供辅助重构的额外信息,这是因为对于各阶而言,由局部波前倾斜度造成的显而易见的光谱失真不同。
具有关于源光谱的现有知识有助于波前重构,这是因为它允许利用该参考标准与各孔处的所测量的光谱进行比较。然而,该现有知识对于波前测量是不必要的,这是因为+/-1阶的相同光谱与另一光栅方向上的横向移位的组合对于独特的解决方案应当是足够的。
总体而言,利用图14和图15的示例说明的技术可以提供来自单一摄像机曝光的光谱分辨的二维波前测量,甚至对于连续、半连续或总体上复杂的光谱也是如此。类似于图7至图11的示例,该方法不需要滤波器的扫描或调换,并且因此实现了单一脉冲测量。另外,该方法与对光谱滤波器的校准无关,该校准消除了其他光谱方法中可能的系统误差源。
应用示例
在上述示例中的任一示例中,可以使用从经修改的波前传感器420获得的光谱分辨信息及空间分辨信息来监测和/或调整操作中辐射源布置的众多参数。可以实时监测(并且自动或手动地调整)的参数及条件的示例包括:
1)泵辐射的方向
2)泵辐射焦点的定位
3)泵辐射的波前(例如通过修改或重新程序化空间光调制器440)
4)气流设定、喷嘴形状和其他气体射流参数
5)气体射流喷嘴劣化
6)气体组合物和纯度
因为在本示例中,波前传感器定位于照射系统312中的聚焦布置的下游,所以以可分开地或者作为辐射源及照射系统组合的参数来监测照射系统内的参数及情况。
图17是图2所示的辐射源布置及检查设备的控制系统的示例性框图。在图2的硬件图中以虚线示出并且以相同的附图标记标注可识别的组件。例如,示出了驱动激光器330、波前补偿器(SLM)440及气体406,以及泵辐射(第一辐射)430和输出EUV辐射(第二辐射)432。说明了激光束传送系统902。波前传感器420接收EUV辐射356的至少一部分356’,该部分在目标T处同时或间歇地被定向。通过反馈控制器432处理来自波前传感器420的波前信息430。如904、906、908及910处示意性地表示的,通过反馈处理器432(或分离的反馈处理器)调整硬件组件的操作参数,以实施反馈控制并使辐射源布置和/或照射系统在长时间周期内稳定。
基于对行为及观测的分析和/或经验观测,可以在反馈控制器中实施广泛范围的机构。反馈动作的示例可以包括:
·响应于谐波的发散度、空间相干属性和/或光谱宽度的改变,能够控制驱动激光聚焦透镜和/或气体射流位置沿着驱动激光轴线的移动。
·响应于由波前倾斜度指示的HHG束的方向的改变,能够控制驱动激光聚焦透镜的横向移动或入射驱动激束方向的角度。
·响应于从HHG束的被观测强度及光谱含量导出的相位匹配属性的改变,能够控制HHG相互作用区域中的气压。
·响应于HHG辐射强度及可选地光谱含量的改变,能够控制驱动激光强度,例如峰值强度。
·响应于HHG辐射束的发散度及空间属性以及可选地强度的改变,驱动激光束的空间形状可以变化(通过可调整的孔或空间光调制器440)。
·全部响应于HHG辐射强度及光谱含量的改变,通过改变激光放大器系统中的脉冲压缩机、通过向束中添加色散或者通过诸如空间光调制器440的脉冲整形装置,能够使激光脉冲形状及持续时间改变。
硬件控制的功能可以在相同处理硬件中实施为从波前传感器信号导出操作条件。这也可以与波前处理器自身和/或量测处理器320组合。在其他实施方案中,监测信号的处理和控制功能可以实施于单独的处理硬件中。一旦使所获取衍射图像信号数字化,就可以在固定回应和/或自适应及机器学习响应的情况下,通过适当的编程来实施对所述信号的分析,以得出操作条件和/或确定适当的反馈控制动作。
如还提及的,替代地或者除了控制辐射源布置310和/或照射系统312之外,还可以在912处将光谱分辨波前信息及空间分辨波前信息供应到量测处理器320。这里,可以使用该波前信息来修改目标T的属性和/或光刻过程的性能参数的计算。例如,对来自检测器318的信号的处理可以基于关于辐射束356的光谱属性和/或波前形状的特定假设。可以假定例如束包括具有各别波前形状及强度的光谱分量的特定混合。当获得显示横过束的不同位置处的不同光谱分量的实际波前形状及强度的波前信息时,可以调整对感兴趣的属性的计算并且使所述计算更加准确。因此,可以使用波前传感器来改善辐射源布置的稳定性或者改善通过量测设备进行的测量的稳固性,或者改善它们两者。
与检查设备的硬件组件相关联,实施例可以因此包括包含机器可读指令的一个或多个序列和/或功能数据的计算机程序,该功能数据描述确定辐射源布置的操作条件和/或响应于该确定条件而控制辐射源布置的方法。该计算机程序可以例如在图1的监控系统SCS和/或图2至图16的设备中的量测处理单元MPU内执行。还可以提供在其中存储有该计算机程序的非易失性数据存储介质(例如,半导体内存、磁盘或光盘)。在现有辐射源布置已经在生产中和/或在使用中的情况下,经修改的实施例可以通过提供更新后的计算机程序产品来实施,以用于使得处理器执行本文中描述的方法中的一种或多种方法。
可以包括激光辐射传送系统和HHG辐射源的其他组件的进一步修改,本文中没有详述所述进一步修改。这些修改中的一些披露于例如上文所提及的US2017184511A1(在本申请的优先权日之前尚未公开)中。美国专利申请15/388,463和国际专利申请PCT2016/080103中披露了其他修改,该美国专利申请和该国际专利申请都主张日期为2015年12月23日并且在本申请的优先权日之前尚未公开的欧洲专利申请15202301.6的优先权。已经提及欧洲专利申请16188816.9。所有这些申请的内容以引用的方式并入本文中,并且其中所描述的技术可以与本发明的技术组合使用。
虽然本发明呈现了在1至100nm之间的EUV及SXR辐射作为用于目前技术发展的感兴趣的示例,但是可以使用在“更硬的”X射线范围内的更短的波长,其具有例如小于1nm且可能小于0.1nm的波长。虽然作为示例描述了对辐射的反射的检查,但是本发明的原理也可以应用于透射布置中,尤其在更短的x射线辐射能够穿透通过整个衬底的情况下。
图18说明了在图1中所说明的类型的光刻制造系统的控制下应用诸如检查设备302的检查设备。各步骤将在这里列出,并且然后予以更详细地解释:
S21:处理芯片以在衬底上产生结构
S22:测量横过衬底的CD和/或其他参数
S23:更新量测选配方案
S24:更新光刻和/或程序选配方案
在步骤S21处,使用光刻制造系统在整个衬底上产生结构。在S22处,使用量测设备140并且可选地使用其他量测设备及信息源来测量在整个衬底的结构的属性。根据上文所阐述的本发明的原理,使用由如上文所描述地监测及控制的辐射源布置产生的EUV或SXR辐射来测量一个或多个目标的属性。可以使用具有空间分辨率及光谱分辨的波前传感器来改善对辐射源的控制。可以使用测量期间存在的关于波前倾斜度和/或光谱组合的信息来改善对量测结果的处理。
在步骤S23处,可选地,按照所获得的测量结果更新量测选配方案及量测设备的校准。量测选配方案可能指定针对大多数可靠的不对称性测量比较衍射光谱的哪些部分。选配方案也可以指定激光辐射传送系统的设定,例如以控制SXR辐射的光谱和/或偏振。选配方案也可以指示将如何使用光谱分辨波前信息来改善量测设备的准确度。
在步骤S24处,比较重叠或其他性能参数的测量结果与期望的值,并且使用重叠或其他性能参数的测量结果来更新光刻制造系统内的光刻设备和/或其他设备的设定。
结论
上文披露了波前传感器的修改形式,其在单个所捕获的图像中提供两个维度中的空间分辨率及整个辐射束的每个位置处的光谱分辨。
波前传感器可以支持对辐射源布置的改进的监测及控制。当量测设备依赖于由布置产生的辐射束的品质时,波前传感器可以支持对测量结果的更准确的计算。例如,在高容量制造中,足够快速地测量以提供关于重叠测量的时间尺度的完整的EUV束信息。
不需要额外的EUV光谱仪来获得光谱选择性,这是因为光栅整合于Hartmann掩模中。EUV中的偏振敏感波前测量变得可能。
虽然本发明的原理可以应用于任何波长范围,但是其特别适用于EUV/软X射线区域中,其中,源稳定性需要发展,并且其中,诸如干涉量测学的光学波前感测方法成为问题。
在不偏离上述原理的情况下,能够使孔阵列及色散元件透射或反射。用于反射的变型例可以包括例如掠入射锥形衍射构造。就可制造性及稳固性而言,这具有优点,并且为衍射几何结构及对比度提供了完全不同的参数空间。在C.Braig、L.Fritzsch、T.
Figure BDA0002298851650000371
E.B.Kley、C.Laubis、Y.Liu、F.Scholze和A.Tünnermann的论文“An EUV beamsplitter based on conical grazing incidence diffraction(基于锥形掠入射衍射的EUV分束器)”,Opt.Express 20,1825-1838(2012)提供了对掠入射中的EUV辐射的锥形衍射的分析。
波前处理的实施方案可以简单明了。通过所选择的数值方法使对应于单一波长的衍射光点局部化,然后,各光谱分量的波前重构可以通过用于Hartmann/Shack-Hartmann波前传感器所建立的常规重建构例程继续进行。
可以用给定掩模(孔阵列)表征的波长范围、光谱分辨和波前曲率依赖于几何参数(孔大小及位置、光栅间距、距摄像机的距离、传感器像素尺寸等)。聚焦元件可以取代孔阵列或添加到孔阵列。这些聚焦元件可以被制作成呈反射形式或透射形式(波长允许)的聚焦元件,并且其适用于色散元件。可以通过将波长光谱划分成少至两个光谱分量或数百个光谱分量而获得光谱分辨。根据实际情况和给定目的所需的条件,各光谱分量可以由波长的极宽带或极窄带定义。
可选地,例如,在期望的光谱范围及光谱分辨要求各个孔/光栅之间的大间距的情况下,可以相对于束来扫描传感器以提高波前的空间分辨率。与其他扫描配置相比较,波前传感器的固有空间分辨率意味着需要扫描的范围较小(约为孔之间的距离)。
通过孔阵列对预期衍射的建模将扩展表征复杂波前及复杂光谱的能力。例如,理解各光点的形状(其与孔的形状相关),允许更精确地确定中心位置。
本发明的原理可以适用于诸如高阶谐波产生源的EUV源及诸如(空间滤光)等离子体放电的低相干源。
该方法还可以包括偏振灵敏度。如果光栅衍射效率是和偏振相关的,则使光栅的子集在正交方向上取向可以对波前的局部偏振状态取样。可替代地,对于第二测量,限定孔阵列的掩模或其他组件可以旋转90度。可以考虑具有较复杂形状及取向的光栅以实现相似的效果。换句话说,测量与这些不同子集/取向相关联的光点的相对强度将提供关于辐射束的偏振状态的一些信息,并且具有横过该束的一定的空间分辨率。还可以通过调谐光栅间距、掩模厚度及对掩模材料的选择而引入光栅的偏振灵敏度。
通过使用闪耀光栅,可以抑制一个衍射阶,并且这样允许在传感器中较致密地封装孔/光栅(并且因此提高分辨率)。
在应用量测时,上文所描述的目标结构可以是出于量测的目的而特别设计且形成的量测目标,在其他实施例中,可以对目标测量属性,该属性是形成于衬底上的器件的功能性部分。许多器件具有类似于光栅的规则的周期性结构。如本发明中所使用的术语“目标”、“光栅”或目标的“周期性结构”不需要针对正在执行的测量已经特别提供适用的结构。另外,虽然量测目标的间距P接近于测量工具的光学系统的分辨率极限,但是可以比目标部分C中通过图案化程序制得的典型产品特征的尺寸大得多。实际上,光栅的特征和/或空间可以被制造成包括在尺寸方面类似于产品特征的较小结构。
在下列条项中披露了进一步的实施例:
1.一种能够操作以产生辐射束的辐射源布置,所述辐射源布置进一步包括:
波前传感器,其用于至少间歇地测量在横过所产生的辐射束的位置的阵列处的波前倾斜度;以及
处理器,其用于至少部分地基于所测量的波前倾斜度来确定所述辐射源布置的操作条件,
其中,所述波前传感器设置有在所述阵列中的每个位置处的色散元件,并且被布置成利用光谱分辨来测量在所述阵列中的每个位置处的波前倾斜度。
2.根据条项1所述的辐射源布置,其中,所述位置的阵列由一个或多个间距向量定义,并且其中,每个色散元件的色散方向与所述间距向量中的任何一个间距向量不平行。
3.根据条项2所述的辐射源布置,其中,所述位置的阵列被划分成两个或更多个子集,每个子集中的色散元件具有不同的色散方向。
4.根据前述条项中任一项所述辐射源布置,其中,所述色散元件包括衍射光栅,所述阵列中的每个位置处的辐射被散布到包括多个光谱分量的正衍射阶和负衍射阶的光谱中。
5.根据条项4所述的辐射源布置,其中,所述波前传感器能够操作,以对于所述阵列中的每个位置处的每个光谱分量基于所述光谱分量的正衍射阶和负衍射阶的检测来计算波前倾斜度。
6.根据条项5所述的辐射源布置,其中,所述波前传感器包括图像检测器,所述图像检测器用于针对于单一图像内的不同光谱分量和不同位置获取所述正衍射阶和负衍射阶的位置和强度,基于所产生的辐射的谐波结构的知识以及每个色散元件的色散属性的知识,所述不同光谱分量和不同位置通过在所获取的图像内他们的位置被识别。
7.根据条项6所述的辐射源布置,其中,基于所述正衍射阶和负衍射阶的所检测的位置,相对于通过计算和/或校准而确定的参考位置计算所述波前倾斜度。
8.根据条项4所述的辐射源布置,其中,所述色散元件提供多个不同的色散方向,并且其中,通过组合来自具有至少两个色散方向的衍射光谱的正阶和负阶来确定多个光谱分量在所述阵列中的一位置处的波前倾斜度。
9.根据条项8所述的辐射源布置,其中,至少部分地根据所获取的衍射光谱在横向于所述色散方向的方向上的偏差来确定波前倾斜度。
10.根据条项8或9所述的辐射源布置,其中,至少部分地根据所获取的衍射光谱在平行于所述色散方向的方向上的失真来确定波前倾斜度。
11.根据条项8或9或10所述的辐射源布置,其中,通过将所预期的衍射图案与所获取的衍射图案进行比较的迭代过程来确定所述波前倾斜度。
12.如前述条项中任一项所述的辐射源布置,其中,所述处理器被布置成使用不同光谱分量的所测量的波前倾斜度来确定所述辐射源布置的操作条件。
13.如前述条项中任一项所述的辐射源布置,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量的相对强度,所述相对强度的信息被用在所述处理器中以确定所述辐射源布置的操作条件。
14.如前述条项中任一项所述的辐射源布置,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量中的一个或多个光谱分量的光谱宽度,所述光谱宽度的信息被用在所述处理器中以确定所述辐射源布置的操作条件。
15.如前述条项中任一项所述的辐射源布置,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量中的一个或多个光谱分量的偏振属性,所测量的偏振属性被用在所述处理器中以确定所述辐射源布置的操作条件。
16.如前述条项中任一项所述的辐射源布置,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量中的一个或多个光谱分量的空间相干属性,所测量的空间相干属性被用在所述处理器中以确定所述辐射源布置的操作条件。
17.如前述条项中任一项所述的辐射源布置,其中,所述位置的阵列由孔的阵列来定义,所述阵列中的每个孔设置有用于在不同方向上引导所述光谱分量的色散元件。
18.根据条项1至17中任一项所述的辐射源布置,其中,所述位置的阵列由聚焦元件的阵列来定义,所述阵列中的每个聚焦元件设置有用于在不同方向上引导所述光谱分量的色散元件。
19.根据条项17或18所述的辐射源布置,其中,所述色散元件是衍射光栅。
20.根据前述条项中任一项所述的辐射源布置,其中,所产生的辐射束包括短于100nm的波长。
21.根据前述条项中任一项所述的辐射源布置,还包括控制器,所述控制器用于至少部分地响应于由所述处理器确定的操作条件来自动地调整所述辐射源布置的至少一个操作参数。
22.根据条项21所述的辐射源布置,所述辐射源布置被布置成引起第一辐射与介质之间的相互作用,并且由此通过高阶谐波生成器而产生所述辐射束。
23.根据条项22所述的辐射源布置,其中,所述介质是气态介质。
24.根据条项22或23所述的辐射源布置,其中,经调整的操作参数是所述第一辐射的束传送系统和/或源的操作参数,诸如脉冲强度或持续束宽度、轴向聚焦位置、横向聚焦位置或波前。
25.根据条项23或24所述的辐射源布置,其中,经调整的操作参数是所述介质的参数。
26.根据条项25所述的辐射源布置,其中,所述介质是气体射流,并且经调整的操作参数是气体传送系统的操作参数。
27.根据前述条项中任一项所述的辐射源布置,其中,所述处理器能够被操作,以至少部分地基于所确定的操作条件输出诊断信息。
28.根据条项27所述的辐射源布置,其中,所述介质是气体射流,并且所述诊断信息涉及所述辐射源布置的一部分的磨损条件。
29.一种检查设备,包括用于将检查辐射传送到目标结构的照射系统、以及用于在与所述目标结构相互作用之后检测所述检查辐射的检测系统,并且其中,所述照射系统包括如前述条项中任一项所述的辐射源布置,所产生的辐射束被用作所述检查辐射。
30.根据条项29的检查设备,其中,所述检查辐射包括短于100nm的波长。
31.根据条项30所述的检查设备,还包括用于基于检测到的检查辐射确定所述目标结构的属性的处理布置。
32.根据条项31所述的检查设备,其中,所述处理布置被进一步布置,以至少部分地基于所述目标结构的所确定的属性来计算光刻过程中的第一性能参数。
33.根据条项31或32所述的检查设备,其中,所述处理布置被布置成进一步基于所述波前传感器的输出来确定所述第一性能参数和/或所述目标结构的属性。
34.根据条项29至33中任一项所述的检查设备,其中,所述波前传感器被布置成连续地接收所述检查辐射的一部分,而所述检查辐射的另一部分与所述目标结构相互作用。
35.根据条项29至33中任一项所述的检查设备,其中,所述波前传感器被布置成除了当所述检查辐射与所述目标结构相互作用时之外,有时间歇地接收所述检查辐射的至少一部分。
36.根据条项29至35中任一项所述的检查设备,其中,所述波前传感器被布置成接收在所述照射系统中的聚焦元件下游的所述检查辐射的至少一部分。
37.一种用于测量在横过辐射束的位置的阵列处的波前倾斜度的波前传感器,其中,所述波前传感器设置有在所述阵列中的每个位置处的色散元件,并且被布置成利用光谱分辨来测量所述阵列中的每个位置处的波前倾斜度。
38.根据条项37所述的波前传感器,其中,所述位置的阵列是由一个或多个间距向量定义,并且其中,每个色散元件的色散方向与所述间距向量中的任何一个间距向量不平行。
39.根据条项38所述的波前传感器,其中,所述位置的阵列被划分成两个或更多个子集,每个子集中的色散元件具有不同的色散方向。
40.根据条项37至39中任一项所述的波前传感器,其中,所述色散元件包括衍射光栅,所述阵列中的每个位置处的辐射被散布到包括多个光谱分量的正衍射阶和负衍射阶的光谱中。
41.根据条项40所述的波前传感器,其中,所述波前传感器能够操作,以对于所述阵列中的每个位置处的每个光谱分量基于所述光谱分量的正衍射阶和负衍射阶的检测来计算波前倾斜度。
42.根据条项41所述的波前传感器,其中,所述波前传感器包括图像检测器,所述图像检测器用于针对于单一图像内的不同光谱分量以及不同位置获取所述正衍射阶和负衍射阶的位置和强度,基于对所述辐射束中的谐波结构的知识及对每个色散元件的色散属性的知识,所述不同光谱分量以及不同位置通过在所获取的图像内的他们的位置被识别。
43.根据条项42所述的波前传感器,其中,基于所述正衍射阶和负衍射阶的所检测的位置,相对于通过计算和/或校准而确定的参考位置计算所述波前倾斜度。
44.根据条项40所述的波前传感器,其中,所述色散元件提供多个不同色散方向,并且其中,通过组合来自具有至少两个色散方向的衍射光谱的正阶和负阶来确定多个光谱分量在所述阵列中的一位置处的波前倾斜度。
45.根据条项44所述的波前传感器,其中,至少部分地根据所获取的衍射光谱在横向于所述色散方向的方向上的偏差来确定波前倾斜度。
46.根据条项44或45所述的波前传感器,其中,至少部分地根据所获取的衍射光谱在平行于所述色散方向的方向上的失真来确定波前倾斜度。
47.根据条项44或45或46所述的波前传感器,其中,通过将所预期的衍射图案与所获取的衍射图案进行比较的迭代过程来确定所述波前倾斜度。
48.根据条项37至47中任一项实施的波前传感器,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量的相对强度。
49.根据条项37至48中任一项所述的波前传感器,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量中的一个或多个光谱分量的光谱宽度。
50.根据条项37至49中任一项所述的波前传感器,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量中的一个或多个光谱分量的偏振属性。
51.根据条项37至50中任一项所述的波前传感器,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量中的一个或多个光谱分量的空间相干属性。
52.根据条项37至51中任一项所述的波前传感器,其中,所述位置的阵列由孔的阵列来定义,所述阵列中的每个孔设置有用于在不同方向上引导所述光谱分量的色散元件。
53.根据条项37至51中任一项所述的波前传感器,其中,所述位置的阵列由聚焦元件阵列来定义,所述阵列中的每个聚焦元件设置有用于在不同方向上引导所述光谱分量的色散元件。
54.根据条项52或53所述的波前传感器,其中,所述色散元件是衍射光栅。
55.一种监测辐射源布置的操作条件的方法,所述方法包括:至少间歇地测量在横过由所述辐射源布置产生的辐射束的位置的阵列处的波前倾斜度,以及至少部分地基于所测量的波前倾斜度来确定所述辐射源布置的操作条件,其中,利用光谱分辨来测量所述阵列中的每个位置处的波前倾斜度。
56.根据条项55所述的方法,其中,通过在所述阵列中的每个位置处提供色散元件来测量多个光谱分量的波前倾斜度。
57.根据条项56所述的方法,其中,基于正衍射阶和负衍射阶的所检测的位置,相对于通过计算和/或校准而确定的参考位置来计算每个光谱分量的波前倾斜度。
58.根据条项55至57中任一项所述的方法,还包括至少部分地响应于由处理器确定的操作条件,自动地调整所述方法的至少一个操作参数。
59.根据条项58所述的方法,其中,所述方法被布置成引起第一辐射与介质之间的相互作用,并且由此通过高阶谐波生成器生成所述辐射束,并且其中,经调整的操作参数是所述第一辐射的束传送系统的操作参数。
60.根据条项55至59中任一项所述的方法,其中,经调整的操作参数是所述介质的参数。
61.根据条项60所述的方法,其中,所述介质是气体射流,并且经调整的操作参数是气体传送系统的操作参数。
62.根据条项55至61中任一项所述的方法,还包括至少部分地基于所确定的操作条件输出诊断信息。
63.一种检测已经通过光刻过程而形成于衬底上的结构的方法,所述方法包括:
利用由辐射源布置产生的检查辐射来照射目标结构,所述辐射源布置受根据条项58至62中任一项所述的方法控制;以及
在与所述目标结构相互作用之后检测所述检查辐射的一部分。
64.根据条项63所述的方法,还包括至少部分地基于所述检查辐射的被检测到的部分来确定目标结构的属性。
65.根据条项63或64所述的方法,还包括至少部分地基于所述目标结构的所确定的属性来确定所述光刻过程的至少一个性能参数。
66.一种制造器件的方法,所述方法包括光刻过程步骤,其中,在执行所述光刻过程步骤之前或之后,通过如条项63至65中任一项所述的方法来确定衬底上的一个或多个目标结构的属性,并且其中,所确定的属性用于调整所述光刻过程步骤的参数以用于处理所述衬底和/或其他衬底。
67.一种计算机程序产品,包括用于使得处理器实施根据条项1至28中任一项所述的辐射源布置的处理器的机器可读指令。
68.一种计算机程序产品,包括机器可读指令,所述机器可读指令用于使处理器计算根据条项1至28中任一项所述的辐射源布置的波前传感器或者根据条项37至54中任一项所述的波前传感器中的多个光谱分量的波前倾斜度。
69.一种计算机程序产品,包括用于使得处理器实施根据条项21至26中任一项所述的辐射源布置的控制器的机器可读指令。
尽管上文可以特定地参考在光学光刻的内容背景中对实施例的使用,但是应当明白,本发明的实施例能够用于其他应用(例如压印光刻)中,并且在内容背景允许的情况下不限于光学光刻。在压印光刻中,图案化装置中的形貌限定产生于衬底上的图案。可以将图案化装置的形貌压入被供应到衬底的抗蚀剂层中;在衬底上,通过施加电磁辐射、热、压力或其组合使抗蚀剂固化。在抗蚀剂固化之后,将图案化装置移出抗蚀剂,从而在其中留下图案。
对特定实施例的前述描述揭露本发明的实施例的一般性质,使得在不背离本发明的一般概念的情况下,其他人可以通过应用该技术的技能范围内的知识针对各种应用而容易地修改和/或调整这些特定实施例,而无需进行不适当的实验。因此,基于本发明中所呈现的教导及指导,这些调整及修改意图处于所披露的实施例的等同内容的涵义及范围内。应当理解,本发明中的措辞或术语是出于例如描述而非限制的目的,以使得本说明书的术语或措辞待由本领域技术人员按照所述教导及指导进行解释。
因此,本发明的广度和范围不应受上述例示性实施例中的任一者限制,而应当仅根据以下权利要求书及其等同内容来限定。

Claims (15)

1.一种能够操作以产生辐射束的辐射源布置,所述辐射源布置进一步包括:
波前传感器,其用于至少间歇地测量在横过所产生的辐射束的位置的阵列处的波前倾斜度;以及
处理器,其用于至少部分地基于所测量的波前倾斜度来确定所述辐射源布置的操作条件,
其中,所述波前传感器设置有在所述阵列中的每个位置处的色散元件,并且被布置成利用光谱分辨来测量在所述阵列中的每个位置处的波前倾斜度。
2.根据权利要求1所述的辐射源布置,其中,所述位置的阵列是由一个或多个间距向量定义,并且其中,每个色散元件的色散方向与所述间距向量中的任何一个间距向量不平行,并且其中,可选地,所述位置的阵列被划分成两个或更多个子集,每个子集中的色散元件具有不同的色散方向。
3.根据前述权利要求中任一项所述的辐射源布置,其中,所述色散元件包括衍射光栅,所述阵列中的每个位置处的辐射被散布到包括多个光谱分量的正衍射阶和负衍射阶的光谱中。
4.根据权利要求3所述的辐射源布置,其中,所述波前传感器能够操作,以对于所述阵列中的每个位置处的每个光谱分量基于所述光谱分量的正衍射阶和负衍射阶的检测来计算波前倾斜度。
5.根据权利要求4所述的辐射源布置,其中,所述波前传感器包括图像检测器,所述图像检测器用于针对于单一图像内的不同光谱分量以及不同位置获取所述正衍射阶和负衍射阶的位置和强度,基于所产生的辐射的谐波结构的知识及每个色散元件的色散属性的知识,所述不同光谱分量以及不同位置通过在所获取的图像内的他们的位置被识别,并且其中,可选地,基于所述正衍射阶和负衍射阶的所检测的位置,相对于通过计算和/或校准而确定的参考位置计算所述波前倾斜度。
6.根据权利要求3所述的辐射源布置,其中,所述色散元件提供多个不同色散方向,并且其中,通过组合来自具有至少两个色散方向的衍射光谱的正阶和负阶来确定多个光谱分量在所述阵列中的一位置处的波前倾斜度,并且其中,可选地,至少部分地根据所获取的衍射光谱在横向于所述色散方向的方向上的偏差来确定波前倾斜度。
7.根据权利要求6所述的辐射源布置,其中,至少部分地根据所获取的衍射光谱在平行于所述色散方向的方向上的失真来确定波前倾斜度。
8.根据权利要求6或7所述的辐射源布置,其中,通过将所预期的衍射图案与所获取的衍射图案进行比较的迭代过程来确定所述波前倾斜度。
9.根据前述权利要求中任一项所述的辐射源布置,其中,所述处理器被布置成使用不同光谱分量的所测量的波前倾斜度来确定所述辐射源布置的操作条件。
10.根据前述权利要求中任一项所述的辐射源布置,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量的相对强度,所述相对强度的信息被用在所述处理器中以确定所述辐射源布置的操作条件。
11.根据前述权利要求中任一项所述的辐射源布置,其中,所述波前传感器能够进一步操作以测量所述阵列中的每个位置处的所述光谱分量中的一个或多个光谱分量的光谱宽度,所述光谱宽度的信息被用在所述处理器中以确定所述辐射源布置的操作条件。
12.一种检查设备,包括用于将检查辐射传送到目标结构的照射系统、以及用于在与所述目标结构相互作用之后检测所述检查辐射的检测系统,并且其中,所述照射系统包括根据前述权利要求中任一项所述的辐射源布置,所产生的辐射束被用作所述检查辐射。
13.一种用于测量横过辐射束的位置的阵列处的波前倾斜度的波前传感器,其中,所述波前传感器设置有在所述阵列中的每个位置处的色散元件,并且被布置成利用光谱分辨来测量所述阵列中的每个位置处的波前倾斜度。
14.一种监测辐射源布置中的操作条件的方法,所述方法包括:至少间歇地测量在横过由所述辐射源布置产生的辐射束的位置的阵列处的波前倾斜度、以及至少部分地基于所测量的波前倾斜度来确定所述辐射源布置的操作条件,其中,利用光谱分辨来测量所述阵列中的每个位置处的波前倾斜度。
15.一种计算机程序产品,其包括机器可读指令,所述机器可读指令用于使处理器计算根据权利要求1至11中任一项所述的辐射源布置的波前传感器或根据权利要求12所述的波前传感器中的多个光谱分量的波前倾斜度。
CN201880036595.2A 2017-05-31 2018-05-03 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质 Active CN111263918B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
EP17173786.9 2017-05-31
EP17173786.9A EP3410211A1 (en) 2017-05-31 2017-05-31 Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
EP17194552 2017-10-03
EP17194552.0 2017-10-03
PCT/EP2018/061338 WO2018219585A1 (en) 2017-05-31 2018-05-03 Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus

Publications (2)

Publication Number Publication Date
CN111263918A true CN111263918A (zh) 2020-06-09
CN111263918B CN111263918B (zh) 2022-11-08

Family

ID=62152529

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880036595.2A Active CN111263918B (zh) 2017-05-31 2018-05-03 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质

Country Status (7)

Country Link
US (2) US10648919B2 (zh)
JP (1) JP6921243B2 (zh)
KR (1) KR102272894B1 (zh)
CN (1) CN111263918B (zh)
IL (1) IL270977B2 (zh)
TW (2) TWI735056B (zh)
WO (1) WO2018219585A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108121179A (zh) * 2016-11-30 2018-06-05 上海微电子装备(集团)股份有限公司 一种调焦调平装置
CN111263918B (zh) 2017-05-31 2022-11-08 Asml荷兰有限公司 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质
CN111194398B (zh) * 2017-10-09 2023-10-03 帕斯博特技术股份有限公司 用于检测表面上的污染的系统和方法
WO2019129465A1 (en) 2017-12-28 2019-07-04 Asml Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
KR102075686B1 (ko) * 2018-06-11 2020-02-11 세메스 주식회사 카메라 자세 추정 방법 및 기판 처리 장치
JP2021536133A (ja) * 2018-09-05 2021-12-23 アイピージー フォトニクス コーポレーション パルス構成可能ファイバーレーザーユニット
WO2020126248A1 (en) * 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology
EP3686673A1 (en) * 2019-01-25 2020-07-29 ASML Netherlands B.V. Wavefront sensor and associated metrology apparatus
JP7245733B2 (ja) * 2019-06-26 2023-03-24 株式会社日立ハイテク ウェハ観察装置およびウェハ観察方法
WO2021008929A1 (en) * 2019-07-16 2021-01-21 Asml Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
EP3786712A1 (en) * 2019-08-28 2021-03-03 ASML Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
CN114144738A (zh) * 2019-07-22 2022-03-04 杰富意钢铁株式会社 品质预测模型生成方法、品质预测模型、品质预测方法、金属材料的制造方法、品质预测模型生成装置以及品质预测装置
EP3964809A1 (en) * 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
TWI779373B (zh) * 2020-10-26 2022-10-01 復盛股份有限公司 具有調頻控制之壓縮機系統及其調頻控制方法
KR20220127004A (ko) * 2021-03-10 2022-09-19 삼성전자주식회사 확률론적 콘투어 예측 시스템 및 확률론적 콘투어 예측 시스템의 제공 방법 및 확률론적 콘투어 예측 시스템을 이용한 EUV(Extreme Ultra violet) 마스크의 제공 방법
US11556062B2 (en) * 2021-03-18 2023-01-17 Kla Corporation Sub-resolution imaging target

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5233174A (en) * 1992-03-11 1993-08-03 Hughes Danbury Optical Systems, Inc. Wavefront sensor having a lenslet array as a null corrector
US20020145717A1 (en) * 2001-02-13 2002-10-10 Baselmans Johannes Jacobus Matheus Lithographic projection apparatus, a grating module, a sensor module, a method of measuring wave front aberrations
US20030222196A1 (en) * 2002-05-31 2003-12-04 Optical Physics Company System for measuring wavefront tilt in optical systems and method of calibrating wavefront sensors
JP2006030016A (ja) * 2004-07-16 2006-02-02 Nikon Corp 波面収差測定装置の校正方法、波面収差測定方法、波面収差測定装置、投影光学系の製造方法、投影光学系、投影露光装置の製造方法、投影露光装置、マイクロデバイスの製造方法、及びマイクロデバイス
CN1809726A (zh) * 2003-06-23 2006-07-26 莱卡地球系统公开股份有限公司 光学测斜仪
CN202420688U (zh) * 2011-12-20 2012-09-05 四川大学 基于衍射光栅阵列的哈特曼波前传感器
US20170031246A1 (en) * 2015-07-30 2017-02-02 Asml Netherlands B.V. Inspection Apparatus, Inspection Method and Manufacturing Method

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2036491C1 (ru) 1987-03-19 1995-05-27 Товарищество с ограниченной ответственностью "Интеллект" Способ аттестации телескопа
US5861323A (en) * 1994-06-06 1999-01-19 Microfab Technologies, Inc. Process for manufacturing metal ball electrodes for a semiconductor device
US6460579B2 (en) * 1999-11-17 2002-10-08 Gilbarco Inc. Vapor flow and hydrocarbon concentration sensor for improved vapor recovery in fuel dispensers
US6639683B1 (en) 2000-10-17 2003-10-28 Remy Tumbar Interferometric sensor and method to detect optical fields
US6548797B1 (en) * 2000-10-20 2003-04-15 Nikon Corporation Apparatus and method for measuring a wavefront using a screen with apertures adjacent to a multi-lens array
FR2827380B1 (fr) 2001-07-12 2003-11-07 Imagine Optic Dispositif d'analyse d'un front d'onde a resolution amelioree
JP2006332586A (ja) 2005-04-25 2006-12-07 Canon Inc 測定装置、露光装置及び方法、並びに、デバイス製造方法
US8356900B2 (en) 2006-01-20 2013-01-22 Clarity Medical Systems, Inc. Large diopter range real time sequential wavefront sensor
DE102006007172B4 (de) 2006-02-08 2013-01-17 Universität Stuttgart Verfahren und Anordnung zur schnellen, ortsaufgelösten, flächigen, spektroskopischen Analyse, bzw. zum Spectral Imaging oder zur 3D-Erfassung mittels Spektroskopie
JP4506683B2 (ja) * 2006-02-15 2010-07-21 セイコーエプソン株式会社 画像処理装置、コンピュータプログラム、画像出力装置および画質調整方法
JP2007234685A (ja) 2006-02-28 2007-09-13 Canon Inc 測定装置、当該測定装置を有する露光装置及びデバイス製造方法
JP4453764B2 (ja) * 2008-02-22 2010-04-21 トヨタ自動車株式会社 車両診断装置、車両診断システム、診断方法
JP2010206033A (ja) * 2009-03-04 2010-09-16 Nikon Corp 波面収差計測装置、該装置の校正方法、及び露光装置
JP5553635B2 (ja) * 2009-10-23 2014-07-16 キヤノン株式会社 補償光学装置、撮像装置および補償光学方法、撮像方法
CN102419213A (zh) * 2011-12-20 2012-04-18 四川大学 基于衍射光栅阵列的哈特曼波前传感器
CN102879110B (zh) * 2012-10-15 2014-07-09 中国科学院光电技术研究所 基于有调制和无调制组合式棱锥波前传感器的自适应光学系统
KR101901770B1 (ko) 2014-05-13 2018-09-27 에이에스엠엘 네델란즈 비.브이. 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
US9786057B2 (en) * 2014-09-19 2017-10-10 Lasertec Coporation Inspection apparatus, coordinate detection apparatus, coordinate detection method, and wavefront aberration correction method
US9689740B2 (en) 2014-10-15 2017-06-27 The Boeing Company Diagnostic for spectrally combined laser
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
KR102098035B1 (ko) 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 계측 방법, 방사선 소스, 계측 장치 및 디바이스 제조 방법
WO2017025373A1 (en) 2015-08-12 2017-02-16 Asml Netherlands B.V. Inspection apparatus, inspection method and manufacturing method
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
KR20180096741A (ko) 2015-12-23 2018-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 측정 수행 방법
EP3296723A1 (en) 2016-09-14 2018-03-21 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3321739A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
CN111263918B (zh) 2017-05-31 2022-11-08 Asml荷兰有限公司 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5233174A (en) * 1992-03-11 1993-08-03 Hughes Danbury Optical Systems, Inc. Wavefront sensor having a lenslet array as a null corrector
US20020145717A1 (en) * 2001-02-13 2002-10-10 Baselmans Johannes Jacobus Matheus Lithographic projection apparatus, a grating module, a sensor module, a method of measuring wave front aberrations
US20030222196A1 (en) * 2002-05-31 2003-12-04 Optical Physics Company System for measuring wavefront tilt in optical systems and method of calibrating wavefront sensors
CN1809726A (zh) * 2003-06-23 2006-07-26 莱卡地球系统公开股份有限公司 光学测斜仪
JP2006030016A (ja) * 2004-07-16 2006-02-02 Nikon Corp 波面収差測定装置の校正方法、波面収差測定方法、波面収差測定装置、投影光学系の製造方法、投影光学系、投影露光装置の製造方法、投影露光装置、マイクロデバイスの製造方法、及びマイクロデバイス
CN202420688U (zh) * 2011-12-20 2012-09-05 四川大学 基于衍射光栅阵列的哈特曼波前传感器
US20170031246A1 (en) * 2015-07-30 2017-02-02 Asml Netherlands B.V. Inspection Apparatus, Inspection Method and Manufacturing Method

Also Published As

Publication number Publication date
IL270977B2 (en) 2024-01-01
IL270977A (en) 2020-01-30
TWI735056B (zh) 2021-08-01
JP6921243B2 (ja) 2021-08-18
TW202004369A (zh) 2020-01-16
TWI686675B (zh) 2020-03-01
US11391677B2 (en) 2022-07-19
JP2020527736A (ja) 2020-09-10
IL270977B1 (en) 2023-09-01
CN111263918B (zh) 2022-11-08
WO2018219585A1 (en) 2018-12-06
US20180348145A1 (en) 2018-12-06
TW201903536A (zh) 2019-01-16
KR20200102340A (ko) 2020-08-31
US20200232931A1 (en) 2020-07-23
KR102272894B1 (ko) 2021-07-05
US10648919B2 (en) 2020-05-12

Similar Documents

Publication Publication Date Title
CN111263918B (zh) 辐射源布置、检查设备、波前传感器、相关的方法以及非暂时性存储介质
TWI633299B (zh) 度量衡方法、度量衡裝置及器件製造方法
CN109716110B (zh) 用于检查设备的照射源、检查设备和检查方法
KR102399698B1 (ko) 리소그래피 프로세스의 파라미터를 측정하는 방법 및 장치, 이러한 방법에서 사용하기 위한 기판 및 패터닝 디바이스
CN110291464B (zh) 用于预测测量方法的性能的方法和设备、测量方法和设备
KR20190015553A (ko) 계측 장치
KR20180135042A (ko) Hhg 소스, 검사 장치, 및 측정 수행 방법
US20190049861A1 (en) Methods and Apparatus for Determining the Position of a Spot of Radiation, Inspection Apparatus, Device Manufacturing Method
EP3410211A1 (en) Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
EP3441820A1 (en) Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
US11815402B2 (en) Wavefront sensor and associated metrology apparatus
TWI794950B (zh) 波前度量衡感測器及其光罩、用於最佳化光罩之方法及相關裝置
CN113348412B (zh) 波前传感器及相关量测装置
NL2022451A (en) Wavefront sensor and associated metrology apparatus

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant