CN111095114B - 对准互补衍射图案对的方法及相关联的量测方法和装置 - Google Patents

对准互补衍射图案对的方法及相关联的量测方法和装置 Download PDF

Info

Publication number
CN111095114B
CN111095114B CN201880059407.8A CN201880059407A CN111095114B CN 111095114 B CN111095114 B CN 111095114B CN 201880059407 A CN201880059407 A CN 201880059407A CN 111095114 B CN111095114 B CN 111095114B
Authority
CN
China
Prior art keywords
diffraction pattern
complementary
complementary diffraction
diffraction
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880059407.8A
Other languages
English (en)
Other versions
CN111095114A (zh
Inventor
N·盖佩恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN111095114A publication Critical patent/CN111095114A/zh
Application granted granted Critical
Publication of CN111095114B publication Critical patent/CN111095114B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

公开了一种对准互补衍射图案对的方法,该互补衍射图案对包括第一互补衍射图案和第二互补衍射图案,该互补衍射图案对通过对由光刻过程形成的结构执行量测过程而获得。该方法包括:至少执行精细对准阶段,以对准互补衍射图案对。对准阶段包括:对检测器区域的至少一部分上的第一互补衍射图案的测量值进行内插;以及通过第二互补衍射图案的平移和旋转中的一者或两者,使第二互补衍射图案中的测量值与来自第一互补衍射图案的内插的对应内插值之间的残差最小化。还公开了一种使用对准方法来测量结构的感兴趣参数的方法及相关联的量测装置。

Description

对准互补衍射图案对的方法及相关联的量测方法和装置
相关申请的交叉引用
本申请要求于2017年9月13日提交的EP申请17190810.6的优先权,其全部内容通过引用并入本文。
技术领域
本发明涉及可用于例如通过光刻技术进行设备制造的量测方法和装置,并且涉及使用光刻技术制造设备的方法。
背景技术
光刻装置是将期望图案施加到衬底上的机器。光刻装置可以用于例如制造集成电路(IC)。光刻装置可以例如将图案化设备(例如,掩模)处的图案(通常也称为“设计布局”或“设计”)投影到设置在衬底(例如,晶片)上的辐射敏感材料(抗蚀剂)层上。
为了将图案投影在衬底上,光刻装置可以使用电磁辐射。该辐射的波长确定可以在衬底上形成的特征的最小尺寸。当前使用的典型波长是365nm(i线)、248nm、193nm和13.5nm。与使用例如波长为193nm的辐射的光刻装置相比,使用波长在范围4nm至20nm内(例如,6.7nm或13.5nm)的极紫外(EUV)辐射的光刻装置可以用于在衬底上形成更小的特征。
低k1光刻可以用于处理尺寸小于光刻装置的经典分辨率极限的特征。在这种过程中,分辨率公式可以表示为CD=k1×λ/NA,其中λ是采用的辐射的波长,NA是光刻装置中投影光学元件的数值孔径,CD是“临界尺寸”(通常为印刷的最小特征尺寸,但在这种情况下为半节距),而k1是经验分辨率因子。一般而言,k1越小,在衬底上再现与电路设计者为了实现特定电气功能和性能所规划的形状和尺寸类似的图案就越困难。为了克服这些难题,复杂的微调步骤可以应用于光刻投影装置和/或设计布局。这些包括例如但不限于NA的优化、定制照射方案、使用相移图案化设备、诸如设计布局中的光学邻近校正(OPC,有时也称为“光学和过程校正”)之类的设计布局的各种优化、或通常定义为“分辨率增强技术”(RET)的其他方法。可替代地,用于控制光刻装置的稳定性的严密控制环路可以用于改善图案在低k1下的再现。
在已知量测技术中,通过在一定条件下测量目标两次,同时旋转目标或改变照射模式或成像模式以分别获得-1衍射阶强度和+1衍射阶强度,来获得重叠测量结果。给定目标的强度非对称性(对这些衍射阶强度的比较)提供了目标非对称性(也就是说,目标中的非对称性)的测量。目标中的这种非对称性可以用作重叠(两个层的非期望的未对准)的指征。
在使用可见测量辐射产生目标的暗场图像的一些量测装置中,通常根据在感兴趣区域上平均的强度值来确定强度非对称性。然而,尤其是当测量辐射包括多于一个波长时,这对于使用EUV测量辐射的较新的量测装置和具有低数值孔径的投影光学元件而言不切实际。在诸如此类的量测工具上对测量信号求平均意味着衍射阶中包含的信息将被平均掉,并且因此丢失。测量多个波长以增加对感兴趣参数的灵敏度,并且通过对所有波长求平均,该灵敏度显著减小。然而,对于诸如此类的量测装置,检测器上往往存在显著强度梯度。显著强度梯度意味着测量衍射图案的精确对准(例如,子像素对准)是关键的,使得可以在子像素的基础上比较强度值。
发明内容
公开了一种对准衍射图案以进行子像素比较的改进方法。
在本发明的第一方面中,提供一种对准互补衍射图案对的方法,该互补衍射图案对包括第一互补衍射图案和第二互补衍射图案,所述互补衍射图案对通过对由光刻过程形成的结构执行量测过程获得。该方法包括:至少执行精细对准阶段以对准该互补衍射图案对,其中该精细对准阶段包括:对检测器区域的至少一部分上的第一互补衍射图案的测量值进行内插;以及通过第二互补衍射图案的平移和旋转中的一者或两者,使第二互补衍射图案中的测量值与来自第一互补衍射图案的内插的对应内插值之间的残差最小化。
在本发明的第二方面中,提供了一种测量在光刻过程中形成在衬底上的结构的感兴趣参数的方法,该方法包括:使用测量辐射照射该结构;检测由该结构对测量辐射进行衍射之后的互补衍射阶对,以获得包括第一互补衍射图案和第二互补衍射图案的互补衍射图案对;通过执行第一方面的方法对准互补衍射图案对;以及通过比较一个或多个对准的测量值对,来确定感兴趣参数的值,每对测量值包括第一互补衍射图案的所述测量值中的一个测量值和第二互补衍射图案的所述测量值中的一个测量值。
在本发明的第三方面中,提供了一种量测装置,包括用于衬底的支撑件,所述衬底具有使用光刻过程在其上形成的结构;光学系统,用于使用测量辐射照射所述结构;检测器,其用于检测由结构散射的测量辐射;以及处理器,其被配置为执行第一方面的方法和/或控制量测装置执行第二方面的方法。
附图说明
现在仅通过示例参考所附示意图,对本发明的实施例进行描述,其中:
-图1描绘了光刻装置的示意性概图;
-图2描绘了光刻单元的示意性概图;
-图3描绘了代表优化半导体制造的三种关键技术之间的配合的整体光刻的示意表示;
-图4示意性地描绘了使用EUV辐射的量测方法;
-图5示意性地描绘了根据本发明的一个实施例的EUV量测设备;
-图6描绘了多光栅目标的已知形式和衬底上的测量斑的轮廓;
-图7是描述了根据本发明的一个实施例的对准从执行对光刻过程中形成的结构的量测过程所获得的互补图像的方法的流程图;
-图8(a)至图8(c)概念性地图示了图7的流程图中所描绘的粗略对准阶段的步骤;以及
-图9(a)至图9(c)概念性地图示了图7的流程图中所描绘的精细对准阶段的步骤。
具体实施方式
在本文件中,术语“辐射”和“光束”用于涵盖所有类型的电磁辐射,其包括紫外线辐射(例如,波长为365nm、248nm、193nm、157nm或126nm)和EUV辐射(极紫外辐射,例如,波长范围介于5nm和100nm之间)
上下文中所采用的术语“掩模版”,“掩模”或“图案化设备”可以广义地解释为是指可以用于使入射辐射束具有图案化横截面的通用图案化设备,该入射辐射束与要在衬底的目标部分中产生的图案相对应;在该上下文中还可以使用术语“光阀”。除了经典掩模(透射式掩模或反射式掩模;二元掩模、相移掩模、混合掩模等)以外,其他这种图案化设备的示例包括:
-可编程反射镜阵列。美国专利号5,296,891和5,523,193号给出了关于这种反射镜阵列的更多信息,这些专利通过引入并入本文。
-可编程LCD阵列。美国专利号5,229,872中给出了这种构造的示例,该专利通过引用并入本文。
图1示意性地描绘了光刻装置LA。该光刻装置LA包括照射系统(还称为照射器)IL,其被配置为调节辐射束B(例如,UV辐射、DUV辐射或EUV辐射);支撑结构(例如,掩模台)T,其被构造为支撑图案化设备(例如,掩模)MA并且连接到第一定位器PM,该第一定位器PM被配置为根据某些参数精确定位图案化设备MA;衬底台(例如,晶片台)WT,其被构造为保持衬底(例如,涂覆有抗蚀剂的晶片)W并且连接到第二定位器PW,该第二定位器PW被配置为根据某些参数精确定位衬底;以及投影系统(例如,折射投影透镜系统)PS,其被配置为将通过图案化设备MA赋予到辐射束B的图案投影到衬底W的目标部分C(例如,包括一个或多个裸片)上。
在操作中,照射器IL例如经由光束传递系统BD从辐射源SO接收辐射束。照射系统IL可以包括各种类型的光学部件,诸如折射的、反射的、磁性的、电磁的、静电的、或其他类型的光学部件、或其任何组合,用于引导、整形或控制辐射。照射器IL可以用于调节辐射束B以使其在图案化设备MA的平面处的横截面中具有期望空间强度分布和角强度分布。
本文中所使用的术语“投影系统”PS应当广义地解释为涵盖任何类型的投影系统,其视所使用的曝光辐射或其他因素(诸如使用浸没液体或使用真空)的情况而包括折射光学系统、反射光学系统、折反射光学系统、变形(anamorphic)光学系统、磁性光学系统、电磁光学系统和静电光学系统、或其任何组合。本文中术语“投影透镜”的任何使用可以被认为与更通用的术语“投影系统”PS同义。
光刻装置还可以是以下类型的光刻装置,其中衬底的至少一部分可以由具有相对较高的折射率的液体(例如,水)覆盖,使得填充投影系统和衬底之间的空间,其还称为浸没式光刻。通过引用并入本文的美国专利号6,952,253和PCT公开号WO99-49504中给出了关于浸没技术的更多信息。
光刻装置LA还可以是具有两个(双级)或更多个衬底台WT和/或例如两个或更多个支撑结构T(未示出)的类型的光刻装置。在这种“多级”机器中,可以并行使用附加的台/结构,或者可以在一个或多个台上执行准备步骤的同时,使用一个或多个其他台用于将图案化设备MA的设计布局曝光到衬底W上。
在操作中,辐射束B入射在图案化设备(例如,掩模MA)上,该图案化设备(例如,掩模MA)被保持在支撑结构(例如,掩模台T)上,并且通过图案化设备MA进行图案化。遍历掩模MA之后,辐射束B穿过投影系统PS,该投影系统PS将光束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如,干涉测量设备、线性编码器、2D编码器或电容传感器),可以精确移动衬底台WT,例如,以便将不同的目标部分C定位在辐射束B的路径中。同样,第一定位器PM和可能的另一位置传感器(其在图1中未明确描绘的)可以用于相对于辐射束B的路径精确定位掩模MA。掩模MA和衬底W可以使用掩模对准标记M1,M2和衬底对准标记P1,P2来对准。尽管如所图示的衬底对准标记占据了专用目标部分,但是它们可以位于目标部分(这些称为划道对准标记)之间的空间中。
如图2所示,光刻装置LA可以形成光刻系统LC的一部分,该光刻系统LC有时称为光刻单元或(光刻)簇。该光刻单元LC通常还包括对衬底W执行曝光前过程和曝光后过程的装置。传统上讲,这些装置包括沉积抗蚀剂层的旋涂器SC、使曝光的抗蚀剂显影的显影剂DE、激冷板CH和/或烘烤板BK,例如,用以调节衬底W的温度(例如,用于调节抗蚀剂层中的溶剂)。衬底处理器或机械人RO从输入/输出端口I/O1,I/O2拾取衬底W,在不同的过程装置之间移动它们,然后将衬底W传送到光刻装置LA的进料台LB。光刻单元中的这些设备经常还被统称为轨道,通常处于轨道控制单元TCU的控制下,该轨道控制单元TCU本身由监管控制系统SCS进行控制,该监管控制系统还例如经由光刻控制单元LACU控制光刻装置LA。
为了正确且一致地曝光由光刻装置LA曝光的衬底W,期望检查衬底以测量图案化结构的性质,诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)等。为此,检查工具(未示出)可以被包括在光刻单元LC中。如果检测到误差,可以例如对后续衬底的曝光或待在衬底W上执行的其他处理步骤进行调整,尤其地是在该检查是在要对相同批次或组的其他衬底W进行曝光或处理之前完成的情况下。
检查装置(其还可以称为量测装置)用于确定衬底W的性质,并且特别地,确定不同衬底W的性质如何变化或与同一衬底W的不同层相关联的性质如何逐层变化。可替代地,检查装置可以被构造为标识衬底W上的缺陷,并且可以例如是光刻单元LC的一部分,或可以集成到光刻装置LA中,或甚至可以是独立设备。检查装置可以测量潜像(曝光之后的抗蚀剂层中的图像)、或半潜像(曝光后烘烤步骤PEB之后的抗蚀剂层中的图像)、或显影的抗蚀剂图像(其中已经移除了抗蚀剂的曝光部分或未曝光部分)、或甚至(图案传递步骤(诸如蚀刻)之后的)蚀刻图像上的性质。
通常,光刻装置LA中的图案化过程是需要在衬底W上进行结构的高精度尺寸设计和放置的处理中的最关键步骤中的一个步骤。为了确保这种高精度,如图3所示意性地描绘的,三个系统可以组合成所谓的“整体”控制环境。这些系统中的一个系统是光刻装置LA,其(虚拟地)连接到量测工具MT(第二系统)和计算机系统CL(第三系统)。这种“整体”环境的关键是优化这三个系统之间的配合以增强整个过程窗口并且提供严密控制环路,以确保由光刻装置LA执行的图案化保持在过程窗口内。过程窗口定义了过程参数(例如,剂量、焦距和重叠)范围,在该过程参数范围内,特定制造过程产生了所限定的结果(例如,功能半导体设备),即,通常在该过程参数范围内,允许光刻过程或图案化过程中的过程参数变化。
计算机系统CL可以使用要图案化的设计布局(的一部分)来预测使用哪些分辨率增强技术,并且执行计算光刻模拟和计算以确定哪个掩模布局和光刻装置设置实现图案化过程的最大的总体过程窗口(在图3中,由第一标尺SC1中的双箭头描绘)。通常,分辨率增强技术被布置为匹配光刻装置LA的图案化可能性。计算机系统CL还可以用于检测光刻装置LA当前正在过程窗口内的哪个位置操作(例如,使用来自量测工具MT的输入),以预测缺陷是否可能由于例如次优处理而存在(在图3中,由第二标尺SC2中指向“0”的箭头描绘)。
量测工具MT可以向计算机系统CL提供输入以使得能够进行精确模拟和预测,并且可以向光刻装置LA提供反馈以例如在光刻装置LA的校准状态下标识可能的漂移(在图3中,由第三标尺SC3中的多个箭头描绘)。
在光刻过程中,期望频繁地测量所产生的结构,例如,用于进行过程控制和验证。进行这种测量的工具通常称为量测工具MT。已知用于进行这种测量的不同类型的量测工具MT,其包括扫描电子显微镜或各种形式的散射仪量测工具MT。散射仪是多功能仪器,其允许通过在散射仪的物镜的光瞳或与该光瞳共轭的平面上放置传感器(这些测量通常称为基于光瞳的测量)或通过在图像平面中或与该图像平面共轭的平面中放置传感器(在这种情况下,这些测量通常称为基于图像或场的测量)来测量光刻过程的参数。这种散射仪和相关联的测量技术在其全部内容通过引用而并入本文的美国专利申请US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1,628,164A中被进一步地描述。前述散射仪可以使用来自软X射线并且可见至近IR波长范围内的光线来测量光栅。
在第一实施例中,散射仪MT是角分辨散射仪。在这种散射仪中,重构方法可以应用于测量的信号以重构或计算光栅的性质。例如,这种重构可以根据散射辐射与目标结构的数学模型的模拟交互并且比较模拟结果与测量结果产生。对数学模型的参数进行调整,直到模拟交互产生与从实际目标观察到的衍射图案类似的衍射图案为止。
在第二实施例中,散射仪MT是光谱散射仪MT。在这种光谱散射仪MT中,由辐射源发射的辐射被引导到目标上,并且从目标反射或散射的辐射被引导到光谱仪检测器,该光谱仪检测器测量镜面反射辐射的光谱(即,测量作为波长的函数的强度)。根据该数据,可以例如通过严格耦合波分析和非线性回归或者通过与模拟光谱库进行比较来重构产生所检测的光谱的目标的结构或轮廓。
在第三实施例中,散射仪MT是椭偏散射仪。该椭偏散射仪允许通过测量每个偏振态的散射辐射来确定光刻过程的参数。这种量测装置通过在量测装置的照射部分中使用例如适当的偏振滤光片来发射偏振光(诸如线性偏振光、圆偏振光或椭圆偏振光)。适用于量测装置的光源也可以提供偏振辐射。在全部内容通过引用而并入本文的美国专利申请11/451,599、11/708,678、12/256,780、12/486,449、12/920,968、12/922,587、13/000,229、13/033,135、13/533,110和13/891,410中对现有椭偏散射仪的各种实施例进行了描述。
EUV光谱反射测量
图4图示了EUV量测方法,而图5图示了EUV量测装置300。该装置可以用作用于测量在图1的制造系统中处理的衬底W的参数的EUV量测装置244的示例。EUV量测装置所使用的测量辐射可以包括0.1nm至100nm波长范围内的辐射,或可选地包括1nm至100nm波长范围内的辐射,或可选地包括1nm至50nm的波长范围内的辐射,或可选地包括10nm至20nm波长范围内的辐射。
在图4中,目标T示意性地被表示为包括位于球面参考系的原点处的一维光栅结构。相对于目标对轴X,Y和Z进行定义。(当然,原则上可以定义任何任意坐标系,并且每个部件可以具有自己的本地参考系,其可以相对于所示的本地参考系进行定义。)目标结构的周期性D的方向与X轴对准。该图不是真正的透视图,而只是示意图。X-Y平面是目标和衬底的平面,并且为了清楚起见,被示为朝观察者倾斜,该X-Y平面由圆302的斜视图表示。Z方向定义了垂直于衬底的方向N。在图4中,入射光线中的一个入射光线被标记为304,并且具有掠入射角α。在该示例中,入射射线304(以及形成辐射斑S的所有入射射线)基本上位于平行于X-Z平面的平面中,该平面是由方向D和N限定并且由圆306表示的平面。未被目标T的周期性结构散射的反射射线308以仰角α朝向图中的目标的右侧射出。
为了执行光谱反射测量,射线308和其他反射射线分解为包括不同波长的射线的光谱310。该光谱可以例如使用掠入射衍射光栅312产生。该光谱由光谱检测器313检测。该光谱检测器313——可以例如是具有像素阵列的CCD图像检测器——用于将光谱变换为电信号,并且最后变换为数字数据,以供分析。
除了光谱310之外,可以使用衍射阶检测器350来检测较高(非零)衍射阶352(例如,至少+1阶和-1阶,以及可能地,其他较高阶)。虽然本文中示出了一个衍射阶检测器350,但是可以使用一个以上的较高阶检测器;例如,针对+1阶使用第一较高阶检测器,以及针对-1阶使用第二较高阶检测器。衍射阶检测器350可以例如是具有像素阵列的CCD图像检测器。
在实际系统中,辐射304的光谱可能受制于时间变化,其可能干扰分析。为了针对这些变化对检测的光谱310和/或较高衍射阶352进行归一化,参考光谱由参考光谱检测器314捕获。为了产生参考光谱,源辐射316由另一衍射光栅318衍射。光栅318的零阶反射射线形成入射射线304,而光栅318的一阶衍射射线320形成由参考光谱检测器314检测的参考光谱。获得表示该参考光谱的电信号和数据以用于分析。
根据对于入射角α的一个或多个值获得的测量光谱310和/或较高衍射阶352,可以以下文所进一步描述的方式计算目标结构T的性质的测量。
转到图5,提供了这样的EUV量测装置300,其用于通过图4的方法测量衬底W上形成的量测目标T的性质。示意性地表示了各种硬件部件。相关技术人员根据众所周知的设计原理通过混合应用现有部件和专门设计的部件来执行这些部件的实际实现方式。提供了这样的支撑件(未详细示出),其用于将衬底相对于要描述的其他部件保持在期望位置和方位上。辐射源330向照射系统332提供辐射。照射系统332提供由射线304表示的EUV测量辐射束,其在目标T上形成聚焦辐射斑。照射系统332还向参考光谱检测器314提供参考光谱320。部件312,313等可以方便地视为频谱检测系统333。
在该示例中,衬底W安装在具有定位系统334的可移动支撑件上,使得可以调整射线304的入射角α和/或可以调整衬底W的x,y,z位置。在该示例中,为了方便起见,选择使衬底W倾斜以改变入射角,同时使光源330和照射系统332保持静止。为了捕获反射射线308,检测系统333设有另一可移动支撑件336,使得其相对于静止照射系统移动通过角度2α,或者相对于衬底移动通过角度α。如所示出的,在反射测量的掠入射方案中,方便的是通过参考衬底的平面来定义入射角α。当然,该入射角α可以等同地定义为入射射线I的入射方向和垂直于衬底的方向N之间的角度。
提供了未示出的这样的附加致动器,其用于将每个目标T置于辐射的聚焦斑S所在的位置。(换一种方式看,用于将斑置于目标所在的位置。)在实际应用中,可以在单个衬底上测量一连串的个体目标或目标位置,并且还在一连串的衬底上测量一连串的各个目标或目标位置。原则上,在照射系统和检测器313,350保持静止不动的同时衬底和目标是否被移动并且重新定向,或在照射系统和检测器313,350移动的同时衬底是否保持静止,或相对移动的不同部件是否由这些技术的组合来实现,是无关紧要的。本公开涵盖所有的这些变型。
如已经参照图4所描述的,在由目标T和衬底W反射的辐射撞击在光谱检测器313上之前,其被分为波长不同的射线的光谱310。光谱检测器313和/或衍射阶检测器350包括例如位置敏感EUV检测器,其通常是检测器元件阵列。在每种情况下,该阵列可以是线性阵列,但是在实践中,可以提供二维元件(像素)阵列。光谱检测器313和/或衍射阶检测器350可以是例如CCD(电荷耦合器件)图像传感器。
处理器340从检测器350,313和314接收信号。特别地,来自光谱检测器313的信号ST表示目标光谱,来自衍射阶检测器350的信号SF表示较高阶衍射图案,并且来自检测器314的信号SR表示参考光谱。处理器340可以从目标光谱减去参考光谱以获得针对源光谱的变化进行归一化的目标的反射光谱。在处理器中,针对一个或多个入射角的所得反射光谱被用于计算目标的性质(例如,CD或重叠)的测量。同样,处理器340可以从较高衍射阶图案(光谱)352中减去参考光谱,以获得针对光源光谱的变化进行归一化的较高阶衍射图案。可以在强度非对称性测量中比较这些较高衍射阶图案352,以计算目标的性质(例如,重叠或聚焦)的测量。
在实践中,可以在一系列短脉冲中提供来源330的辐射,并且对于每个脉冲,可以一起捕获信号SR和ST。在每个单独脉冲的差异信号被聚集到针对该目标在该入射角处的总的反射光谱中之前,对差异信号进行计算。这样,脉冲之间的源光谱的不稳定性得到了校正。脉冲速率可能是每秒数千甚至数万(赫兹)。被聚集以测量一个反射光谱的脉冲的数目可以是例如数十或数百个。即使有这么多脉冲,物理测量也只要一秒钟的一定比例的时间。
将该EUV光谱反射测量应用于半导体制造中的量测,可以使用小光栅量测目标。使用探测器350,313和314捕获多个衍射光谱,同时将掠入射角α设置为各种不同的值。使用由频谱检测器313检测的光谱和目标结构的数学模型,可以执行重构计算以获得对CD和/或其他感兴趣参数的测量。可替代地或除此之外,可以比较由衍射阶检测器350检测的互补的较高衍射阶,以根据目标性质确定目标结构中的非对称性,并且因此确定一个或多个相关的感兴趣参数,诸如重叠、聚焦或剂量。
在散射仪MT的一个实施例中,散射仪MT适于通过测量反射光谱和/或检测配置中的非对称性来测量两个未对准光栅或周期性结构的重叠,该非对称性与重叠程度有关。两个(通常,重叠的)光栅结构可以应用于两个不同的层(不一定是连续层)中,并且可以基本上形成在晶片上的同一位置处。散射仪可以具有例如在共同拥有的专利申请EP1,628,164A中所描述的对称检测配置,使得任何非对称性是明显可区分的。这提供了一种测量光栅中未对准的简单直接方式。在通过周期性结构的非对称性测量目标时测量包含周期性结构的两个层之间的重叠误差的其他示例,可以在全部内容通过引用而并入本文的PCT专利申请公开号WO 2011/012624或美国专利申请US 20160161863中找到。
图6示出了诸如可以用于测量重叠的衬底W上的示例性量测目标T。目标T可以包括复合光栅或子目标32,33,34,35的系综(ensemble),其通过光刻过程大部分形成在抗蚀剂中,但也可以例如在蚀刻过程之后形成。对于重叠应用,子目标32,33,34,35可以是形成在晶片上同一位置的不同层,但不一定是连续的层中的一对类似光栅(相同节距、CD、SWA等)。量测装置将测量这两个重叠光栅之间的未对准,其称为重叠测量。在一个实施例中,目标T可以被设计为用于使用合适的散射仪进行暗场测量。通常,使得暗场目标小于可用照射斑31(典型目标为5x5平方微米,而照射斑的直径为35微米)。如此,存在的足够的空间以使用可以同时被测量的多个重叠子目标32,33,34,35,从而允许测量多个功能。如所示出的,子目标32,33,34,35的方位可以不同,以便沿X方向和Y方向衍射入射辐射。在所图示的特定示例中,子目标32和34是分别偏置为+d,-d的X方向子目标,并且子目标33和35是偏置分别为+d和-d的Y方向子目标。可替代地,仅一个方向上的测量可能仅需要一半的子目标,即,仅与该方向相对应的子目标。虽然图示了四个子目标,但是另一实施例可以包括获得期望精度的较大矩阵。例如,九个复合子目标的3×3阵列可能具有偏置-4d,-3d,-2d,-d,0,+d,+2d,+3d,+4d。可以在检测系统所捕获的图像中标识这些子目标的单独图像。
在一个实施例中,然后,可以确定目标的非对称性,并且因此确定例如重叠。这可以使用图像处理器和控制器,通过比较每个周期性结构32-35的+1阶和-1阶(或其他互补较高阶)所获得的强度值以识别其强度的任何差异(即,强度非对称性)来完成。术语“差异”并不旨在仅是指减法。差异可以以比例形式或总和形式计算。多个周期性结构的所测量的强度非对称性与(如果适用)这些周期性结构的重叠偏置的知识一起被用于计算目标T附近的光刻过程的一个或多个性能参数。感兴趣的性能参数是重叠。可以计算光刻过程的其他性能参数,诸如焦距和/或剂量。可以反馈一个或多个性能参数以改进光刻过程,例如用于改善散射仪本身的测量和计算过程并且用于改善目标T的设计。
更具体地,利用例如全部内容通过引用而并入本文的PCT专利申请公开号WO2011/012624或美国专利申请US 20160161863所描述的方法,可以通过包括以下步骤的方法测量包含子目标32至35的两个层之间的重叠。在初始步骤中,通过图2的光刻单元对衬底(例如,半导体晶片)处理一次或多次,以产生包括目标的结构,该目标包括周期性结构32-35。在下一步骤中,使用一阶衍射光束中的一个一阶衍射光束(如,-1)从周期性结构32-35获得第一衍射图案。在一个实施例中,使用第一照射模式。然后,无论是例如通过改变照射模式,或改变成像模式,还是通过在量测装置的视场中将衬底W旋转180°,都使用另一个一阶衍射光束+1从周期性结构获得第二衍射图案。因此,在第二图像中捕获了+1衍射辐射。在一个实施例中,改变照射模式并且使用第二照射模式。在一个实施例中,可以通过在0°和180°衬底方位上进行测量来去除如TIS(工具诱致移位)之类的工具诱致伪像。然后,例如,通过计算每个子目标的衍射图案内的强度水平的差异来比较第一衍射图案和第二衍射图案。
重叠量测中的重要考虑是从目标散射的光线不仅包含重叠信息,而且还包含关于过程诱致结构非对称程度的信息。过程诱致结构非对称性的贡献通常被认为不利于精确的感兴趣测量,诸如重叠。全部内容通过引用而并入本文的美国专利申请15/133,866、14/906,896、13/799,673、13/181,932、62/420,375包括区分对测量强度的过程诱致非对称性贡献的方法。
在等式项中,在没有过程诱致非对称性贡献的情况下,假设重叠OVE和强度非对称性A之间的关系如下:
A±d=K1sin(OVE±d) (1)
其中重叠OVE以刻度表示,使得目标节距P与角度2π弧度相对应。如前所述,通过使用具有不同已知偏置(例如,+d和–d)的光栅的两个测量,可以使用以下等式计算重叠OVE
Figure BDA0002409528880000141
引入过程诱致非对称性贡献(例如,底部光栅非对称性)的第一效应是等式(1)的‘理想’正弦曲线不再适用。然而,至少近似地,底部光栅非对称性或其他过程诱致非对称性具有向强度非对称性A±d添加强度移位项K0和相移项φ的效应。该强度移位项K0和相移项φ取决于目标和测量辐射的选择特性(诸如测量辐射的波长和/或偏振和/或入射角)的组合,并且对过程变化敏感。在等式项中,用于计算重叠的关系变为:
A±d=K0+K1sin(OVE±d+φ) (3)
其他方法可能会发现足以忽略相移项φ而仅校正强度移位项K0。无论如何,现在要计算重叠OVE都需要对例如具有比如不同偏置的附加子目标进行附加测量和/或使用不同特性的测量辐射,从而可以消除或校正一个或多个这些附加项。使用附加子目标并没有受到关注,因为它需要更多掩模版/衬底区域以用于附加的子目标。因此,诸如已经关于图6所描述的量测装置之类的量测装置可以适于使用具有多于一个特性(例如,具有不同的波长和/或偏振和/或入射角)的测量辐射来测量目标。这种装置可能能够通过具有不同特性的测量辐射(例如,使用宽带或多波长测量辐射)同时进行多次测量,从而减少测量时间。
在诸如前述较早专利申请中所描述的暗场量测装置之类的暗场量测装置中,使用每个子目标的感兴趣区域上的平均强度值来执行强度非对称性测量。这对于非EUV(例如,可见)波长测量辐射具有实践意义,特别是当一次使用单个波长的这种辐射进行测量时。然而,当使用EUV测量辐射,特别是多个波长的EUV测量辐射时,在感兴趣区域上对强度进行求平均变得不切实际。EUV量测设备内的投影光学元件将具有低数值孔径(NA),这往往会在检测的图像上产生较大强度梯度,并且因此产生大的像素到像素的强度变化。附加地,不同波长的衍射阶仅分开少量,并且因此将叠加(例如,具有叠加的高斯尾部)。
因此,应当使被比较的两个互补衍射图案(来自对应较高阶)例如在子像素精度内非常精确对准。理想情况下,对准应当在像素的百分之一的数量级内是准确的。然后,可以基于子像素对两个图像中的对应像素进行比较。如此,提出了一种对准图像的方法
公开了一种互补图像对或衍射图案对的对准方法,该互补图像对或衍射图案对包括第一互补图像或第一互补衍射图案(例如,正衍射阶的第一互补图像或第一互补衍射图案,其例如由衍射阶检测器350测量)和第二互补图像或第二互补衍射图案(例如,负衍射阶的第二互补图像或第二互补衍射图案,其例如如由衍射阶检测器350测量),其中所述互补衍射图案对通过对在光刻过程中形成的结构执行量测过程获得。该方法包括:执行粗略对准阶段,以确定该互补衍射图案对的方位轴(例如,通过主分量分析(PCA)),并且使该互补衍射图案对在像素精度或更高精度内对准;以及执行精细对准阶段,以使该互补衍射图案对在子像素精度内对准。
精细对准阶段可以包括针对互补衍射图案中的一个互补衍射图案(例如,第一互补衍射图案),对检测器上的强度变化进行内插,在第二互补衍射图案的采样点中的每个采样点处计算两个互补衍射图案之间的对准误差,以及使用非线性求解器通过旋转和/或平移第二互补衍射图案来使该误差最小化。现在,结合图7、图8和图9对所提出的方法进行更详细的描述。
图7是所提出的方法的流程图,而图8从概念上图示了该方法的粗略对准阶段。首先,参考图7,粗略对准阶段700开始于步骤705,即,采集两个互补衍射图案,例如通过照射结构来对结构执行测量并且通过检测互补较高衍射阶。图8(a)将步骤705的结果示出为在检测器上检测到的两个互补衍射图案800a,800b。在该示例中,第一互补衍射图案800a与-1衍射阶图案的衍射图案相对应,第二互补衍射图案800b与+1衍射阶图案的衍射图案相对应。互补衍射图案800a,800b中的每个互补衍射图案包括在检测器区域上的感兴趣区域内(由每个阴影椭圆形表示)的多个检测强度值。
在步骤710处,对互补衍射图案中的一个互补衍射图案(在该示例中为第二互补衍射图案800b)关于像素网格进行镜像(因为互补衍射图案800a,800b来自相对阶,因此彼此成镜像)。此后,在步骤715处,确定两个互补衍射图案800a,800b的方位轴。可以使用PCA执行步骤715。更具体地,对于每个衍射图案800a,800b,可以计算其质心,并且基于衍射图案矩(例如,二阶中心矩)来构造协方差矩阵。这种协方差矩阵的特征向量与衍射图案强度的长轴和短轴相对应,因此可以确定每个衍射图案的方位轴。图8(b)中图示了该步骤的结果,其示出了已经被镜像的第二互补衍射图案800b,并且示出了每个互补衍射图案800a,800b的方位轴。
步骤720和725包括:分别将互补衍射图案800a,800b中的一个互补衍射图案旋转和平移到另一互补衍射图案上。步骤720和725的次序并不重要。通过已知方位轴,步骤720和725非常易于执行以达到大约单个像素精度。图8(c)在概念上图示了这些步骤720,725,其中互补衍射图案800b已经旋转到与互补衍射图案800a的方位相同的方位,并且箭头表示平移步骤725。
为了实现必要的子像素精度,该方法然后进行精细对准阶段730,其将结合图9进行描述。在步骤735处,通过互补衍射图案中的一个互补衍射图案(在该示例中为第一互补衍射图案800a)的强度值执行内插。这在图9(a)中表示,该图9(a)包括对于第一互补衍射图案800a而言的在检测器上的强度与像素位置的第一绘图(标记为-1),其中内插示为线910。此外,示出了对于第二互补衍射图案800b而言的在检测器上的强度与像素位置的第二绘图(标记为+1)。当然,将有比本文中所图示的数个采样点多得多的采样点。
在步骤740处,计算非内插衍射图案(第二互补衍射图案800b)的每个采样点相对于第一互补衍射图案800a的内插910之间的对准误差Er。如此,对准误差Er可以包括在采样点处的第二互补衍射图案800b的测量强度与根据第一互补衍射图案800a的内插910在对应点处的估计强度之间的残差。图9(b)图示了步骤740,其示出了图9(a)在相同轴上的绘图,并且示出了第二互补衍射图案800b的采样点中的一个采样点的对准误差Er。第一互补衍射图案800a的采样点以没有阴影的形式示出,而第二互补衍射图案800b的采样点以阴影示出。
在步骤745处,非线性求解器被用于仅使用非内插衍射图案(即,第二互补衍射图案800b)的平移和/或旋转,(例如,迭代地)使该对准误差最小。图9(c)中示出了步骤745的结果,其示出了位于相同内插曲线910上的两个互补衍射图案800a,800b的采样点。当然,强度非对称性测量中的测量原理(诸如基于衍射的重叠)依赖于以下事实:互补衍射图案800a,800b之间存在可测量的强度差异,而从该强度差异可以确定重叠(或其他感兴趣参数)。如此,互补衍射图案800a,800b之间始终存在残差。然而,当该残差(对准误差)最小时,衍射图案对准最佳。
虽然上文就来自+1衍射阶和-1衍射阶的对准衍射图案对该方法进行了描述,但是其不限于此。可以使用其他互补较高衍射阶(例如,+2/-2阶、+3/-3阶等)。基本衍射图案对准方法还可以用于使由衍射阶检测器350或光谱检测器313测量的检测的衍射图案或图像(例如,零阶或较高阶)与检测的对应参考衍射图案(例如,如使用图4和图5的参考检测器314所检测到的)对准。在这种应用中,该方法可能在若干个实施细节上有所不同(例如,可能不必对检测的衍射图案或光谱中的一个衍射图案或光谱进行镜像)。然而,因为预期有由于目标T上的散射而导致的较大波长强度变化,所以以这种方式对准参考光谱和测量光谱可能会更加不准确。
应当领会,虽然图9所图示的示例示出了一维内插,但是本文中所描述的方法也适用于二维示例。例如,代替如所图示的一维绘图,可以针对衍射图案800a,800b中的一个衍射图案,获得内插的强度表面。然后,针对强度表面的每个对应的x,y坐标,该误差将以所描述的方式使用非线性求解器,通过旋转和/或平移另一衍射图案(最有可能沿着子像素距离)来最小化。
一旦互补衍射图案800a,800b对准,它们就可以用于确定感兴趣参数(例如,重叠、聚焦或剂量)。在使用多个波长测量辐射的情况下,可以根据单一的衍射图案对(例如,单一的测量或采集)获得多个强度非对称性测量。在这种实施例中,计算来自衍射图案的不同对准像素(或子像素)对中的每个对准像素(或子像素)对的强度差,每个对准像素对与不同的波长相对应。这样,例如,在消除、抑制和/或计算过程诱致非对称性对测量的影响的同时,可以从单个采集中确定重叠。
在后续编号条款中公开了更多个实施例:
1.一种对准互补衍射图案对的方法,该互补衍射图案对包括第一互补衍射图案和第二互补衍射图案,该互补衍射图案对通过对由光刻过程形成的结构执行量测过程获得;
该方法包括:至少执行精细对准阶段,以对准互补衍射图案对,其中精细对准阶段包括:
-对检测器区域的至少一部分上的第一互补衍射图案的测量值进行内插;以及
-通过第二互补衍射图案的平移和旋转中的一者或两者,使第二互补衍射图案中的测量值与来自第一互补衍射图案的内插的对应内插值之间的残差最小化。
2.根据条款1所述的方法,其中精细对准阶段使互补衍射图案对在子像素精度内对准互补衍射图案对。
3.根据条款1或2所述的方法,包括:使用非线性求解器来使所述残差最小化。
4.根据任一前述条款所述的方法,其中使残差最小化的步骤包括:计算第二互补衍射图案中的测量值与来自第一互补衍射图案的内插的对应内插值之间的残差。
5.根据任一前述条款所述的方法,其中迭代地执行使残差最小化的步骤。
6.根据任一前述条款所述的方法,包括:在执行所述精细对准阶段之前,执行粗略对准阶段。
7.根据条款6所述的方法,其中粗略对准阶段包括:确定互补衍射图案对中的每个互补衍射图案的方位轴。
8.根据条款7所述的方法,其中使用主分量分析执行方位轴的所述确定。
9.根据条款6,7或8所述的方法,其中粗略对准阶段还包括旋转和/或平移步骤,其使第一互补衍射图案和第二互补衍射图案对准。
10.根据条款9所述的方法,包括初始步骤,即,对来自所述量测过程的检测的衍射图案进行镜像,使得所述第一互补衍射图案或第二互补衍射图案中的一个互补衍射图案是初始检测到的衍射图案的镜像衍射图案。
11.根据条款9或10所述的方法,包括:通过比较一个或多个对准测量值对,来确定由光刻过程形成的所述结构的感兴趣参数的值,每个对准测量值对包括第一互补衍射图案的所述测量值中的一个测量值和第二互补衍射图案的所述测量值中的一个测量值。
12.根据条款11所述的方法,其中所述感兴趣参数包括以下各项中的一项:重叠、聚焦或剂量。
13.根据条款1至12所述的方法,其中互补衍射图案对包括在所述量测过程中由所述结构对测量辐射进行衍射之后检测的衍射图案、以及用于对检测的衍射图案进行归一化的参考衍射图案。
14.根据任一前述条款所述的方法,其中第一互补衍射图案的所述测量值和第二互补衍射图案的所述测量值各自包括强度值。
15.根据任一前述条款所述的方法,其中第一互补衍射图案和第二互补衍射图案各自从所述量测过程中由所述结构对测量辐射进行衍射之后的互补较高衍射阶获得。
16.一种在光刻过程中形成在衬底上的结构的感兴趣参数的测量方法,包括:
使用测量辐射照射结构;
在由结构对测量辐射进行衍射之后,检测互补衍射阶对,从而获得包括第一互补衍射图案和第二互补衍射图案的互补衍射图案对;
通过执行根据条款1至15中任一项所述的方法对准互补衍射图案对;以及
通过比较一个或多个对准测量值对,来确定感兴趣参数的值,每个测量值对包括第一互补衍射图案的所述测量值中的一个测量值和第二互补衍射图案的所述测量值中的一个测量值。
17.根据条款16所述的方法,其中所述感兴趣参数包括以下各项中的一项::重叠、聚焦或剂量。
18.根据条款16或17所述的方法,其中测量辐射包括在1nm至50nm的波长范围内的辐射。
19.根据条款16,17或18所述的方法,其中测量辐射包括不同波长的辐射。
20.一种量测装置,包括:
用于衬底的支撑件,所述衬底具有使用光刻过程而形成于其上的结构;
光学系统,其用于使用测量辐射照射所述结构;
检测器,其用于检测由结构散射的测量辐射;以及
处理器,其被配置为执行根据条款1至15中任一项的方法和/或控制量测装置以执行根据条款16至19中任一项的方法。
21.根据条款20所述的量测装置,其中测量辐射包括在1nm至50nm的波长范围内的辐射。
22.根据条款20或21所述的量测装置,其中测量辐射包括不同波长的辐射。
23.一种计算机程序产品,包括其上记录有指令的非暂态计算机可读介质,该指令当由计算机执行时执行根据条款1至19中任一项所述的方法
尽管在本文中可能具体参考了光刻装置在IC的制造中的使用,但是应当理解,本文中所描述的光刻装置可以具有其他应用。其他可能应用包括集成光学系统的制造、用于磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等。
尽管本文中可能在光刻装置的上下文中具体参考了本发明的实施例,但是本发明的实施例可以用于其他装置。本发明的实施例可以形成掩模检查装置、量测装置、或测量或处理诸如晶片(或其他衬底)或掩模(或其他图案化设备)之类的物体的任何装置的一部分。这些装置通常可以称为光刻工具。这种光刻工具可以使用真空条件或环境(非真空)条件。
尽管上文已经在光学光刻的上下文中具体参考了本发明的实施例的使用,但是将会理解,在上下文允许的情况下,本发明不限于光学光刻,并且可以用于其他应用,例如,压印光刻。
虽然上文已经描述了本发明的特定实施例,但是将会理解,本发明可以以不同于所描述的方式来实践。上文的描述旨在是说明性的,而非限制性的。因此,对于本领域的技术人员而言,将是显而易见的是,在不背离下文所阐述的权利要求的范围的情况下,可以对所描述的本发明进行修改。

Claims (15)

1.一种对准互补衍射图案对的方法,所述互补衍射图案对包括第一互补衍射图案和第二互补衍射图案,所述互补衍射图案对通过对由光刻过程形成的结构执行量测过程而获得;
所述方法包括:至少执行精细对准阶段,以对准所述互补衍射图案对,
其中所述精细对准阶段包括:
-对检测器区域的至少一部分上的所述第一互补衍射图案的测量值进行内插;以及
-通过所述第二互补衍射图案的平移和旋转中的一者或两者,使所述第二互补衍射图案中的测量值与来自所述第一互补衍射图案的所述内插的对应内插值之间的残差最小化。
2.根据权利要求1所述的方法,其中所述精细对准阶段使所述互补衍射图案对在子像素精度内对准。
3.根据权利要求1或2所述的方法,包括:使用非线性求解器来使所述残差最小化。
4.根据权利要求1或2所述的方法,其中使残差最小化的步骤包括:计算所述第二互补衍射图案中的测量值与来自所述第一互补衍射图案的所述内插的对应内插值之间的所述残差。
5.根据权利要求1或2所述的方法,其中迭代地执行使残差最小化的步骤。
6.根据权利要求1或2所述的方法,包括:在执行所述精细对准阶段之前,执行粗略对准阶段。
7.根据权利要求6所述的方法,其中所述粗略对准阶段包括:确定所述互补衍射图案对中的每个互补衍射图案的方位轴。
8.根据权利要求7所述的方法,其中所述粗略对准阶段还包括旋转和/或平移步骤,所述旋转和/或平移步骤使所述第一互补衍射图案和所述第二互补衍射图案对准。
9.根据权利要求8所述的方法,包括初始步骤,即,对来自所述量测过程的检测的衍射图案进行镜像,使得所述第一互补衍射图案或所述第二互补衍射图案中的一个互补衍射图案是初始检测到的所述衍射图案的镜像衍射图案。
10.根据权利要求8或9所述的方法,包括:通过比较一个或多个对准的测量值对,来确定由所述光刻过程形成的所述结构的感兴趣参数的值,每个对准的测量值对包括所述第一互补衍射图案的所述测量值中的一个测量值和所述第二互补衍射图案的所述测量值中的一个测量值。
11.根据权利要求1、2、7、8和9中任一项所述的方法,其中所述互补衍射图案对包括在所述量测过程中由所述结构对测量辐射进行衍射之后的所检测的衍射图案、以及用于对所检测的衍射图案进行归一化的参考衍射图案。
12.根据权利要求1、2、7、8和9中任一项所述的方法,其中所述第一互补衍射图案的所述测量值和所述第二互补衍射图案的所述测量值各自包括强度值。
13.根据权利要求1、2、7、8和9中任一项所述的方法,其中所述第一互补衍射图案和所述第二互补衍射图案各自从在所述量测过程中由所述结构对测量辐射进行衍射之后的互补较高衍射阶获得。
14.一种测量在光刻过程中形成在衬底上的结构的感兴趣参数的方法,包括:
使用测量辐射照射所述结构;
在由所述结构对所述测量辐射进行衍射之后,检测互补衍射阶对,以获得包括第一互补衍射图案和第二互补衍射图案的互补衍射图案对;
通过执行根据权利要求1至13中任一项所述的方法对准所述互补衍射图案对;以及
通过比较一个或多个对准的测量值对,来确定所述感兴趣参数的值,每个测量值对包括所述第一互补衍射图案的所述测量值中的一个测量值和所述第二互补衍射图案的所述测量值中的一个测量值。
15.一种量测装置,包括:
用于衬底的支撑件,所述衬底具有使用光刻过程形成在所述衬底上的结构;
光学系统,其用于使用测量辐射照射所述结构;
检测器,其用于检测由所述结构散射的所述测量辐射;以及
处理器,其被配置为执行根据权利要求1至13中任一项所述的方法,和/或控制所述量测装置以执行根据权利要求14中任一项所述的方法。
CN201880059407.8A 2017-09-13 2018-08-03 对准互补衍射图案对的方法及相关联的量测方法和装置 Active CN111095114B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17190810.6A EP3457211A1 (en) 2017-09-13 2017-09-13 A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP17190810.6 2017-09-13
PCT/EP2018/071128 WO2019052741A1 (en) 2017-09-13 2018-08-03 METHOD FOR ALIGNING A PAIR OF COMPLEMENTARY DIFFRACTION PATTERNS AND ASSOCIATED METROLOGY METHOD AND APPARATUS

Publications (2)

Publication Number Publication Date
CN111095114A CN111095114A (zh) 2020-05-01
CN111095114B true CN111095114B (zh) 2022-04-22

Family

ID=59858631

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880059407.8A Active CN111095114B (zh) 2017-09-13 2018-08-03 对准互补衍射图案对的方法及相关联的量测方法和装置

Country Status (7)

Country Link
US (1) US10401739B2 (zh)
EP (1) EP3457211A1 (zh)
JP (1) JP6967146B2 (zh)
KR (1) KR102397270B1 (zh)
CN (1) CN111095114B (zh)
TW (1) TWI689791B (zh)
WO (1) WO2019052741A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3113104B1 (en) * 2015-06-30 2018-02-21 Softkinetic Software Method for signal processing
WO2020126248A1 (en) * 2018-12-21 2020-06-25 Asml Netherlands B.V. Methods and apparatus for metrology
WO2021008929A1 (en) * 2019-07-16 2021-01-21 Asml Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
EP3786712A1 (en) * 2019-08-28 2021-03-03 ASML Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
AU2747999A (en) 1998-03-26 1999-10-18 Nikon Corporation Projection exposure method and system
US6947135B2 (en) * 2002-07-01 2005-09-20 Therma-Wave, Inc. Reduced multicubic database interpolation method for optical measurement of diffractive microstructures
JP3977324B2 (ja) 2002-11-12 2007-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7791724B2 (en) 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7701577B2 (en) 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
IL194839A0 (en) 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2009156225A1 (en) 2008-06-26 2009-12-30 Asml Netherlands B.V. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
JP2010025809A (ja) * 2008-07-22 2010-02-04 Shimadzu Corp モアレ縞測定装置
KR101295203B1 (ko) 2008-10-06 2013-08-09 에이에스엠엘 네델란즈 비.브이. 2차원 타겟을 이용한 리소그래피 포커스 및 조사량 측정
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
CN102483582B (zh) * 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
CN103003754B (zh) 2010-07-19 2015-03-11 Asml荷兰有限公司 用于确定重叠误差的方法和设备
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US9140998B2 (en) * 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
EP2515168B1 (en) * 2011-03-23 2021-01-20 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
NL2009508A (en) * 2011-10-24 2013-04-25 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
KR101761735B1 (ko) * 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2010717A (en) 2012-05-21 2013-11-25 Asml Netherlands Bv Determining a structural parameter and correcting an asymmetry property.
JP5992110B2 (ja) * 2012-11-05 2016-09-14 エーエスエムエル ネザーランズ ビー.ブイ. ミクロ構造の非対称性を測定する方法および装置、位置測定方法、位置測定装置、リソグラフィ装置およびデバイス製造方法
NL2013210A (en) * 2013-08-07 2015-02-10 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
WO2016083076A1 (en) 2014-11-26 2016-06-02 Asml Netherlands B.V. Metrology method, computer product and system
JP6602388B6 (ja) * 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
CN107771271B (zh) 2015-04-21 2020-11-06 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统
US10094774B2 (en) * 2015-08-12 2018-10-09 Industrial Technology Research Institute Scattering measurement system and method
KR102259091B1 (ko) 2016-11-10 2021-06-01 에이에스엠엘 네델란즈 비.브이. 스택 차이를 이용한 설계 및 교정
EP3333632A1 (en) * 2016-12-08 2018-06-13 ASML Netherlands B.V. Metrology apparatus

Also Published As

Publication number Publication date
US20190079410A1 (en) 2019-03-14
JP6967146B2 (ja) 2021-11-17
KR102397270B1 (ko) 2022-05-13
US10401739B2 (en) 2019-09-03
EP3457211A1 (en) 2019-03-20
TW201921150A (zh) 2019-06-01
TWI689791B (zh) 2020-04-01
JP2020533643A (ja) 2020-11-19
KR20200037858A (ko) 2020-04-09
WO2019052741A1 (en) 2019-03-21
CN111095114A (zh) 2020-05-01

Similar Documents

Publication Publication Date Title
JP6560787B2 (ja) 検査方法、リソグラフィ装置、マスクおよび基板
KR102294349B1 (ko) 계측 방법, 컴퓨터 제품 및 시스템
JP5389235B2 (ja) オーバーレイエラーを判定するための方法及び装置
US11709436B2 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
KR20170094429A (ko) 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
CN111095114B (zh) 对准互补衍射图案对的方法及相关联的量测方法和装置
KR20130100988A (ko) 오버레이 오차를 결정하는 장치 및 방법
CN105452963A (zh) 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
WO2014016056A1 (en) Inspection method and apparatus, lithographic system and device manufacturing method
NL2005459A (en) Inspection method and apparatus, and corresponding lithographic apparatus.
EP3531191A1 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
KR20180087410A (ko) 위치 측정 방법, 리소그래피 장치, 리소 셀 및 디바이스 제조 방법
CN111213092B (zh) 优化测量照射光斑相对于衬底上的目标的位置和/或尺寸的方法和相关设备
WO2017102327A1 (en) Polarization tuning in scatterometry
KR102109508B1 (ko) 디바이스 제조 방법과 관련 리소그래피 장치, 검사 장치 및 리소그래피 처리 셀
EP3605230A1 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US20230035073A1 (en) Method for determining a measurement recipe and associated apparatuses
EP4160314A1 (en) Method for measuring at least one target on a substrate
EP3702840A1 (en) Alignment method and associated metrology device
WO2023117263A1 (en) Method and apparatus for lithographic imaging
CN117242400A (zh) 量测工具校准方法及相关的量测工具
NL2022659A (en) Alignment method and associated metrology device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant