CN110731007B - 用于衬底处理系统的温度调整的衬底支撑件 - Google Patents

用于衬底处理系统的温度调整的衬底支撑件 Download PDF

Info

Publication number
CN110731007B
CN110731007B CN201880031182.5A CN201880031182A CN110731007B CN 110731007 B CN110731007 B CN 110731007B CN 201880031182 A CN201880031182 A CN 201880031182A CN 110731007 B CN110731007 B CN 110731007B
Authority
CN
China
Prior art keywords
substrate
component
radially outer
temperature
substrate support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880031182.5A
Other languages
English (en)
Other versions
CN110731007A (zh
Inventor
诺曼·梅特克
希曼舒·乔克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN110731007A publication Critical patent/CN110731007A/zh
Application granted granted Critical
Publication of CN110731007B publication Critical patent/CN110731007B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/206Modifying objects while observing
    • H01J2237/2065Temperature variations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Optics & Photonics (AREA)
  • Physical Vapour Deposition (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Control Of Resistance Heating (AREA)

Abstract

一种用于在衬底处理系统中的处理期间控制衬底温度的系统包括:限定中心区域和径向外部区域的衬底支撑件。在处理期间,将所述衬底布置在所述中心区域和所述径向外部区域两者上。第一加热器被配置为加热所述中心区域。第二加热器被配置为加热所述径向外部区域。第一散热器具有与所述中心区域热连通的一端。第二散热器具有与所述径向外部区域热连通的一端。在所述处理期间,所述中心区域和所述径向外部区域之间的温度差大于10℃。

Description

用于衬底处理系统的温度调整的衬底支撑件
相关申请的交叉引用
本申请要求于2017年5月12日提交的美国专利申请No.15/593,987的优先权。上述申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及衬底处理系统,并且更具体地涉及用于衬底处理系统的温度受调节的衬底支撑件。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
在诸如半导体晶片之类的衬底的处理期间,在衬底上沉积一个或多个膜层。沉积之后,可以对层进行图案化和蚀刻。在图案化期间,可以使用光致抗蚀剂或硬掩模层来保护下伏层的选定部分。在处理之后,使用剥离工艺去除光致抗蚀剂或硬掩模层。
发明内容
一种用于在衬底处理系统中的处理期间控制衬底温度的系统包括:限定中心区域和径向外部区域的衬底支撑件。在处理期间,将所述衬底布置在所述中心区域和所述径向外部区域两者上。第一加热器被配置为加热所述中心区域。第二加热器被配置为加热所述径向外部区域。第一散热器具有与所述中心区域热连通的一端。第二散热器具有与所述径向外部区域热连通的一端。在所述处理期间,所述中心区域和所述径向外部区域之间的温度差大于10℃。
在其他特征中,所述衬底通过重力被保持在所述衬底支撑件上,而不是通过机械夹持保持,也不是通过静电卡盘保持。所述衬底支撑件包括第一部件,该第一部件包括:具有第一厚度的中心部分;和径向突出部分,其具有小于所述第一厚度的第二厚度。第二部件布置在所述第一部件的下方并且在所述第一部件的径向外侧,并且包括环形部分和轴向突出部分,该轴向突出部分连接到所述第二部件的所述环形部分和所述第一部件的所述径向突出部分的径向外边缘。
在其他特征中,在所述第一部件的所述中心部分和所述第二部件的所述中心部分之间在轴向方向上限定第一间隙。在所述中心部分的径向外表面和所述轴向突出部分的径向内表面之间限定第二间隙。所述中心部分的上表面至少部分地限定所述中心区域。所述轴向突出部分的上表面至少部分地限定所述径向外部区域。
在其他特征中,所述第二部件包括多个孔。所述第一散热器包括连接到所述第一部件并穿过所述多个孔的多个突起。
在其他特征中,所述衬底支撑件包括第一部件,该第一部件包括至少部分地限定所述中心区域的上表面。第二部件布置在所述第一部件的径向外侧和下方。所述第一部件和所述第二部件间隔开并且限定在它们之间的间隙。所述第二部件包括至少部分地限定所述径向外部区域的上表面。
在其他特征中,所述第一部件具有圆锥形状,而所述第二部件具有倒圆锥形状。多个间隔件布置在所述衬底支撑件中,以在处理期间在所述衬底与所述衬底支撑件之间提供预定的间隙。所述第二散热器包括波纹管散热器。所述衬底支撑件包括多个凹口,所述多个凹口限定在所述中心区域和所述径向外部区域中,并且从所述衬底支撑件的外边缘径向向内延伸。
在其他特征中,温度受控的热物质与所述第一散热器和所述第二散热器的相对端热连通。
在其他特征中,所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度。所述温度差在从18℃到100℃的范围内。在其他特征中,所述处理包括光致抗蚀剂灰化,所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度。所述温度差在从18℃到100℃的范围内。
一种用于在衬底处理系统中的处理期间控制衬底温度的系统包括衬底支撑件,该衬底支撑件包括第一部件,该第一部件包括:中心部分,其具有第一厚度并部分地限定中心区域;和径向突出部分,其具有大于所述第一厚度的第二厚度。第二部件布置在所述第一部件的下方并且在所述第一部件的径向外侧,并且包括环形部分。轴向突出部分连接到所述第二部件的所述环形部分以及所述第一部件的所述径向突出部分的径向外边缘,并且部分地限定径向外部区域,其中,在处理期间,将所述衬底布置在所述中心区域和所述径向外部区域两者上。第一加热器被配置为加热所述第一部件。第二加热器被配置为加热所述第二部件。第一散热器具有与所述第一部件热连通的一端。第二散热器具有与所述第二部件热连通的一端。在所述处理期间,所述第一部件和所述第二部件之间的温度差在从10℃至100℃的范围内。
在其他特征中,所述衬底通过重力被保持在所述衬底支撑件上,而不是通过机械夹持保持,也不是通过静电卡盘保持。所述中心部分的上表面对应于所述中心区域。所述轴向突出部分的上表面对应于所述径向外部区域。
在其他特征中,所述第二部件包括多个孔。所述第一散热器包括连接到所述第一部件并穿过所述多个孔的多个突起。
在其他特征中,布置在所述中心区域中的多个间隔件在处理期间在所述衬底与所述衬底支撑件之间提供预定的间隙。所述衬底支撑件包括多个凹口,所述多个凹口从所述衬底支撑件的外边缘径向向内伸出。
在其他特征中,温度受控的热物质与所述第一散热器和所述第二散热器的相对端热连通。所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度。所述温度差在从18℃到100℃的范围内。
在其他特征中,所述处理包括光致抗蚀剂灰化,所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度。所述温度差在从18℃到100℃的范围内。所述衬底通过重力被保持在所述衬底支撑件上,而不是通过机械夹持保持,也不是通过静电卡盘保持。
一种用于在衬底处理系统中的处理期间控制衬底温度的系统包括衬底支撑件,该衬底支撑件包括第一部件,该第一部件包括至少部分地限定中心区域的上表面。第二部件布置在所述第一部件的径向外侧和下方。所述第一部件和所述第二部件间隔开并限定在它们之间的间隙。所述第二部件包括至少部分地限定径向外部区域的上表面。第一加热器被配置为加热所述第一部件。第二加热器被配置为加热所述第二部件。第一散热器具有与所述第一部件热连通的一端。第二散热器具有与所述第二部件热连通的一端。在所述处理期间,所述第一部件和所述第二部件之间的温度差在从10℃至100℃的范围内。
在其他特征中,所述衬底通过重力被保持在所述衬底支撑件上,而不是通过机械夹持保持,也不是通过静电卡盘保持。所述第一部件具有圆锥形状,而所述第二部件具有倒圆锥形状。所述第二散热器包括波纹管型散热器。
布置在所述中心区域中的多个间隔件在处理期间在所述衬底与所述衬底支撑件之间提供预定的间隙。所述衬底支撑件包括多个凹口,所述多个凹口从所述衬底支撑件的外边缘径向向内伸出。
在其他特征中,温度受控的热物质与所述第一散热器和所述第二散热器的相对端热连通。所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度。所述温度差在从18℃到100℃的范围内。
在其他特征中,所述处理包括光致抗蚀剂灰化。所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度。所述温度差在从18℃到100℃的范围内。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的衬底处理系统的示例的功能框图;
图2是根据本公开的图1的衬底支撑件的示例的截面图;
图3是图2的衬底支撑件的平面图;
图4和图5是示出温度变化与距衬底中心的距离的函数关系的示例的曲线图;
图6和7是根据本公开的图1的衬底支撑件的另一示例的截面图;
图8A和图8B是示出根据本公开的对于不同处理温度的光致抗蚀剂去除与距衬底中心的距离的函数关系曲线图;以及
图9是示出根据本公开的用于处理衬底的步骤的流程图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
在一些光致抗蚀剂剥离工艺中,光致抗蚀剂层具有不均匀的厚度。换句话说,光致抗蚀剂层在衬底的边缘处较厚(或较薄)并且在衬底的中心处较薄(或较厚)。光致抗蚀剂的厚度也可以在衬底与衬底之间(from substrate to substrate)或批次之间(from batchto batch)变化。虽然可以使用均匀的晶片温度来剥离光致抗蚀剂层,但是剥离工艺将需要运行足够长的时间来完全去除边缘(或中心)处的较厚的光致抗蚀剂。然而,晶片的中心(或边缘)被过度蚀刻,这可能导致对下伏层的损坏。
在其他示例中,所使用的光致抗蚀剂剥离工艺可能从中心到边缘具有不均匀的灰化速率。换句话说,即使当光致抗蚀剂层从中心到边缘具有均匀的厚度时,与边缘相比,光致抗蚀剂剥离工艺也可以在中心处去除更多(或更少)。
光致抗蚀剂剥离速率通常很大程度上取决于温度。根据本公开的系统和方法通过使用温度受调节的衬底支撑件产生不均匀的晶片温度分布,来补偿光致抗蚀剂层的厚度不均匀和/或处理的灰化速率不均匀。在一些示例中,在中心和边缘之间产生了从10℃到100℃的温度差,以产生不同的灰化速率,灰化速率变化幅度可能大于+/-10%、+/-20%或更大。在一些示例中,在中心和边缘之间产生从18℃到100℃的温度差,以产生不同的灰化速率,灰化速率变化幅度可能大于+/-10%、+/-20%或更大。在一些示例中,在中心和边缘之间产生从25℃到100℃的温度差,以产生不同的灰化速率,灰化速率变化幅度可能大于+/-10%、+/-20%或更大。
在一些示例中,衬底支撑件可以由具有高导热率的诸如铝之类的材料制成。因此,产生不均匀的温度是有问题的。在一些示例中,衬底通过重力保持,而不是不被机械地夹持,也不通过静电卡盘保持。此外,处理室中的气压可以相对较低(例如,在1-2托的范围内)。结果,气体传输介质具有低导热率。这些条件意味着衬底的温度远低于衬底支撑件的温度。因此,为了在衬底上产生期望的温度不均匀性以补偿光致抗蚀剂的厚度变化,需要横跨衬底支撑件的非常大的温度变化。
在一些示例中,温度不均匀性的设置用于批量衬底。在其他示例中,基于所测量的输入衬底的光致抗蚀剂厚度分布,为单个的衬底设定温度不均匀性的设置。例如,光致抗蚀剂层的厚度可以在将衬底输送到室中之前,当衬底进入处理室中或当衬底处于室中时使用原位且非接触的光学干涉测量来测量,但是可以使用用于测量光致抗蚀剂层的厚度的其他方法。
根据本公开所述的系统和方法提供了两个独立受控区域,即中心区域和径向外部区域,以驱动衬底从中心到边缘的热梯度。所述系统和方法提供了中心到边缘的工艺可调节性。在第一示例中,衬底支撑件提供了用于衬底的均匀的表面,并且驱动在中心区域和径向外部区域之间的跨越相对薄的区段的热梯度。在另一示例中,中心区域和径向外部区域由间隙分开以创建中心区域和径向外部区域之间的较大温度差,并驱动在所述衬底中的较大的热梯度。在两个示例中,每个区域可以设置有散热器以使得能进行精确的温度控制。在一些示例中,两个散热器都与温度受控制的热物质热连通。
现在参考图1,示出了衬底处理系统10的示例。尽管示出了特定的处理室中,但是可以使用其他类型的室。衬底处理系统10包括下室12和气体分配设备13,例如包括间隔开的通孔的面板或喷头14。衬底支撑件16可被布置在下室12中。在使用过程中,例如半导体晶片之类的衬底18或其它类型的衬底被布置在衬底支撑件16上。
衬底处理系统10包括气体输送系统20,以供应气体混合物(例如光致抗蚀剂剥离处理气体)和/或吹扫气体。仅举例来说,气体输送系统20可以包括一个或多个气体源22-1、22-2、...、和22-N(统称为气体源22),其中N是一个大于零的整数,阀24-1、24-2、...、和24-N(统称为阀24),以及质量流量控制器(MFC)26-1、26-2、...、和26-N(统称为MFC26)。
气体输送系统20的输出可以在歧管30中混合,并被输送到布置在气体分配设备13上方的上室32。在一些示例中,上室32是穹顶状的。等离子体源包括布置成围绕所述上室32的感应线圈34。等离子体功率源和匹配网络38选择性地提供射频(RF)或微波(MW)等离子体功率给感应线圈34。虽然感应耦合等离子体(ICP)系统被示出,但是可以使用其它类型的等离子体产生。例如,可以使用远程等离子体源。替代地,可以在处理室中直接产生等离子体。仅举例而言,可以使用电容耦合等离子体(CCP)系统或任何其他合适类型的等离子体系统。在另一些示例中,处理室在没有等离子体的情况下进行沉积或蚀刻。
控制器40可以连接到一个或多个传感器41,该传感器41监视处理室中的操作参数,例如温度、压力等。可以提供两个或多个加热器42来将衬底支撑件16和衬底18的两个或多个区域加热到所需的处理温度。加热器42可以包括电阻加热器、流体通道、热电设备等。在一些示例中,加热器42包括两个或更多个区域,其可以由控制器40独立地控制。在一些示例中,加热器42独立地控制朝向两个或更多区域的热量。
控制器40控制可选的阀50和泵52以控制压力并从处理室排出气体。在一些示例中,泵52是涡轮分子泵。在一些示例中,室内的压强保持在0.5托至3托的范围内。在一些示例中,室中的压强保持在1托至2托的范围内。控制器40可以被用于控制气体输送系统20、加热器42、阀50、泵52和由所述等离子体源所产生的等离子体。
在一些示例中,控制器40被配置为将具有预定比例的气体的气体混合物供应到处理室。如果使用等离子体,则控制器40还配置成供应来自远程等离子体源的等离子体或在处理室中激励等离子体。
诸如光学干涉传感器之类的一个或多个传感器80可以用于测量衬底的外层(诸如光致抗蚀剂层)的在距衬底中心的各种径向距离处的厚度。传感器80可以在衬底进入室之前或之时在处理室中原位执行测量或可以在另一站处执行测量。厚度测量值可以输出到控制器40。在一些示例中,控制器40基于由传感器80测量的不同厚度来改变加热器42朝向中心区域和径向外部区域的输出,以实现期望的温度梯度(从中心到边缘的温度升高或降低)。
在一些示例中,温度受控的热物质84与一个或多个散热器(在下面描述)热连通。温度受控的热物质84与诸如液体源之类的流体源86流体连通。泵88可以用于控制流向温度受控的热物质84中的通道89的流体流。温度传感器90可以用于感测流体和/或温度受控的热物质84的温度。在一些示例中,温度受控的热物质84包括铝块。
现在参考图2,衬底支撑件16被示出为包括第一部件100,该第一部件100具有中心圆柱部分110和环形的径向突出部分114。第一部件100的中心圆柱部110和环形的径向突出部114限定了通常共面的上表面118、120。在处理期间,衬底18被布置在上表面118、120上。中心圆柱部分110在轴向上的厚度大于环形径向突出部分114的厚度。在一些示例中,第一部件100的中心圆柱部分110的厚度比第一部件100的环形径向突出部分114的厚度大2倍或4倍。
第二部件126包括中心圆柱部分128和环形轴向突出部分130。在中心圆柱部分110的径向外表面133和环形轴向突出部分130的径向内表面134之间以及在径向突出部分的下表面与第二部件的上表面之间在径向方向上形成间隙132。在一些示例中,间隙132具有环形形状。在第一部件100的下表面137和第二部件126的上表面138之间限定间隙136。在一些示例中,间隙136在径向方向上通常是恒定的。
加热器139、140分别用于单独地控制第一部件100和第二部件126的加热。环形轴向突出部分130的端部141可以附接到第一部件100的环形径向突出部分114的端部144。在一些示例中,环形轴向突出部分130的端部141被焊接到第一部件100的环形径向突出部分114的端部144。在一些示例中,使用电子束焊接。
第二部件126包括多个隔开的孔150。多个突出部分160用作第一散热器,并且连接到第一部件100的下表面137或从第一部件100的下表面137延伸。多个突出部分160延伸穿过形成在第二部件126中的多个间隔开的孔150,并且连接到布置在第二部件126下方的散热器结构170。在多个突出部分160和多个间隔开的孔150之间形成间隙162。
第二散热器180将第二部件126连接至散热器结构170。在一些示例中,散热器结构170可以热连接至温度受控的热物质84。由于衬底和衬底支撑件可以由等离子体加热,散热器以及温度受控的热物质84的尺寸和/或配置部分地由处理期间衬底的最低期望处理温度确定。
现在参考图3,衬底支撑件16可包括从衬底支撑件的径向外边缘202向内延伸的多个凹口200(或指状物)。凹口200提供间隙以使得衬底18能放置在衬底支撑件16上以及被从衬底支撑件16拾取。在操作中,衬底支撑件16的温度产生温度梯度。换句话说,在同心温度环210-1、210-2、210-3、210-4之间提供不同的温度。可以在衬底支撑件16的位于温度环210-1内侧的部分与温度环210-4外侧的部分之间提供温度差。在温度范围210-1和温度环210-4之间限定温度差。在某些示例中,温度差大于10℃、18℃、25℃或另一个高达100℃的值。
在操作期间,当第一部件100的温度高于第二部件126的温度时,热量从第一部件100通过多个突出部分160流到散热器结构170。热量也从第一部件100通过环形径向突出部分114流到第二部件126的轴向突出部分130。由于气隙132和环形径向突出部分114的相对较小的厚度,环形径向突出部分114呈现从第一部件100的温度到第二部件126的温度的温度梯度。当第一部件100的温度低于第二部件126的温度时,热量沿相反的方向流动。
现在参考图4-5,示出了温度变化与距衬底的中心部分的距离的函数关系的示例。在图4中,温度从中心温度到边缘温度升高。在图5中,温度从中心温度到边缘温度降低。
现在参考图6-7,衬底支撑件16包括中心部件250和外部部件260。在中心部件250和外部部件260之间限定间隙261。中心部件250和外部部件260的加热是变化的。在一些示例中,单独的散热器连接到中心部件250和外部部件260。
中心部件250和外部部件260的上表面262和264限定了用于接收衬底18的表面。在一些示例中,中心部件250具有大体上圆锥形的下表面,而外部部件260具有倒圆锥形的上表面以提供互补的配合。外部部件260包括径向向内延伸的下部部分280和轴向向上延伸的上部部分282。上部部分282围绕中心部件250的径向外边缘266布置。
加热线圈284和286布置成与中心部件250和外部部件260热接触,以使得能分别对中心部件250和外部部件260的温度单独控制。第一散热器288布置在中心部件250和外部部件260下方,并且包括与中心部件250热接触的一端。第二散热器290布置在中心部件250和外部部件260下方并且包括与外部部件260热接触的一端。在一些示例中,散热器290是波纹管型散热器,但是可以使用其他类型的散热器。中心部件250和外部部件260可以包括如上所述的凹口294,以使得能放置和拾取衬底。
在图7中,第一热电偶300和第二热电偶310可分别用于监测中心部件250和外部部件260的温度。
在图6中,多个高度调节机构320可以布置在上表面262上,并且可以用于调节衬底18相对于衬底支撑件16的上表面的高度。在一些示例中,高度调节机构320将衬底的高度设置成在衬底支撑件16的上表面上方0.003英寸至0.01英寸的范围内。在一些示例中,衬底的高度保持在衬底支撑件的上表面上方0.006英寸处。在一些示例中,多个高度调节机构320包括三个或更多个高度调节机构,其布置在围绕中心部件250的外围的间隔开的位置中以支撑衬底18。可以理解的是,高度调节机构320允许调节间隙,该间隙改变从衬底支撑件16到衬底18的热耦合的量。在一些示例中,高度调节机构320包括布置在形成于中心部件250上表面中的腔354中的球350和高度调节设备352。球350提供了与衬底的面向底部的表面的减小的接触面积。
在图7中,可以提供多个高度调节机构340以调节中心部件250相对于外部部件260的高度。在一些示例中,多个高度调节机构340包括三个或更多个布置在间隔开的位置的高度调节机构340。可以理解,高度调节机构340能够调节间隙,该间隙改变了中心部件250和外部部件260之间的热耦合量。
在一些示例中,高度调节机构340包括布置在形成于外部部件260中的腔374中的球370和高度调节设备372。在一些示例中,球370被中心部件250的底表面382中的狭槽380容纳。在一些示例中,球370由蓝宝石制成,但是可以使用其他材料。
在一些示例中,衬底支撑件16在中心区域和径向外部区域之间限定了从10℃到100℃的温度差。在一些示例中,衬底支撑件16在中心区域和径向外部区域之间限定了从18℃到100℃范围的温度差。在一些示例中,灰化速率在中心区域和径向外部区域之间变化+/-10%。在其他示例中,灰化速率在中心区域和径向外部区域之间变化+/-20%。在一些示例中,衬底支撑件16被维持在从90℃到350℃的范围内的温度。
现在参考图8A和8B,示出了光致抗蚀剂的去除与距衬底中心的距离的函数关系。如图8A所示,不同的衬底温度产生不同的灰化速率。在200℃下归一化时,将显示出基于温度的调节能力。温度调节能力可用于补偿进入的光致抗蚀剂层中的厚度变化和/或诸如光致抗蚀剂处理的处理过程中的变化。
现在参照图9,示出了用于处理衬底的方法400。在404处,将衬底布置在处理室中的衬底支撑件上。在408处,可以测量衬底的外层的各个位置的厚度。在一些示例中,可以使用光学干涉传感器来进行测量。在412处,改变衬底支撑件的温度以产生大于10℃的温度差。在一些示例中,该温度差基于在408处进行的测量或预定的测量估计。在414处,执行衬底的外层的处理。在一些示例中,该处理包括剥离光致抗蚀剂层。在416处,该方法确定处理周期是否结束。当416为假时,该方法在414继续。当416为真时,该方法返回。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (24)

1.一种用于在衬底处理系统中的处理期间控制衬底温度的系统,其包括:
限定中心区域和径向外部区域的衬底支撑件,
其中,在处理期间,将所述衬底布置在所述中心区域和所述径向外部区域两者上;
第一加热器,其被配置为加热所述中心区域;
第二加热器,其被配置为加热所述径向外部区域;
第一散热器,其包括轴向延伸的突起并且具有与所述中心区域热连通的一端;和
第二散热器,其具有与所述径向外部区域热连通的一端,
其中在所述处理期间,所述中心区域和所述径向外部区域之间的温度差大于10℃。
2.根据权利要求1所述的系统,其中,所述衬底通过重力被保持在所述衬底支撑件上,并且不是通过机械夹持保持,也不是通过静电卡盘保持。
3.根据权利要求1所述的系统,其中,所述衬底支撑件包括:
第一部件,其包括:
具有第一厚度的第一中心部分;和
径向突出部分,其具有小于所述第一厚度的第二厚度;
第二部件,其布置在所述第一部件的下方并且在所述第一部件的径向外侧,并且包括:
第二中心部分;和
轴向突出部分,该轴向突出部分连接到所述第二部件的所述第二中心部分和所述第一部件的所述径向突出部分的径向外边缘。
4.根据权利要求3所述的系统,其中,在所述第一部件的所述第一中心部分和所述第二部件的所述第二中心部分之间在轴向方向上限定第一间隙,并且其中在所述第一中心部分的径向外表面和所述轴向突出部分的径向内表面之间限定第二间隙。
5.根据权利要求3所述的系统,其中,所述第一中心部分的上表面至少部分地限定所述中心区域,其中,所述轴向突出部分的上表面至少部分地限定所述径向外部区域。
6.根据权利要求3所述的系统,其中:
所述第二部件包括多个孔;并且
所述轴向延伸的突起连接到所述第一部件并穿过所述多个孔。
7.根据权利要求1所述的系统,其中,所述衬底支撑件包括:
第一部件,其包括至少部分地限定所述中心区域的上表面;和
第二部件,其布置在所述第一部件的径向外侧和下方,
其中所述第一部件和所述第二部件间隔开并且限定在它们之间的间隙,并且
其中所述第二部件包括至少部分地限定所述径向外部区域的上表面。
8.根据权利要求7所述的系统,其中,所述第一部件具有圆锥形状,而所述第二部件具有倒圆锥形状。
9.根据权利要求1所述的系统,其还包括多个间隔件,所述多个间隔件布置在所述衬底支撑件中,以在处理期间在所述衬底与所述衬底支撑件之间提供预定的间隙。
10.根据权利要求1所述的系统,其中,所述第二散热器包括波纹管散热器。
11.根据权利要求1所述的系统,其中,所述衬底支撑件包括多个凹口,所述多个凹口限定在所述中心区域和所述径向外部区域中,并且从所述衬底支撑件的外边缘径向向内延伸。
12.根据权利要求1所述的系统,其还包括与所述第一散热器和所述第二散热器的其他端热连通的温度受控的热物质。
13.根据权利要求1所述的系统,其中,所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度,并且其中所述温度差在从18℃到100℃的范围内。
14.根据权利要求1所述的系统,其中,所述处理包括光致抗蚀剂灰化,所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度,并且其中所述温度差在从18℃到100℃的范围内。
15.一种用于在衬底处理系统中的处理期间控制衬底温度的系统,其包括:
衬底支撑件,其包括:
第一部件,其包括:
中心部分,其具有第一厚度并部分地限定中心区域;和
径向突出部分,其具有小于所述第一厚度的第二厚度,和
第二部件,其布置在所述第一部件的下方并且在所述第一部件的径向外侧,并且包括:
环形部分;和
轴向突出部分,其连接到所述第二部件的所述环形部分以及所述第一部件的所述径向突出部分的径向外边缘,并且部分地限定了径向外部区域,其中,在处理期间,将所述衬底布置在所述中心区域和所述径向外部区域两者之上;
第一加热器,其被配置为加热所述第一部件;
第二加热器,其被配置为加热所述第二部件;
第一散热器,其包括轴向延伸的突起并且具有与所述第一部件热连通的一端;和
第二散热器,其具有与所述第二部件热连通的一端,
其中在所述处理期间,所述第一部件和所述第二部件之间的温度差在从10℃至100℃的范围内。
16.根据权利要求15所述的系统,其中,所述衬底通过重力被保持在所述衬底支撑件上,而不是通过机械夹持保持,也不是通过静电卡盘保持。
17.根据权利要求15所述的系统,其中,所述中心部分的上表面对应于所述中心区域,并且其中,所述轴向突出部分的上表面对应于所述径向外部区域。
18.根据权利要求15所述的系统,其中:
所述第二部件包括多个孔;并且
所述轴向延伸的突起连接到所述第一部件并穿过所述多个孔。
19.根据权利要求15所述的系统,其还包括多个间隔件,所述多个间隔件布置在所述中心区域中,以在处理期间在所述衬底与所述衬底支撑件之间提供预定的间隙。
20.根据权利要求15所述的系统,其中,所述衬底支撑件包括多个凹口,所述多个凹口从所述衬底支撑件的外边缘径向向内伸出。
21.根据权利要求15所述的系统,其还包括与所述第一散热器和所述第二散热器的相对端热连通的温度受控的热物质。
22.根据权利要求15所述的系统,其中,所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度,并且其中所述温度差在从18℃到100℃的范围内。
23.根据权利要求15所述的系统,其中,所述处理包括光致抗蚀剂灰化,所述中心区域和所述径向外部区域中的至少一个保持在从90℃到350℃的范围内的温度,并且其中所述温度差在从18℃到100℃的范围内。
24.根据权利要求15所述的系统,其中,所述第二厚度在整个所述径向突出部分是均匀的。
CN201880031182.5A 2017-05-12 2018-05-10 用于衬底处理系统的温度调整的衬底支撑件 Active CN110731007B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/593,987 US11011355B2 (en) 2017-05-12 2017-05-12 Temperature-tuned substrate support for substrate processing systems
US15/593,987 2017-05-12
PCT/US2018/031996 WO2018209041A2 (en) 2017-05-12 2018-05-10 Temperature-tuned substrate support for substrate processing systems

Publications (2)

Publication Number Publication Date
CN110731007A CN110731007A (zh) 2020-01-24
CN110731007B true CN110731007B (zh) 2024-04-12

Family

ID=64097430

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880031182.5A Active CN110731007B (zh) 2017-05-12 2018-05-10 用于衬底处理系统的温度调整的衬底支撑件

Country Status (6)

Country Link
US (2) US11011355B2 (zh)
JP (1) JP7481845B2 (zh)
KR (2) KR20240049640A (zh)
CN (1) CN110731007B (zh)
TW (1) TWI780151B (zh)
WO (1) WO2018209041A2 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2024433A (en) * 2018-12-27 2020-07-10 Asml Holding Nv Apparatus for and method of in-situ particle removal in a lithography apparatus

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
US6214121B1 (en) * 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
JP2003249541A (ja) * 2002-02-26 2003-09-05 Hitachi High-Technologies Corp ウエハステージ
WO2006090730A1 (ja) * 2005-02-23 2006-08-31 Kyocera Corporation 接合体とウェハ保持部材及びその取付構造並びにウェハの処理方法
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
JP2010157776A (ja) * 2010-04-14 2010-07-15 Fujitsu Semiconductor Ltd 静電チャック
JP2011044732A (ja) * 2009-04-13 2011-03-03 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
JP2014072355A (ja) * 2012-09-28 2014-04-21 Ngk Spark Plug Co Ltd 静電チャック

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683072A (en) * 1988-11-01 1997-11-04 Tadahiro Ohmi Thin film forming equipment
US5148003A (en) * 1990-11-28 1992-09-15 International Business Machines Corporation Modular test oven
US5273588A (en) * 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
JPH11111823A (ja) 1997-10-06 1999-04-23 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6091889A (en) * 1999-01-08 2000-07-18 National Science Council Rapid thermal processor for heating a substrate
US6705394B1 (en) * 1999-10-29 2004-03-16 Cvc Products, Inc. Rapid cycle chuck for low-pressure processing
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
CN100435269C (zh) * 2001-07-15 2008-11-19 应用材料有限公司 处理系统
US20040045813A1 (en) * 2002-09-03 2004-03-11 Seiichiro Kanno Wafer processing apparatus, wafer stage, and wafer processing method
DE10302653A1 (de) * 2003-01-20 2004-08-19 Htm Reetz Gmbh Vorrichtung zur Thermomigration
JP4824926B2 (ja) * 2004-12-24 2011-11-30 Sumco Techxiv株式会社 エピタキシャルシリコンウェハの製造方法
JP2007088411A (ja) * 2005-06-28 2007-04-05 Hitachi High-Technologies Corp 静電吸着装置およびウエハ処理装置ならびにプラズマ処理方法
US7941039B1 (en) * 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
US20070251456A1 (en) 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
CN101903996B (zh) * 2007-12-21 2013-04-03 应用材料公司 用于控制衬底温度的方法和设备
KR101582785B1 (ko) * 2008-08-12 2016-01-07 어플라이드 머티어리얼스, 인코포레이티드 정전 척 조립체
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US20120196242A1 (en) 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
US9371584B2 (en) * 2011-03-09 2016-06-21 Applied Materials, Inc. Processing chamber and method for centering a substrate therein
US8371567B2 (en) * 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
JP5948026B2 (ja) * 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
CN103843129B (zh) * 2011-09-30 2017-03-01 应用材料公司 具有温度控制的静电夹具
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
TWI609991B (zh) * 2013-06-05 2018-01-01 維克儀器公司 具有熱一致性改善特色的晶圓舟盒
KR101876501B1 (ko) * 2013-08-05 2018-07-10 어플라이드 머티어리얼스, 인코포레이티드 인-시츄 제거 가능한 정전 척
US9520315B2 (en) * 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
JP6530228B2 (ja) * 2015-04-28 2019-06-12 日本特殊陶業株式会社 静電チャック
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US9741593B2 (en) * 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) * 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10418269B2 (en) * 2015-12-04 2019-09-17 Lam Research Corporation Storing and organizing minimum contact area features and wafer transfer pins during system maintenance

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
US6214121B1 (en) * 1999-07-07 2001-04-10 Applied Materials, Inc. Pedestal with a thermally controlled platen
US7161121B1 (en) * 2001-04-30 2007-01-09 Lam Research Corporation Electrostatic chuck having radial temperature control capability
JP2003249541A (ja) * 2002-02-26 2003-09-05 Hitachi High-Technologies Corp ウエハステージ
WO2006090730A1 (ja) * 2005-02-23 2006-08-31 Kyocera Corporation 接合体とウェハ保持部材及びその取付構造並びにウェハの処理方法
JP2011044732A (ja) * 2009-04-13 2011-03-03 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
JP2010157776A (ja) * 2010-04-14 2010-07-15 Fujitsu Semiconductor Ltd 静電チャック
JP2014072355A (ja) * 2012-09-28 2014-04-21 Ngk Spark Plug Co Ltd 静電チャック

Also Published As

Publication number Publication date
KR20190141260A (ko) 2019-12-23
US20180330928A1 (en) 2018-11-15
JP7481845B2 (ja) 2024-05-13
TW201907507A (zh) 2019-02-16
US20210265144A1 (en) 2021-08-26
KR102655379B1 (ko) 2024-04-04
TWI780151B (zh) 2022-10-11
WO2018209041A3 (en) 2018-12-13
KR20240049640A (ko) 2024-04-16
CN110731007A (zh) 2020-01-24
WO2018209041A2 (en) 2018-11-15
JP2020520099A (ja) 2020-07-02
US11011355B2 (en) 2021-05-18

Similar Documents

Publication Publication Date Title
KR102626481B1 (ko) 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트
JP7395644B2 (ja) 基板処理システムにおける再循環を低減するためのカラー、円錐形シャワーヘッド、および/または、トッププレート
KR102638983B1 (ko) 증가하는 면적 밀도를 갖는 기판 지지부 및 대응하는 제조 방법
CN212874424U (zh) 用于等离子体处理系统的边缘环和包括该边缘环的系统
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
US11908715B2 (en) Dynamic temperature control of substrate support in substrate processing system
US11236422B2 (en) Multi zone substrate support for ALD film property correction and tunability
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
CN110199383B (zh) 一种利用热控元件进行esc温度估算的虚拟计量方法
CN110731007B (zh) 用于衬底处理系统的温度调整的衬底支撑件
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US20220223440A1 (en) Rapid tuning of critical dimension non-uniformity by modulating temperature transients of multi-zone substrate supports
US20220243332A1 (en) Temperature control of a multi-zone pedestal
JP2023512201A (ja) 高電力、高圧プロセス用の分割されたガス分配プレート

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant