CN110648918B - 半导体结构及其制造方法 - Google Patents

半导体结构及其制造方法 Download PDF

Info

Publication number
CN110648918B
CN110648918B CN201910511115.XA CN201910511115A CN110648918B CN 110648918 B CN110648918 B CN 110648918B CN 201910511115 A CN201910511115 A CN 201910511115A CN 110648918 B CN110648918 B CN 110648918B
Authority
CN
China
Prior art keywords
source
drain structure
region
concentration
dopant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910511115.XA
Other languages
English (en)
Other versions
CN110648918A (zh
Inventor
刘书豪
陈文彦
陈国儒
王立廷
陈亮吟
张惠政
王英郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110648918A publication Critical patent/CN110648918A/zh
Application granted granted Critical
Publication of CN110648918B publication Critical patent/CN110648918B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一种半导体结构的制造方法以及一种半导体结构。在此公开的实施例关于使用在纳秒级下进行的布植工艺和熔融退火工艺,以同时达到高表面浓度(表面堆积)掺质轮廓和逆行掺质轮廓。在一实施例中,此方法包含在基底上的主动区中形成源极/漏极结构,源极/漏极结构包含第一区,第一区包含锗,将第一掺质布植至源极/漏极结构的第一区中以在源极/漏极结构的至少第一区中形成非晶区,将第二掺质布植至包含第一掺质的非晶区中,以及加热源极/漏极结构以至少将非晶区液化并转换成结晶区,结晶区包含第一掺质和第二掺质。

Description

半导体结构及其制造方法
技术领域
本公开涉及半导体制造技术,特别涉及源极/漏极结构及其制造方法。
背景技术
随着半导体产业已进展至纳米技术工艺节点(node)以追求更高的装置密度、更高的效能和更低的成本,来自制造和设计两方面的挑战导致了三维设计的发展,例如鳍式场效晶体管(Fin Field Effect Transistors,FinFETs)。鳍式场效晶体管装置通常包含具有高深宽比(aspect ratios)的半导体鳍片,并且在这些半导体鳍片中形成通道和源极/漏极区。在鳍片结构的上方并且沿着鳍片结构的侧边(例如包裹(wrapping))形成栅极,其利用增加通道表面积的优点,以产生更快、更可靠以及更好控制的半导体晶体管装置。
鳍式场效晶体管装置通常包含用于形成源极区和漏极区的半导体区。金属硅化物通常接着形成于这些半导体区的表面上以减少接触电阻。然而,随着尺寸微缩,产生了新的挑战。
发明内容
根据本公开的一些实施例,提供半导体结构的制造方法。此方法包含:在基底上的主动区中形成源极/漏极结构,源极/漏极结构包含第一区,第一区包含锗;将第一掺质布植至源极/漏极结构的第一区以至少在源极/漏极结构的第一区中形成非晶区;将第二掺质布植至包含第一掺质的非晶区;以及加热源极/漏极结构以至少将非晶区液化并转换成结晶区,结晶区包含第一掺质和第二掺质。
根据本公开的另一些实施例,提供半导体结构的制造方法。此方法包含在基底上的主动区中形成源极/漏极结构,源极/漏极结构包含具有第一锗浓度的第一区以及具有第二锗浓度的第二区,第一锗浓度大于第二锗浓度;在主动区上方形成介电层;形成开口穿过介电层以至少露出源极/漏极结构的上表面;将第一掺质布植至露出的源极/漏极结构,第一掺质包含镓或锗;在布植第一掺质之后,将至少第二掺质布植至包含第一掺质的露出的源极/漏极结构中,第二掺质包含p型掺质;使包含第一掺质和至少第二掺质的布植的源极/漏极结构经历第一退火工艺,第一退火工艺加热布植的源极/漏极结构;在露出的源极/漏极结构的上表面形成硅化物区;以及在开口中形成导电部件至源极/漏极结构的上表面。
根据本公开的又另一些实施例,提供半导体结构。此半导体结构包含:主动区,位于基底上,主动区包含源极/漏极结构,源极/漏极结构具有硅化物层设置于其上,源极/漏极结构包含第一区,接近源极/漏极结构的顶表面且与硅化区的至少一部分重叠,第一区包含p型掺质的第一浓度轮廓和锗的第二浓度轮廓,其中第一浓度轮廓包含位于顶表面的第一表面浓度,第一浓度轮廓从第一表面浓度增加至第一峰值浓度,且第一浓度轮廓以远离源极/漏极结构的顶表面的方向从第一峰值浓度降低;以及第二区,设置于第一区和基底之间,第二区具有锗的第三浓度轮廓,第三浓度轮廓以远离源极/漏极结构的顶表面的方向从位于第一区和第二区之间的界面上的第二浓度轮廓降低;介电层,位于主动区上方;以及导电部件,穿过介电层至硅化物层。
附图说明
通过以下的详细描述配合附图,可以更加理解本公开实施例的内容。需强调的是,根据产业上的标准惯例,许多部件(feature)并未按照比例绘制。事实上,为了能清楚地讨论,各种部件的尺寸可能被任意地增加或减少。
图1是根据一些实施例示出对应制造的不同阶段的中间阶段的各个中间结构的透视示意图。
图2至9是根据一些实施例的对应制造的不同阶段的各个中间结构的剖面示意图。
图10是根据一些实施例的示出图9的磊晶源极/漏极结构的不同掺质轮廓的曲线图。
图11和12是根据一些实施例的示出磊晶源极/漏极结构的不同掺质轮廓的曲线图。
图13是根据一些实施例的示范结构的一部分的剖面示意图。
图14A~14G根据不同实施例示出源极/漏极结构的热处理。
附图标记说明:
210~金属层;
213~第一区;
214~硅化物层;
215~顶表面;
217~第二区;
219~非晶区;
220~界面介电质;
221~掺杂区;
222~栅极介电层;
223~结晶区;
224~顺形层;
226~栅极填充导电材料;
228a、228b~取代栅极结构;
230~第二层间介电质;
231~预非晶化布植工艺;
232~开口;
233、1404、1406、1412、1416、1420、1430、1434~熔融退火工艺;
234~导电部件;
251~虚设栅极结构;
253~沟槽;
270~基底;
274~鳍片;
278~隔离区;
280~界面介电质;
282~虚设栅极;
284~遮罩;
286~栅极间隔物;
292~源极/漏极结构;
293~掺质布植工艺;
296~接触蚀刻停止层;
297~第一层间介电质;
813~界面;
890、891~插图;
896~第一物质;
897~锗原子;
898~第二物质;
1112、1212、1312~第一轮廓;
1114、1216、1316~第二轮廓;
1218、1318~第三轮廓;
1402、1414、1422、1428、1436~快速热退火工艺;
1408、1410、1418、1424、1426、1432~毫秒退火工艺;
A-A、B-B~剖面;
T1~垂直深度。
具体实施方式
以下内容提供了许多不同的实施例或范例,用于实施所提供的标的的不同部件。组件和配置的具体范例描述如下,以简化本公开实施例。当然,这些仅仅是范例,并非用以限定本公开实施例。举例来说,叙述中若提及第一部件形成于第二部件上方,可能包含形成第一和第二部件直接接触的实施例,也可能包含额外的部件形成于第一和第二部件之间,使得第一和第二部件不直接接触的实施例。此外,本公开实施例在不同范例中可重复使用参考数字及/或字母,此重复是为了简化和清楚的目的,并非代表所讨论的不同实施例及/或组态之间有特定的关系。
此外,其中可能用到与空间相对用语,例如「在……之下」、「在……下方」、「下方的」、「在……上方」、「上方的」及类似的用词,这些空间相对用语为了便于描述如图所示的一个(些)元件或部件与另一个(些)元件或部件之间的关系。这些空间相对用语包含使用中或步骤中的装置的不同方位,以及附图中所描述的方位。当装置被转向不同方位时(旋转90度或其他方位),则其中所使用的空间相对形容词也将依转向后的方位来解释。
以下描述的各种实施例整体而言是关于使用在纳秒(nanosecond)级上进行的布植工艺和熔融退火工艺,以同时达到高表面掺质浓度(表面堆积(pile up))掺质轮廓和逆行(retrograde)掺质轮廓,其中表面堆积轮廓和逆行轮廓的浓度均高于磊晶源极/漏极区的原始总体浓度(bulk concentration)。具体而言,可以操纵源极/漏极结构中的锗浓度和非晶度(例如,由非晶化布植造成),以容许在暴露于纳秒熔融退火工艺时优先熔化源极/漏极结构。由于纳秒熔融退火工艺可以使源极/漏极结构的顶部熔化,可以通过位于源极/漏极结构顶部的熔化硅锗的冷却/固化期间的固液界面(位于熔融硅锗和结晶硅锗之间)的移动,将源极/漏极结构中较深的布植掺质和锗带至源极/漏极结构的顶表面。结果,可以达到接近源极/漏极结构的顶表面处具有高浓度掺质和锗,借此降低与源极/漏极结构的接触电阻。
前文广泛地概述在此描述的实施例的一些面向。可预期本公开实施例的概念可实施于平面晶体管装置或实施于三维晶体管装置,例如在本公开实施例中描述的鳍式场效晶体管(fin field effect transistors,FinFET)。可以实施在此描述的面向的一些示范装置,包含水平的全绕式栅极(Horizontal Gate All Around,HGAA)场效晶体管、垂直的全绕式栅极(Vertical Gate All Around,VGAA)场效晶体管、纳米线通道场效晶体管、应变(strained)半导体装置、绝缘体上覆硅(silicon-on-insulator,SOI)装置、具有冠状上的鳍片的鳍式场效晶体管(例如图13示出的结构)或非冠状结构的鳍式场效晶体管。
图1以三维示意图示出中间结构的范例。图1也示出用于后续附图的参考剖面。鳍片274形成于半导体基底270(又称为基底)上。半导体基底270可以是或包含块体(bulk)半导体基底、绝缘体上覆半导体(SOI)基底或类似的基底,其可以被掺杂(例如使用p型或n型掺质)或不掺杂。在一些实施例中,半导体基底270的半导体材料可以包含元素半导体,其包含硅(Si)或锗(Ge);化合物半导体;合金半导体;或前述的组合。每个鳍片274提供主动区,一或多个装置形成于此或将形成于此。可以使用对半导体基底270进行的合适工艺来制造鳍片274,包含遮罩、光微影(photolithograph)及/或蚀刻工艺,以在基底270中形成沟槽253,使鳍片从基底270向上延伸。可以通过任何合适的方法将鳍片274图案化。举例来说,鳍片274的图案化可以使用一或多道光微影工艺,包含双重图案化(double-patterning)或多重图案化(multi-patterning)工艺。一般而言,双重图案化或多重图案化工艺结合光微影和自对准(self-aligned)工艺,举例来说,相较于使用单一、直接的光微影工艺可获得的节距(pitch),双重图案化或多重图案化工艺容许产生节距更小的图案。举例来说,在一些实施例中,在基底上方形成牺牲层,并且使用光微影工艺将牺牲层图案化。使用自对准工艺沿着图案化牺牲层形成间隔物。然后移除牺牲层,接着可以使用剩余的间隔物将鳍片274图案化以及形成沟槽253。
然后可以用绝缘材料填充沟槽253,绝缘材料例如氧化物(例如氧化硅)、氮化物、类似的材料或前述的组合。绝缘材料可以是凹陷的,例如通过使用合适的蚀刻工艺,以形成隔离区278。凹蚀绝缘材料使得鳍片274从相邻的隔离区278之间突出并突出于隔离区278之上。
在鳍片274上形成虚设(dummy)栅极结构251。虚设栅极结构251在鳍片274上方并垂直于鳍片274延伸。每个虚设栅极结构251可以包含界面介电质280、界面介电质280上方的虚设栅极282以及虚设栅极282上方的遮罩284。用于虚设栅极结构251的界面介电质280、虚设栅极282和遮罩284的形成可以通过按顺序地形成各自的膜层,然后将这些膜层图案化成虚设栅极结构251。举例来说,用于界面介电质280的膜层可以包含或是氧化硅、氮化硅、类似的材料或前述的多层结构。用于虚设栅极282的膜层可以包含或是硅(例如多晶硅(polysilicon))或其他材料。用于遮罩284的膜层可以包含或是氮化硅、氮氧化硅(siliconoxynitride)、氮碳化硅(silicon carbon nitride)、类似的材料或前述的组合。可以通过任何合适的沉积技术形成或沉积这些膜层。然后可以例如使用光微影和一或多道蚀刻工艺将界面介电质280、虚设栅极282和遮罩284的膜层图案化,以形成用于每个虚设栅极结构251的界面介电质280、虚设栅极282和遮罩284。
图1中的剖面A-A位于沿着例如相对的源极/漏极结构292之间的鳍片274中的通道的平面中。剖面B-B位于垂直于剖面A-A的平面中,并且横跨相邻的鳍片274中的源极/漏极结构292。
图2至9是对应于图1中的剖面A-A并对应于各个制造阶段的相应中间结构的剖面示意图。图2示出在鳍片274上方并沿着虚设栅极结构251的侧壁(例如界面介电质280、虚设栅极282和遮罩284的侧壁)形成的栅极间隔物286。举例来说,栅极间隔物286的形成可以通过顺应性地(conformally)沉积用于栅极间隔物286的一或多层膜层并且非等向性地(anisotropically)蚀刻上述一或多层膜层。上述用于栅极间隔物286的一或多层膜层的材料可以不同于用于虚设栅极结构251的材料。在一些实施例中,栅极间隔物286可以包含或是介电材料,例如氮化硅、氮氧化硅、氮碳化硅、类似的材料、前述的多层结构或前述的组合,并且栅极间隔物286的沉积可以通过任何合适的沉积技术。
如图3所示,在形成栅极间隔物286之后,可以在虚设栅极结构251的两侧上的鳍片274中形成磊晶源极/漏极结构292(又称为源极/漏极结构)。在一些范例中,可以使用虚设栅极结构251和栅极间隔物286作为遮罩,在鳍片274中蚀刻出凹槽,并且可以在凹槽中磊晶生长材料以形成磊晶源极/漏极结构292。额外地或替代地,源极/漏极结构292的形成可以通过使用虚设栅极结构251作为遮罩,布植掺质进入鳍片274及/或磊晶源极/漏极结构292。
取决于晶体管的导电类型,磊晶源极/漏极结构292的材料可以选择为包含或是硅锗(SixGe1-x,其中x可以在约0和1之间)、碳化硅(silicon carbide)、硅磷(siliconphosphorous)、硅碳磷(silicon carbon phosphorus)、锗、III-V族化合物半导体、II-VI化合物半导体或类似的材料。举例来说,用于形成III-V族化合物半导体的材料可以包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP和类似的材料。在一些范例中,用于p型装置(p型鳍式场效晶体管(p-FET))的磊晶源极/漏极结构292可以包含硅锗(SiGe)或硅,而用于n型装置(n型鳍式场效晶体管(n-FET))的磊晶源极/漏极结构292可以包含SiCP或SiP。这些磊晶源极/漏极结构292可以设计成产生应变效应,借此增强载子向n型鳍式场效晶体管通道或p型鳍式场效晶体管通道的迁移率。磊晶源极/漏极结构292可以比鳍片274高,并且可以具有晶面(facet),其可以对应于半导体基底270的结晶面(crystalline planes)。
在此讨论的各种实施例可以为磊晶源极/漏极结构提供高表面掺质浓度,借此改善装置效能。虽然本公开中的实施例聚焦于p型装置,但可预期此概念同样适用于n型装置。在一些实施例中,用于p型装置的磊晶源极/漏极结构292是硅锗(SixGe1-x),并且硅锗中的锗浓度在约0原子百分比(at.%)至约100原子百分比的范围,例如约20原子百分比至约60原子百分比,举例来说,约40原子百分比。在硅锗中具有高浓度的锗(例如20原子百分比或更多)可以将应变导入晶体管通道,借此增加空穴的迁移率和通道驱动电流。锗部分的浓度可以是沿着磊晶源极/漏极结构292的厚度的梯度。举例来说,磊晶源极/漏极结构292朝向磊晶源极/漏极结构292的顶表面215的部分可以具有在磊晶源极/漏极结构292中的最大锗浓度,并且随着磊晶源极/漏极结构292中的深度增加,远离顶表面215的锗浓度可以降低。在一些实施例中,磊晶源极/漏极结构292可以至少具有高锗浓度区和低锗浓度区。在图3所示的实施例中,用于p型装置的磊晶源极/漏极结构292在磊晶源极/漏极结构292的顶表面215处或附近具有第一区213,并且具有设置于第一区213以外或下方的第二区217。在一些范例中,从顶表面215测量,第一区213的垂直深度可以在约25纳米至约30纳米的范围。从第一区213和第二区217之间的界面测量,第二区217的垂直深度可以在约10纳米至约20纳米的范围。锗的浓度从第一区213降低至第二区217。在一些范例中,第一区213是Si1-xGex并且锗浓度可以在约20原子百分比至约100原子百分比的范围,例如约25原子百分比至约80原子百分比,例如约40原子百分比至约60原子百分比。此外,在一些范例中,第二区217也是Si1-yGey,并且锗浓度可以在约0原子百分比至约25原子百分比的范围,例如约5原子百分比至约15原子百分比。
通过在工艺期间以恒定的含硅前驱物气流改变含锗前驱物气体流速,可以获得不同的锗浓度。举例来说,在形成第二区217期间,锗浓度可以在约5原子百分比至约15原子百分比的范围变化。在形成第一区213期间,锗浓度可在约25原子百分比至约80原子百分比的范围变化。合适的含硅前驱物气体可以是或包含具有实验式SixH(2x+2)的硅烷(silane,SiH4)或更高碳数的硅烷,例如二硅烷(disilane,Si2H6)、三硅烷(trisilane,Si3H8)、四硅烷(tetrasilane,Si4H10)或前述的任何组合。合适的含锗前驱物气体可以包含或是锗烷(germane,GeH4)、二锗烷(digermane,Ge2H6)或前述的任何组合。磊晶形成磊晶源极/漏极结构292可以使用化学气相沉积(chemical vapor deposition,CVD)、低压化学气相沉积(low-pressure chemical vapor deposition,LPCVD)、减压化学气相沉积(reducedpressure chemical vapor deposition,RPCVD)或类似的方式。
参照图4,使用任何合适的沉积技术在磊晶源极/漏极结构292的表面、栅极间隔物286的侧壁和顶表面、遮罩284的顶表面和隔离区278的顶表面上按顺序地形成接触蚀刻停止层(contact etch stop layer,CESL)296和第一层间介电质(interlayer dielectric,ILD)297。顺应性地沉积接触蚀刻停止层296并且可以包含或是氮化硅、氮碳化硅、氮化碳、类似的材料或前述的组合。第一层间介电质297可以包含或是四乙氧基硅烷(tetraethylorthosilicate,TEOS)氧化物、二氧化硅、低介电常数介电材料(例如具有低于二氧化硅的介电常数的材料)或类似的材料。然后可以进行化学机械研磨(chemicalmechanical planarization,CMP)工艺将第一层间介电质297和接触蚀刻停止层296平坦化,并移除虚设栅极结构251的遮罩284,借此使第一层间介电质297和接触蚀刻停止层296的顶表面与虚设栅极282的顶表面平整。
然后使用一或多道蚀刻工艺移除虚设栅极结构251。在移除虚设栅极结构251时,即在移除虚设栅极结构251的栅极间隔物286之间形成凹槽,并且经由这些凹槽露出鳍片274的通道区。然后,如图4所示,在移除虚设栅极结构251的凹槽中形成取代栅极结构228a、228b。取代栅极结构228a、228b可以各自包含界面介电质220、栅极介电层222、一或多层可选择性的顺形层224和栅极填充导电材料226。界面介电质220沿着通道区形成于鳍片274的顶表面上。界面介电质220可以是通过鳍片274的热或化学氧化形成的氧化物(例如氧化硅)及/或使用任何合适的沉积技术的氧化物(例如氧化硅)、氮化物(例如氮化硅)及/或其他介电层。
栅极介电层222可以顺应性地沉积于移除虚设栅极结构251的凹槽中(例如在界面介电质220上以及栅极间隔物286的侧壁上)以及沉积于第一层间介电质297、接触蚀刻停止层296和栅极间隔物286的顶表面上。栅极介电层222可以是或包含氧化硅、氮化硅、高介电常数介电材料、前述的多层结构或其他介电材料。高介电常数介电材料可以包含铪(Hf)、铝(Al)、锆(Zr)、镧(La)、镁(Mg)、钡(Ba)、钛(Ti)、铅(Pb)的金属氧化物或金属硅酸盐(silicate)、前述的多层结构或前述的组合。
上述一或多层可选择性的顺形层224可以包含一或多层阻障(barrier)层及/或盖(capping)层以及一或多层功函数(work-function)调整层。一或多层阻障层及/或盖层可以包含氮化钽(tantalum nitride)、氮化钛(titanium nitride)、类似的材料或前述的组合。一或多层功函数调整层可以包含或是碳化铝钛(aluminum titanium carbide)、氧化铝钛(aluminum titanium oxide)、氮化铝钛(aluminum titanium nitride)、类似的材料或前述的组合。选择用于上述一或多层功函数调整层、阻障层及/或盖层的材料使得晶体管达到想要的临界电压(threshold voltage,Vt),此晶体管可以是p型场效晶体管(pFET)或n型场效晶体管(nFET)。栅极填充导电材料226形成于一或多层顺形层224(如果实施的话)及/或栅极介电层222上方。栅极填充导电材料226可以填充移除虚设栅极结构251的剩余凹槽。栅极填充导电材料226可以包含或是含金属的材料,例如钨、钴、铝、钌、铜、前述的多层结构、前述的组合或类似的材料。
平坦化工艺(例如化学机械研磨)可以移除第一层间介电质297、接触蚀刻停止层296和栅极间隔物286的顶表面上方的栅极填充导电材料226、一或多层顺形层224和栅极介电层222的部分。因此,可以形成如图4所示的取代栅极结构228a、228b,其各自包含栅极填充导电材料226、一或多层顺形层224、栅极介电层222和界面介电质220。
图4进一步示出在栅极填充导电材料226、一或多层顺形层224以及栅极介电层222、第一层间介电质297、栅极间隔物286和接触蚀刻停止层296上方形成的第二层间介电质230。第二层间介电质230可以包含或是二氧化硅、低介电常数介电材料、氮氧化硅、磷硅酸盐玻璃(phosphosilicate glass,PSG)、硼硅酸盐玻璃(borosilicate glass,BSG)、硼磷硅酸盐玻璃(borophosphosilicate glass,BPSG)、未掺杂硅酸盐玻璃(undoped silicateglass,USG)、氟硅酸盐玻璃(fluorosilicate glass,FSG)、有机硅酸盐玻璃(organosilicate glass,OSG)、SiOxCy、硅碳材料、前述的化合物、前述的复合物、类似的材料或前述的组合。
如图4的范例所示,在形成第二层间介电质230之后,形成源极/漏极接触开口232(又称为开口)穿过第二层间介电质230、第一层间介电质297和接触蚀刻停止层296,以露出至少部分磊晶源极/漏极结构292。举例来说,可以使用微影和一或多道蚀刻工艺,以开口232将第二层间介电质230、第一层间介电质297和接触蚀刻停止层296图案化。源极/漏极接触开口232容许与晶体管的磊晶源极/漏极结构292形成电接触。
在形成源极/漏极接触开口232之后,进行预非晶化布植(pre-amorphizationimplantation,PAI)工艺231以将露出的磊晶源极/漏极结构292的一部分非晶化,并在此处形成硅化物区。如图5所示,预非晶化布植工艺将杂质物质布植至磊晶源极/漏极结构292以形成非晶区219。在一些范例中,从磊晶源极/漏极结构292的顶表面测量,非晶区219的深度可以是约1纳米至约20纳米。在一实施例中,预非晶化布植工艺231是离子布植工艺,其将第一物质导入露出的磊晶源极/漏极结构292,使得至少磊晶源极/漏极结构292的顶部转换成非晶结构。非晶区219可以防止随后布植的掺质/杂质扩散通过晶格结构之间的空间并达到大于所需的深度。因此,随后的掺质/杂质可以被限制在非晶区219内的区域及/或接近磊晶源极/漏极结构292的顶表面。结果,可以大幅减少相应的磊晶源极/漏极结构292和随后形成于磊晶源极/漏极结构292上的导电部件之间的接触电阻。
待布植的第一物质可以是电惰性(electrically inactive)物质,例如硅、锗、碳、氮、氙(xenon)、氩及/或其他合适的电惰性物质。在一些实施例中,第一物质是硅。在一些实施例中,第一物质是锗。在一些实施例中,第一物质包含大于磊晶源极/漏极结构292的材料(例如硅锗)的原子及/或分子。在一范例中,第一物质是镓(Ga69)。因为镓通常在锗基的(germanium-based)源极/漏极结构中的固溶度高于其他掺质物质(例如硼),使用镓作为第一物质的预非晶化布植工艺在一些应用中可以具有优势。举例来说,在具有较高浓度锗的区域(例如第一区213)中,镓的固溶度高于硼。因此,利用镓布植可以达到较高的掺质活化。此外,相较于其他布植物质(例如硅、碳或氮),由于镓在原子尺度上具有较大尺寸,镓会损害并导致硅锗的晶格结构的较大变形,借此在布植区增加晶体结构的非晶性。如以下更详细讨论的,通过使用镓的预非晶化布植工艺和在第一区213的高锗浓度而达到的较高非晶性可有助于降低磊晶源极/漏极结构292中的布植区的熔点,其有助于随后的自选(self-selected)退火工艺优先熔化布植区,并容许在接近磊晶源极/漏极结构292的顶表面215处产生高掺质浓度。
可以使用第二层间介电质230、第一层间介电质297及/或接触蚀刻停止层296共同作为布植遮罩,对半导体基底270进行预非晶化布植工艺231,使得在暴露于源极/漏极接触开口232的磊晶源极/漏极结构292中形成非晶区219。非晶区219通常具有随机分布于其中的第一物质。在一些实施例中,预非晶化布植工艺231可以在磊晶源极/漏极结构292的晶格中产生第一物质的高斯(Gaussian)分布,其中原子的峰值或最高浓度接近磊晶源极/漏极292的顶表面215,并且较低的浓度深入磊晶源极/漏极结构292。在一些范例中,在垂直深度为约4至约20纳米处,第一物质的峰值浓度可在约1×1019cm-3至约1×1021cm-3的范围或更大,并且第一物质的浓度梯度可以以每约4纳米至约8纳米约十倍的速率降低。
在一示范实施例中,第一物质的布植使用的能量在约1千电子伏特(keV)至约20keV的范围,例如约3keV至约10keV,剂量范围为约1×1013cm-2至约1×1015cm-2。在预非晶化布植工艺期间,半导体基底270的温度可以保持在约-100℃至约500℃的温度范围。在第一物质是镓的范例中,在预非晶化布植工艺期间,半导体基底270的温度保持在约-60℃。可以采用从垂直方向约0度和约60度之间的倾斜角倾斜布植第一物质。
应理解的是,虽然非晶区219被示出为限制在第一区213的边界内,但在一些实施例中,非晶区219可以超出第一区213。可以根据应用改变非晶区219的深度,并且可以通过改变布植能量/剂量、基底温度及/或倾斜角来控制非晶区219的深度。
在非晶区219形成于露出的磊晶源极/漏极结构292中之后,可进行掺质布植工艺293以将第二物质(例如掺质)导入露出的磊晶源极/漏极结构292。掺质布植工艺293被设计为增加硅化物/掺杂区界面处的掺杂浓度,因此可以降低相应的磊晶源极/漏极结构292与随后形成的导电部件之间的接触电阻。在第一物质使用镓的情况下,由于存在大量悬键(dangling bonds)以及在非晶区219中随机分布的大尺寸镓,大部分的第二物质可以被抓住或被限制于非晶区219内。结果,可以改善磊晶源极/漏极结构292表面处的掺质活化,借此降低装置的接触电阻。
对于p型装置,第二物质可以包含硼、铝、镓、铟或前述的任何组合。在一实施例中,第二物质是硼。在一些情况下,第二物质还可以包含n型掺质,例如磷、砷、锑等。掺质布植工艺可以是可选择性的,举例来说,如果预非晶化布植工艺中使用的第一物质提供装置想要的及/或合适的导电性。
如图6所示,使用离子布植工艺将第二物质布植至与第一物质大致上相同的区域中,借此在露出的磊晶源极/漏极结构292中形成掺杂区221。掺杂区221可以重叠非晶区219,使得第二物质可以随机分布于包含第一物质的非晶区219中。在一些实施例中,掺质布植工艺可以在非晶区219内产生第二物质的分布,其中第二物质的峰值或最高浓度接近磊晶源极/漏极结构292的顶表面215,并且较低浓度更深入非晶区219(或磊晶源极/漏极结构292)。在一实施例中,在垂直深度为约1纳米至约10纳米的范围,第二物质的峰值浓度在约1×1021cm-3至约2×1022cm-3的范围,并且在磊晶源极/漏极结构292中的第二物质的浓度以远离磊晶源极/漏极结构292的顶表面215的方向,从第二物质的峰值浓度降低。可以通过改变布植能量/剂量、基底温度及/或倾斜角度控制掺杂区221的深度。虽然非晶区219通常在磊晶源极/漏极结构292内具有比掺杂区221更大、更深的分布,但在一些实施例中,掺杂区221可以延伸超过非晶区219的边界到达非晶区219的边界和第一区213的边界之间的区域。在一些实施例中,掺杂区221可以进一步延伸至第二区217中。在大多数情况下,可以通过随后的退火进一步增加掺质扩散。
在一示范实施例中,第二物质(例如硼)的布植使用的能量在约0.5keV至约10keV的范围,剂量在约1×1013cm-2至约1×1016cm-2的范围,例如约2×1015cm-2。如果需要,可以采用从垂直方向约0度和约30度之间的倾斜角倾斜布植p型掺质。
在可选择性的掺质布植工艺之后,进行熔融退火(melting anneal,MLA)工艺233以活化磊晶源极/漏极结构292中的掺质。如图7所示,熔融退火工艺233还可以将原为非晶的磊晶源极/漏极结构再结晶,借此将非晶区219转变为无缺陷的结晶区223。在不同实施例中,在纳秒(nanosecond,ns)级下进行熔融退火工艺233。在一些应用中,在纳秒范围进行熔融退火工艺233可以具有优势,因为即使在高退火温度(例如850℃或更高)下,可以活化掺质而不使掺质扩散出磊晶源极/漏极结构292或使掺质的扩散降至最低。由于在最少扩散的情况下活化磊晶源极/漏极结构292中的掺质,可以防止短通道效应(short channeleffect)。另外,磊晶源极/漏极结构292,特别是磊晶源极/漏极结构292的顶部,由于其较低的熔化温度,在这种极短的退火工艺中可以优先熔化。如前所述,磊晶源极/漏极结构292的顶部包含具有约40原子百分比或更大的锗浓度的第一区213以及具有高度非晶性的非晶区219。由于锗的熔点通常低于硅的熔点,因此具有高浓度锗的第一区213可以具有较低的熔点。高浓度锗和非晶区219的高非晶性一起可以使磊晶源极/漏极结构292的顶部成为熔点低于基底的其他区域的区域。因此,当半导体基底270暴露于熔融退火工艺233时,磊晶源极/漏极结构292的顶部可以优先熔化或退火(例如自选退火)。
可以预期的是,通过至少改变磊晶源极/漏极结构292的第一区213中的锗的原子百分比及/或分布,可以达到半导体基底270的想要的区域(例如磊晶源极/漏极结构292的顶部)的选择性或优先熔化。举例来说,可以增加第一区213中的锗浓度以降低磊晶源极/漏极结构292的熔点。在一些实施例中,通过改变非晶区219的非晶度,也可以达到磊晶源极/漏极结构292的想要的区域选择性或优先熔化。举例来说,可以增加布植能量及/或第一物质(例如镓或锗)的剂量以提高非晶区219的非晶性。因为第一物质会损坏或改变磊晶源极/漏极结构292中原子的键结结构,所以布植第一物质可以降低磊晶源极/漏极结构292的熔点。可以由非晶对结晶组件的重量比定义非晶区的非晶性。在一些范例中,非晶区219的非晶性可以在约1:5(结晶:非晶)至约1:1000(结晶:非晶)的范围,例如约1:30(结晶:非晶)至约1:100(结晶:非晶)。
具体而言,已观察到在纳秒范围进行的熔融退火工艺233可以提供掺质的表面堆积轮廓和逆行轮廓。在一些范例中,逆行轮廓位于表面堆积之后,表面堆积接近磊晶源极/漏极结构292的顶表面215。将在以下的图11更详细讨论示范逆行轮廓。表面堆积轮廓可能是由于短退火造成磊晶源极/漏极结构的顶部熔化或液化,而磊晶源极/漏极结构的其余部分通常保持固相。由于杂质倾向于集中在凝固磊晶源极/漏极结构的液体及/或固液界面(例如熔融硅锗和结晶硅锗之间),在熔化的硅锗凝固期间,布植的掺质(例如第一和第二物质)和磊晶源极/漏极结构中较深的锗可以通过与固液界面一起移动而被带到靠近磊晶源极/漏极结构的顶表面215的区域。结果,磊晶源极/漏极结构292的上部(例如第一区213和非晶区219)中的布植掺质和锗可以向上移动并且在接近(例如约1纳米)磊晶源极/漏极结构292的顶表面215的区域堆积,将第二物质(例如硼)的原始高斯分布转换成表面堆积轮廓和逆行轮廓。因为硼的固溶度随着锗浓度越高而越低,硼逆行轮廓的来源是由于锗元素的表面堆积。相较于布植/磊晶(as-IMP/as-EPI),逆行轮廓提供较高的块体掺质浓度,其将为装置提供优选导电性。
图7中的插图890是磊晶源极/漏极结构292的一部分的放大局部示意图,其根据一些实施例示出结晶区223。在一实施例中,在熔融退火工艺之后,在磊晶源极/漏极结构292的顶表面215下方的垂直深度T1内堆积第一物质896、第二物质898和锗原子897。在一范例中,垂直深度T1在约0.5纳米至约6纳米的范围。在一些实施例中,结晶区223可以包含低于垂直深度T1的浓度梯度,其中第一物质896、第二物质898和锗原子897的混合物的峰值浓度位于磊晶源极/漏极结构292的顶表面215及/或接近磊晶源极/漏极结构292的顶表面215处,结晶区223的较深处(例如朝向远离顶表面215的方向)具有锗原子897和第一物质896的较低浓度(例如低于峰值浓度一个数量级)。在一范例中,结晶区223是具有第二物质(例如硼)的逆行掺质分布的硅锗。在第一物质是镓/锗而第二物质是硼的情况下,结晶区223可以包含镓掺杂及/或硼掺杂的硅锗,其中锗浓度为约60原子百分比或更高,例如约82原子百分比。
此外,因为通过纳秒熔融退火工艺达到掺质的表面堆积轮廓,例如当第一区213中的初始锗浓度在约40原子百分比至约60原子百分比的范围时,磊晶源极/漏极结构292的顶部中的锗浓度可以增加至65原子百分比,或甚至约80原子百分比。因此,即使磊晶源极/漏极结构292中的锗浓度保持相同,纳秒熔融退火工艺也可以通过从磊晶源极/漏极结构292的下部(例如第二区217)将锗原子移动至磊晶源极/漏极结构292的顶部,而使第一区213的锗浓度增加。在第一区213中的初始锗浓度越低,在第一区213中的熔点可以越高。这容许在不同工艺中有更大的空间发生高温工艺,因此可以降低对装置制造的总热预算(thermalbudget)的影响。
熔融退火工艺可以利用任何合适的电磁能量来达到纳秒范围的退火时间,例如光辐射源、电子束源或微波能量源。在一实施例中,熔融退火工艺通过使用激光的光辐射源进行。在这种情况下,可以通过来自能量源的激光光束扫描磊晶源极/漏极结构292的露出表面上来进行激光退火工艺233。在一些实施例中,可以将激光光束施加到整个半导体基底270或部分的半导体基底270。在任何情况下,当半导体基底270相对于传递到目标区的能量(或反之亦然)被平移或扫描时,可以将激光光束传递到目标区。举例来说,激光光束可以使第一装置的半导体基底的第一部分(例如磊晶源极/漏极结构的露出表面)退火,然后可以移动半导体基底270及/或激光光束,并且激光光束可以使第二装置的半导体基底的第二部分(例如磊晶源极/漏极结构的露出表面)退火。
能量源可以是任何类型的激光,例如气体激光、准分子(excimer)激光、固态激光、光纤激光、半导体激光等。激光光束可以具有恒定的能量通量。在一些实施例中,激光光束的波长可以在约200纳米至约20微米的范围,例如约280纳米至约1200纳米,例如约300纳米至约1000纳米,并且激光光束可以传递的能量密度能够熔化露出的磊晶源极/漏极结构292或小于熔化露出的磊晶源极/漏极结构292所需的能量密度。在一些范例中,可以传递的能量密度在约0.01焦耳/平方厘米(J/cm2)至约5J/cm2的范围。激光光束的停留(dwell)时间可以在约1纳秒至约1000纳秒的范围,例如约10纳秒至约500纳秒,例如约20纳秒至约200纳秒。
在此讨论的参数可以根据应用而改变。在一些实施例中,进行激光退火工艺,使得具有激光光束入射在其上的每个部分可以瞬间升高至接近或高于被退火材料的熔点的温度。因此,可以根据待退火材料的熔点改变退火温度。在磊晶源极/漏极结构292由硅锗形成的范例中,锗浓度在约30原子百分比至约60原子百分比的范围,退火温度可以是约850℃或更高,例如在约920℃至约1250℃的范围。此温度可以熔化或近熔化(sub-melt)退火区。当退火区的材料被加热到材料的摄氏熔化温度的约80%或更高的温度时,例如约90%,更具体而言,约97%,退火区可以变为近熔化。
在图14A示出的实施例中,可以在熔融退火工艺233(在图14A中标记为1404)之前进行快速热退火(rapid thermal anneal,RTA)工艺1402。在此实施例中,因为在磊晶源极/漏极结构292中布植镓或锗,快速热退火工艺可以再结晶或修复非晶区219的晶格结构。然后,随后的熔融退火工艺233可以用前述的方法活化并使布植的掺质和锗向上移动至接近磊晶源极/漏极结构292的顶表面215的区域。结果,在磊晶源极/漏极结构292内得到逆行硼轮廓和表面堆积锗轮廓。可以通过使用灯(例如卤素灯或闪光灯阵列)加热图7的中间结构来进行快速热退火工艺。使用卤素灯退火工艺的示范实施例可以包含加热图7的中间结构并将其保持在约300℃或更高的温度,例如在约400℃至约900℃的范围。然后,卤素灯的光照射在图7的中间结构的露出表面上约1秒至约600秒的范围,例如约1秒至约180秒,以进一步将图7的中间结构的表面(例如磊晶源极/漏极结构292的顶表面215)加热至约400℃至约800℃的温度范围,例如约550℃至约700℃。在一些实施例中,可以在熔融退火工艺233之后进行快速热退火工艺。
在一些实施例中,可以进一步进行毫秒退火(millisecond annealing,MSA)工艺以减少退火工艺的副作用。毫秒退火工艺可以利用激光退火或闪光退火(flash anneal)工艺达到毫秒范围的退火时间。毫秒退火工艺提供较低的热预算,因此可用于进一步控制或调制掺质分布,借此增强掺质活化。可以采用任何顺序将毫秒退火添加至前述的退火工艺中。在图14B示出的实施例中,在熔融退火工艺1406之后进行毫秒退火工艺1408(例如熔融退火-毫秒退火)。在图14C示出的实施例中,在毫秒退火工艺1410之后进行熔融退火工艺1412(例如毫秒退火-熔融退火)。在图14D示出的另一实施例中,在快速热退火工艺1414和熔融退火工艺1416之后进行毫秒退火工艺1418(例如快速热退火-熔融退火-毫秒退火)。在图14E示出的又一实施例中,在熔融退火工艺1420和快速热退火工艺1422之后进行毫秒退火工艺1424(例如熔融退火-快速热退火-毫秒退火)。如果需要,可以在快速热退火工艺1428和熔融退火工艺1430之前进行毫秒退火工艺1426(例如图14F示出的毫秒退火-快速热退火-熔融退火)。或者,可以在熔融退火工艺1434和快速热退火工艺1436之前进行毫秒退火工艺1432(例如图14G示出的毫秒退火-熔融退火-快速热退火)。用于进行毫秒退火工艺的示范腔室是可从加利福尼亚州圣克拉拉市的应用材料公司(Applied Materials,Inc.ofSanta Clara,California)获得的动态表面退火(Dynamic surface anneal,Astra
Figure BDA0002093503590000181
)腔室。可预期的是,可以使用闪光灯退火工艺或任何先进工艺,其使用合适的光辐射,在非常短的时间内(例如在纳秒或毫秒时间尺度上)进行退火。
类似于熔融退火工艺,可以通过来自能量源的激光光束扫描磊晶源极/漏极结构292的露出表面或闪烁整个晶圆来进行毫秒退火工艺。在一些实施例中,可以将激光光束施加到整个半导体基底270或部分的半导体基底270。在任何情况下,相对于传递到目标区的能量(或反之亦然),当平移或扫描半导体基底270时,可以将激光光束传递到目标区。同样地,能量源可以是任何类型的激光,例如气体激光、准分子激光、固态激光、光纤激光、半导体激光等。激光光束或闪光灯的波长可以在约200纳米到约20微米的范围,例如约300纳米至约1200纳米,例如约400纳米至约1000纳米。退火温度可以在约500℃至约1200℃的范围,例如约800℃至约1000℃。毫秒退火的停留时间可以在约0.01毫秒至约10毫秒的范围,例如约0.1毫秒至约5毫秒,例如0.2毫秒至2毫秒。
在熔融退火工艺(以及在一些情况下的快速热退火及/或毫秒退火工艺)之后,在磊晶源极/漏极结构292上形成硅化物层。形成硅化物层以进一步降低装置的接触电阻。在一些实施例中,可以通过至少在磊晶源极/漏极结构292的露出表面上形成金属(例如钛或钽),并且退火使金属与磊晶源极/漏极结构292的材料反应以形成硅化物层。
在一实施例中,如图8所示,在露出的磊晶源极/漏极结构292、第一层间介电质297和接触蚀刻停止层296的表面上形成顺形的金属层210。金属层210可以是单层或多层堆叠。在金属层210为单层的情况下,金属层210可以是或包含钛、钽或类似的材料。在金属层210为多层堆叠(例如双层)的情况下,第一层可以是或包含钛、钽或类似的材料,并且第二层可以是或包含氮化钛、氧化钛、氮化钽、氧化钽或类似的材料。第一层可以形成于第二层上,反之亦然。金属层210的沉积可以通过原子层沉积(atomic layer deposition,ALD)、物理气相沉积(physical vapor deposition,PVD)、化学气相沉积或任何合适的沉积技术。在使用双层的一些情况下,可以通过物理气相沉积形成第一层,并且可以通过原子层沉积形成第二层。在一些实施例中,金属层210是钛层。在另一实施例中,金属层210是具有在钛层上形成的氮化钛层的膜层堆叠。
如图8所示,在金属沉积之后,进行退火工艺以使磊晶源极/漏极结构292的上部与金属层210反应,借此形成硅化物层214。加热基底270使得在金属层210与磊晶源极/漏极结构292接触的任何地方都发生硅化反应。硅化反应可以发生在磊晶源极/漏极结构292和金属层210之间的界面,以及在磊晶源极/漏极结构292和金属层210之间的界面周围及/或超出的区域。退火工艺可以使布植的掺质和锗原子在整个硅化物层214中重新分布。在一些金属层210为膜层堆叠(例如钛/氮化钛(Ti/TiN))的情况下,底层(例如钛)可以与磊晶源极/漏极结构292反应并完全转换成硅化物层214的一部分。硅化物层214的此部分可以与结晶区223重叠。图8的插图891是放大的局部示意图,其示出在磊晶源极/漏极结构292和金属层210之间的界面处形成的硅化物层214的范例。在金属层210是钛/氮化钛层堆叠的范例中,硅化物层214可以是钛硅化物(TiSiGe)。可以看出,硅化物层214可以包含先前被带出并在靠近磊晶源极/漏极结构292的顶表面的区域堆积的第一物质896(例如镓)、第二物质898(例如硼)和锗原子897及/或被其环绕。
在一些实施例中,第一物质896、第二物质898和锗原子897可以在硅化物区892中具有最大浓度。在一些实施例中,第一物质896、第二物质898和锗原子897还可以沿着硅化物层214和结晶区223之间的界面813随机分布。在一些范例中,第一和第二物质896、898和锗原子897的浓度可以沿着磊晶源极/漏极结构292的厚度逐渐降低,其峰值浓度位于及/或接近磊晶源极/漏极结构292的顶表面215,并且较低浓度(例如低于峰值浓度至少一个数量级)更深入至磊晶源极/漏极结构292。在进一步的范例中,第二物质(例如硼)可以在表面堆积之后具有逆行轮廓,在一定距离处(从顶表面测量)大于峰值浓度。逆行轮廓沿着磊晶源极/漏极结构292的厚度,从较低浓度增加到次峰值(sub-peak)浓度。以下参照图11和12更详细地讨论表面堆积和逆行轮廓。在完成硅化工艺时,硅化物层214及/或磊晶源极/漏极结构292与金属层210之间的界面可以具有最大浓度的锗和最大浓度的布植掺质(例如镓和硼物质),其可改善受到短通道效应(short channel effect,SCE)和漏极引发能障降低(drain-induced barrier lowering,DIBL)效应影响的装置效能。
举例来说,在硅化物形成期间使用的退火工艺可以是快速热退火(rapid thermalanneal,RTP),其在约400℃至约650℃的温度下进行,例如约500℃,持续时间为约5秒至约60秒的范围。举例来说,硅化物层214的厚度可以在约2纳米至约20纳米的范围。在一些范例中,可以通过选择性蚀刻工艺移除未反应的金属层210,选择性蚀刻工艺攻击未反应的金属层210但不攻击硅化物层214。选择性蚀刻工艺可以是任何合适的湿式蚀刻或干式蚀刻工艺。在一些范例中,未反应的金属层210可用于形成粘着层及/或阻障层。
图9示出在源极/漏极接触开口232中形成到磊晶源极/漏极结构292的导电部件234。举例来说,每个导电部件234可以包含形成于源极/漏极接触开口232的露出表面中的顺形粘着层、粘着层上的阻障层和阻障层上的导电材料。粘着层可以是或包含钛、钽、类似的材料或前述的组合,并且粘着层的沉积可以通过原子层沉积、化学气相沉积或其他沉积技术。阻障层可以是或包含氮化钛、氧化钛、氮化钽、氧化钽、类似的材料或前述的组合,并且阻障层的沉积可以通过原子层沉积、化学气相沉积或其他沉积技术。导电材料可以沉积于阻障层上并且填充源极/漏极接触开口。导电材料可以是或包含钨、钴、铜、钌、铝、金、银、前述的合金、类似的材料或前述的组合,并且导电材料的沉积可以通过化学气相沉积、原子层沉积、物理气相沉积或其他沉积技术。在沉积导电材料之后,可以通过使用平坦化工艺(例如化学机械研磨)移除多余的导电材料、阻障层和粘着层。因此,导电部件和第二层间介电质230的顶表面可以共平面。导电部件234可称为接触件、插塞等。
图10根据一些实施例示出图9的磊晶源极/漏极结构292(没有预非晶化布植工艺、掺质布植和任何其他热处理)的硼和锗轮廓的曲线图。此曲线图:(1)以原子百分比(at.%)示出锗以及(2)以原子每立方厘米(atoms per cubic centimeter)示出硼的掺质浓度,作为磊晶源极/漏极结构292中的位置函数。在没有预非晶化布植工艺、掺质布植和任何其他热处理的情况下实验获得图10示出的曲线图。为了说明的目的,磊晶源极/漏极结构292的掺质和本质(intrinsic)材料分别是硼和硅锗。可以使用其他材料和掺质,例如前文讨论的第二物质,并且可以具有相应的部件。
第一轮廓1112表示磊晶源极/漏极结构292中的锗浓度。第二轮廓1114表示磊晶源极/漏极结构292中的硼浓度。第一轮廓1112示出锗在第一区213中的近表面峰值浓度为约53原子百分比,此浓度接下来在磊晶源极/漏极结构292的第一区213中大致上保持恒定。第一轮廓1112的浓度在第一区213与第二区217之间的界面处以第一速率降低至约30原子百分比,并且接下来第一轮廓1112的浓度以小于第一速率的第二速率通过第二区217的剩余深度,降低至约24原子百分比。
类似地,第二轮廓1114示出硼掺质在磊晶源极/漏极结构292的顶表面215处的表面浓度为约4.7×1020cm-3,此浓度接下来在第一区213中大致上保持恒定。第二轮廓1114的浓度在第一区213与第二区217之间的界面处降低至约3.3×1020cm-3,并且此浓度在第二区217中大致上保持恒定。
通过进行如上所述的预非晶化布植工艺、掺质布植和熔融退火工艺来实验性地获得图11和12。此曲线图根据一些实施例示出磊晶源极/漏极结构(例如图9的磊晶源极/漏极结构292)中的不同掺质轮廓。图11中的曲线图以深度(例如垂直深度)为函数示出每立方厘米的硼原子浓度,举例来说,从磊晶源极/漏极结构292的顶表面215进入磊晶源极/漏极结构292。为了说明的目的,在这些范例中,磊晶源极/漏极结构292的掺质和本质材料分别是硼和硅锗。可以使用其他材料和掺质,例如前文讨论的第二物质,并且可以具有相应的部件。
第一轮廓1212示出在预非晶化布植工艺、掺质布植工艺和毫秒退火工艺之后获得的硼浓度轮廓。第一轮廓1212在磊晶源极/漏极结构292的顶表面215处具有约1.1×1022cm-3的峰值表面堆积浓度,然后浓度在第一区213中随着深度增加而降低至约4.7×1020cm-3,并且此浓度在第一区213的剩余部分大致上保持恒定。第一轮廓1212的掺质轮廓与第二区217的第二轮廓1114大致上相同。相较于第二轮廓1114,第一轮廓1212显示如果进行预非晶化布植和掺质布植工艺,表面掺质浓度较高。
第二轮廓1216示出在预非晶化布植工艺、掺质布植工艺和使用能量密度为约1.584J/cm2的激光光束的熔融退火工艺之后获得的硼浓度轮廓。第二轮廓1216在磊晶源极/漏极结构292的顶表面215处具有约2.62×1022cm-3的峰值表面堆积浓度。然后,第二轮廓1216的浓度随着距离增加而从近表面峰值降低至约1×1021cm-3,然后浓度在第一区213中增加至约1.8×1021cm-3的次峰值浓度。然后,第二轮廓1216的浓度从在第一区213与第二区217之间的界面处/附近的次峰值浓度降低至约4.5×1020cm-3。然后,第二轮廓1216在第二区217中的浓度降低至约3.3×1020cm-3,并且此浓度在整个第二区217的剩余深度大致上保持恒定。第二轮廓1216显示如果进行熔融退火工艺,可以在磊晶源极/漏极结构292同时获得接近顶表面215的高表面浓度(表面堆积)轮廓和在表面堆积之后的逆行轮廓。
第三轮廓1218示出在预非晶化布植工艺、掺质布植工艺和使用能量密度为约1.716J/cm2的激光光束的熔融退火工艺之后获得的硼浓度轮廓。类似于第二轮廓1216,第三轮廓1218在磊晶源极/漏极结构292的顶表面215处具有约2.63×1022cm-3的峰值表面浓度(表面堆积)。然后,第三轮廓1218的浓度随着深度增加而从近表面峰值浓度降低至约6.4×1020cm-3,然后浓度在第一区213与第二区217之间的界面处/附近具有增加至约2.1×1021cm-3的次峰值浓度。然后,第三轮廓1218的浓度在第二区217降低至约3.3×1020cm-3,并且此浓度在第二区217的剩余深度大致上保持恒定。第三轮廓1218显示如果进行熔融退火工艺,可以在磊晶源极/漏极结构292同时获得在接近顶表面215处的高表面浓度(表面堆积)轮廓和表面堆积之后的逆行轮廓。
图12中的曲线图以深度(例如垂直深度)为函数示出锗浓度的原子百分比(at.%),举例来说,从磊晶源极/漏极结构292的顶表面215进入磊晶源极/漏极结构292。第一轮廓1312示出在预非晶化布植工艺、掺质布植工艺和毫秒退火工艺之后获得的锗浓度轮廓。第一轮廓1312在磊晶源极/漏极结构292的顶表面215具有50原子百分比至53原子百分比的近表面峰值浓度,此浓度接下来在第一区213大致上在约53原子百分比保持恒定。第一轮廓1312的浓度在第一区213与第二区217之间的界面处以第一速率降低至约30原子百分比,并且第一轮廓1312的浓度接下来以小于第一速率的第二速率通过第二区217的剩余深度,降低至约24原子百分比。第一轮廓1312显示锗轮廓将保持与第一轮廓1112几乎相同,或者仅在预非晶化布植、掺质布植和毫秒退火工艺中发生很小的表面扩散。
第二轮廓1316示出在预非晶化布植工艺、掺质布植工艺和使用能量密度为约1.584J/cm2的激光光束的熔融退火工艺之后获得的锗浓度轮廓。第二轮廓1316在磊晶源极/漏极结构292的顶表面215具有约82原子百分比的峰值表面浓度(堆积)。第二轮廓1316的峰值浓度比没有进行熔融退火工艺的表面浓度(例如第一轮廓1312)的峰值浓度高约1.5至1.8倍。然后,第二轮廓1316的浓度随着深度增加而从近表面峰值浓度降低至约36原子百分比,然后在第一区213与第二区217之间的界面增加浓度,回到约53原子百分比的原始总体浓度。第二轮廓1316的浓度以第一速率从53原子百分比的总体浓度降低至约30原子百分比,并且接下来第二轮廓1316通过第二区217的剩余深度以小于第一速率的第二速率降低至约24原子百分比。第二轮廓1316显示如果进行熔融退火工艺,可以在接近磊晶源极/漏极结构292的顶表面215处获得高表面浓度(堆积)轮廓。
第三轮廓1318示出在预非晶化布植工艺、掺质布植工艺和使用能量密度为约1.716J/cm2的激光光束的熔融退火工艺之后获得的锗浓度轮廓。类似于第二轮廓1316,第三轮廓1318在磊晶源极/漏极结构292的顶表面215处具有约79原子百分比的峰值表面浓度(堆积)。近表面峰值浓度约1.5倍高于未进行熔融退火工艺的表面浓度(例如第一轮廓1312)。第三轮廓1318的浓度随着深度增加而降低至第一区213与第二区217之间的界面处的约28原子百分比。然后,第三轮廓1318的浓度在第二区217中以较小的速率降低至约24原子百分比。同样地,第三轮廓1318显示如果进行熔融退火工艺,可以在靠近磊晶源极/漏极结构292的顶表面215处获得高表面浓度。
图11示出的第二和第三轮廓1216、1218以及图12示出的第二和第三轮廓1316、1318显示可以使用熔融退火工艺获得高表面浓度(堆积)和硼的逆行轮廓以及锗的高表面浓度(堆积)轮廓。此外,利用预非晶化布植工艺和熔融退火工艺,硼和锗都可以被向上带并且在磊晶源极/漏极结构292的顶表面215处堆积,其可降低萧特基(Schottky)能障高度,借此降低磊晶源极/漏极结构的接触电阻,因此降低接触件的接触电阻。此外,相较于进行快速热退火工艺而不进行预非晶化布植工艺和毫秒退火工艺的第一轮廓1212,预非晶化布植工艺和熔融退火工艺还可以在磊晶源极/漏极结构292的块体(以虚线圆圈显示)中达到较高的掺质(例如硼)浓度。磊晶源极/漏极结构的块体中较高的硼浓度可有助于降低磊晶源极/漏极结构与随后形成的导电部件之间的接触电阻。
虽然可以在不同的实施例中改变结构,但可以预期本公开中的各种实施例可用于具有位于冠状结构上的鳍片的鳍式场效晶体管。图13根据一些实施例示出结构的一部分的剖面示意图。图13的结构可被称为“冠状”结构,而例如图1的结构可被称为“非冠状”结构。如图13所示,隔离区278的下表面可以处于不同的水平。可以在形成鳍片274的图案化半导体基底270期间获得上述结构,例如通过两个或更多道图案化和蚀刻工艺。
可以在一或多个实施例达到不同优点。举例来说,通过使用在纳秒级进行的布植工艺和熔融退火(MLA)工艺,可以在源极/漏极结构的顶表面处获得布植的掺质(例如硼)的高表面浓度和逆行轮廓以及来自源极/漏极结构的本质材料的锗的高表面浓度,其可降低用于p型装置的硅化物接触件的接触电阻。即使在高退火温度(例如850℃或更高)下,纳秒熔融退火工艺也容许活化掺质而不使掺质扩散出源极/漏极结构或使掺质的扩散降至最低,借此防止短通道效应。此外,可以操纵源极/漏极结构中的锗百分比和非晶度,以在磊晶源极/漏极结构中具有较低的熔点,其可以在暴露于纳秒熔融时能够优先熔化源极/漏极结构。这种自选退火为后续工艺中的高温工艺提供更大的空间,因此降低了对装置制造的总热预算的影响。
在一实施例中,提供一种用于半导体工艺的方法。此方法包含在基底上的主动区中形成源极/漏极结构,此源极/漏极结构包含第一区,此第一区包含锗,将第一掺质布植至源极/漏极结构的第一区中以在至少在源极/漏极结构的第一区中形成非晶区,将第二掺质布植至包含第一掺质的非晶区,以及加热源极/漏极结构以至少将非晶区液化并转换成结晶区,此结晶区包含第一掺质和第二掺质。在一些实施例中,源极/漏极结构的加热是通过激光退火工艺进行,其使用具有约1纳秒至约1000纳秒的停留时间的激光。在一些实施例中,此方法还包含在加热源极/漏极结构之后,使结晶区经历毫秒退火工艺,其使用具有约0.01毫秒至约10毫秒的停留时间的激光光束或闪光灯以及约500℃至约1200℃的退火温度。在一些实施例中,此方法还包含在加热源极/漏极结构之前,使源极/漏极结构经历快速热退火工艺,其具有约1秒至约180秒的持续时间以及约400℃至约800℃的退火温度。在一些实施例中,此方法还包含在使源极/漏极结构经历快速热退火工艺之前,使源极/漏极结构经历毫秒退火工艺,其使用具有约0.01毫秒至约10毫秒的停留时间的激光光束或闪光灯以及约500℃至约1200℃的退火温度。在一些实施例中,此方法还包含在加热源极/漏极结构之前,使源极/漏极结构经历毫秒退火工艺,其使用具有约0.01毫秒至约10毫秒的停留时间的激光光束或闪光灯以及约500℃至约1200℃的退火温度。在一些实施例中,此方法还包含在加热源极/漏极结构之后,使源极/漏极结构经历快速热退火工艺,其具有约1秒至约180秒的持续时间以及约400℃至约800℃的退火温度。在一些实施例中,源极/漏极结构还包含第二区,此第二区包含锗,在第一区中的锗浓度大于在第二区中的锗浓度。在一些实施例中,第一掺质包含镓(Ga)、锗、硅或前述的组合。在一些实施例中,第二掺质为p型掺质。
在另一实施例中,提供一种用于半导体工艺的方法。此方法包含在基底上的主动区中形成源极/漏极结构,此源极/漏极结构包含具有第一锗浓度的第一区和具有第二锗浓度的第二区,第一锗浓度大于第二锗浓度,在主动区上方形成介电层,形成开口穿过介电层以露出源极/漏极结构的上表面的至少一部分,将包含镓或锗的第一掺质布植至露出的源极/漏极结构,在布植第一掺质之后,将包含p型掺质的至少第二掺质布植至包含第一掺质的露出的源极/漏极结构中,使包含第一掺质和至少第二掺质的布植的源极/漏极结构经历第一退火工艺,此第一退火工艺加热布植的源极/漏极结构,在露出的源极/漏极结构的上表面形成硅化物区,以及在开口中形成导电部件至源极/漏极结构的上表面。在一些实施例中,第一退火工艺是激光退火工艺,其使用具有约1纳秒至约1000纳秒的停留时间的激光。在一些实施例中,第一退火工艺使第一掺质和至少第二掺质移至源极/漏极结构的上表面。在一些实施例中,至少第二掺质包含硼,且第一退火工艺使布植的源极/漏极结构形成:具有表面堆积轮廓的锗浓度轮廓,其中峰值浓度接近源极/漏极结构的上表面,且浓度随着进入源极/漏极结构的深度增加而从峰值浓度降低;以及具有表面堆积轮廓的硼浓度轮廓,其中峰值浓度接近源极/漏极结构的上表面。在一些实施例中,第一锗浓度在大于或等于约25原子百分比的范围且第二锗浓度在小于或等于约25原子百分比的范围。
在又一实施例中,提供一种结构。此结构包含位于基底上的主动区,此主动区包含源极/漏极结构,此源极/漏极结构具有硅化物层设置于其上,在主动区上方的介电层,以及穿过介电层至硅化物层的导电部件。源极/漏极结构包含第一区,第一区接近源极/漏极结构的顶表面并与硅化物层的至少一部分重叠,上述第一区包含p型掺质的第一浓度轮廓和锗的第二浓度轮廓,以及第二区设置于第一区和基底之间,上述第二区具有锗的第三浓度轮廓,上述第三浓度轮廓以远离源极/漏极结构的顶表面的方向从位于第一区与第二区之间的界面上的第二浓度轮廓降低。第一浓度轮廓包含位于顶表面的第一表面浓度,第一浓度轮廓从第一表面浓度增加至第一峰值浓度,且第一浓度轮廓以远离源极/漏极结构的顶表面的方向从第一峰值浓度降低。在一些实施例中,第二浓度轮廓包含在顶表面上的第二表面浓度,第二表面浓度从第二表面浓度增加至第二峰值浓度,且第二浓度轮廓以远离源极/漏极结构的顶表面的方向从第二峰值浓度降低。在一些实施例中,第二峰值浓度大于或等于约25原子百分比,且在接近第一区与第二区之间的界面的第二区中的锗浓度小于或等于约25原子百分比。在一些实施例中,第一峰值浓度和第二峰值浓度在低于顶表面约6纳米的深度内。在一些实施例中,第一区还包含镓掺质。
以上概述数个实施例的部件,使得在本公开所属技术领域中技术人员可以更加理解本公开实施例的面向。在本公开所属技术领域中技术人员应该理解,他们能以本公开实施例为基础,设计或修改其他工艺和结构,以达到与在此介绍的实施例相同的目的及/或优势。本公开所属技术领域中技术人员也应该理解到,此类等效的结构并未悖离本公开的构思与范围,且他们能在不违背本公开的构思和范围下,做各式各样的改变、取代和替换。

Claims (18)

1.一种半导体结构的制造方法,包括:
在一基底上的一主动区中形成一源极/漏极结构,该源极/漏极结构包含一第一区和一第二区,该第一区包括一第一锗浓度且该第二区包括一第二锗浓度,其中该第一锗浓度大于该第二锗浓度;
将一第一掺质布植至该源极/漏极结构的该第一区以至少在该源极/漏极结构的该第一区中形成一非晶区;
将一第二掺质布植至包含该第一掺质的该非晶区;以及
加热该源极/漏极结构以至少将该非晶区液化并转换成一结晶区,该结晶区包含该第一掺质和该第二掺质。
2.如权利要求1所述的半导体结构的制造方法,其中该源极/漏极结构的加热是通过激光退火工艺进行,其使用具有1纳秒至1000纳秒的停留时间的激光。
3.如权利要求1所述的半导体结构的制造方法,还包括:
在加热该源极/漏极结构之后,使该结晶区经历毫秒退火工艺,其使用具有0.01毫秒至10毫秒的停留时间的激光光束或闪光灯以及500℃至1200℃的退火温度。
4.如权利要求1所述的半导体结构的制造方法,还包括:
在加热该源极/漏极结构之前,使该源极/漏极结构经历快速热退火工艺,其具有1秒至180秒的持续时间以及400℃至800℃的退火温度。
5.如权利要求4所述的半导体结构的制造方法,还包括:
在使该源极/漏极结构经历该快速热退火工艺之前,使该源极/漏极结构经历毫秒退火工艺,其使用具有0.01毫秒至10毫秒的停留时间的激光光束或闪光灯以及500℃至1200℃的退火温度。
6.如权利要求1所述的半导体结构的制造方法,还包括:
在加热该源极/漏极结构之前,使该源极/漏极结构经历毫秒退火工艺,其使用具有0.01毫秒至10毫秒的停留时间的激光光束或闪光灯以及500℃至1200℃的退火温度。
7.如权利要求6所述的半导体结构的制造方法,还包括:
在加热该源极/漏极结构之后,使该源极/漏极结构经历快速热退火工艺,其具有1秒至180秒的持续时间以及400℃至800℃的退火温度。
8.如权利要求1所述的半导体结构的制造方法,其中该第一掺质包括镓、锗、硅或其组合。
9.如权利要求1所述的半导体结构的制造方法,其中该第二掺质为p型掺质。
10.一种半导体结构的制造方法,包括:
在一基底上的一主动区中形成一源极/漏极结构,该源极/漏极结构包含具有一第一锗浓度的一第一区以及具有一第二锗浓度的一第二区,该第一锗浓度大于该第二锗浓度;
在该主动区上方形成一介电层;
形成一开口穿过该介电层以至少露出该源极/漏极结构的一上表面;
将一第一掺质布植至该露出的源极/漏极结构,该第一掺质包括镓或锗;
在布植该第一掺质之后,将至少一第二掺质布植至包含该第一掺质的该露出的源极/漏极结构中,该第二掺质包括p型掺质;
使包含该第一掺质和至少该第二掺质的该布植的源极/漏极结构经历一第一退火工艺,该第一退火工艺加热该布植的源极/漏极结构,其中该第一退火工艺使该第一掺质和至少该第二掺质移至该源极/漏极结构的该上表面;
在该露出的源极/漏极结构的该上表面形成一硅化物区;以及
在该开口中形成一导电部件至该源极/漏极结构的该上表面。
11.如权利要求10所述的半导体结构的制造方法,其中该第一退火工艺是一激光退火工艺,其使用具有1纳秒至1000纳秒的停留时间的激光。
12.如权利要求10所述的半导体结构的制造方法,其中至少该第二掺质包括硼,且该第一退火工艺使该布植的源极/漏极结构形成:
具有表面堆积轮廓的锗浓度轮廓,其中一峰值浓度接近该源极/漏极结构的该上表面,且浓度随着进入该源极/漏极结构的深度增加而从该峰值浓度降低;以及
具有表面堆积轮廓的硼浓度轮廓,其中一峰值浓度接近该源极/漏极结构的该上表面。
13.如权利要求10所述的半导体结构的制造方法,其中该第一锗浓度在大于或等于25原子百分比的范围且该第二锗浓度在小于或等于25原子百分比的范围。
14.一种半导体结构,包括:
一主动区,位于一基底上,该主动区包括一源极/漏极结构,该源极/漏极结构具有一硅化物层设置于其上,该源极/漏极结构包括:
一第一区,接近该源极/漏极结构的一顶表面且与该硅化物层的至少一部分重叠,该第一区包括p型掺质的一第一浓度轮廓和锗的一第二浓度轮廓,其中该第一浓度轮廓包括位于该顶表面的一第一表面浓度,该第一浓度轮廓从该第一表面浓度增加至一第一峰值浓度,且该第一浓度轮廓以远离该源极/漏极结构的该顶表面的一方向从该第一峰值浓度降低;以及
一第二区,设置于该第一区和该基底之间,该第二区具有锗的一第三浓度轮廓,该第三浓度轮廓以远离该源极/漏极结构的该顶表面的该方向从位于该第一区与该第二区之间的界面上的该第二浓度轮廓降低;
一介电层,位于该主动区上方;以及
一导电部件,穿过该介电层至该硅化物层。
15.如权利要求14所述的半导体结构,其中该第二浓度轮廓包括在该顶表面上的一第二表面浓度,该第二表面浓度从该第二表面浓度增加至一第二峰值浓度,且该第二浓度轮廓以远离该源极/漏极结构的该顶表面的该方向从该第二峰值浓度降低。
16.如权利要求15所述的半导体结构,其中该第二峰值浓度大于或等于25原子百分比,且在接近该第一区与该第二区之间的界面的该第二区中的锗浓度小于或等于25原子百分比。
17.如权利要求15所述的半导体结构,其中该第一峰值浓度和该第二峰值浓度在低于该顶表面6纳米的深度内。
18.如权利要求14所述的半导体结构,其中该第一区还包括镓掺质。
CN201910511115.XA 2018-06-27 2019-06-13 半导体结构及其制造方法 Active CN110648918B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/020,443 US10658510B2 (en) 2018-06-27 2018-06-27 Source/drain structure
US16/020,443 2018-06-27

Publications (2)

Publication Number Publication Date
CN110648918A CN110648918A (zh) 2020-01-03
CN110648918B true CN110648918B (zh) 2022-12-02

Family

ID=68989547

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910511115.XA Active CN110648918B (zh) 2018-06-27 2019-06-13 半导体结构及其制造方法

Country Status (3)

Country Link
US (4) US10658510B2 (zh)
CN (1) CN110648918B (zh)
TW (1) TWI698918B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US11121129B2 (en) * 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US10763328B2 (en) * 2018-10-04 2020-09-01 Globalfoundries Inc. Epitaxial semiconductor material grown with enhanced local isotropy
US11735630B2 (en) * 2019-01-03 2023-08-22 Intel Corporation Integrated circuit structures with source or drain dopant diffusion blocking layers
US11552169B2 (en) * 2019-03-27 2023-01-10 Intel Corporation Source or drain structures with phosphorous and arsenic co-dopants
CN112151376B (zh) * 2019-06-28 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11133223B2 (en) * 2019-07-16 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Selective epitaxy
US10867101B1 (en) * 2020-02-24 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction between two transistor devices on a same continuous fin
US11935793B2 (en) * 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US20210408275A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Source or drain structures with high surface germanium concentration
US20220051905A1 (en) * 2020-08-12 2022-02-17 Tokyo Electron Limited Formation of low-temperature and high-temperature in-situ doped source and drain epitaxy using selective heating for wrap-around contact and vertically stacked device architectures
US11646377B2 (en) * 2020-08-21 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
KR20220080543A (ko) * 2020-12-07 2022-06-14 삼성전자주식회사 반도체 장치
KR20220083437A (ko) 2020-12-11 2022-06-20 삼성전자주식회사 집적회로 소자
US20220199773A1 (en) * 2020-12-21 2022-06-23 Intel Corporation Condensed source or drain structures with high germanium content
US20220367686A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source/Drain Structure of Semiconductor Device and Method of Forming Same
US20230087399A1 (en) * 2021-09-23 2023-03-23 Intel Corporation Low temperature, high germanium, high boron sige:b pepi with a silicon rich capping layer for ultra-low pmos contact resistivity and thermal stability
US20230197817A1 (en) * 2021-12-21 2023-06-22 Debaleena Nandi Low temperature, high germanium, high boron sige:b pepi with titanium silicide contacts for ultra-low pmos contact resistivity and thermal stability
CN116207035B (zh) * 2022-09-23 2024-02-23 北京超弦存储器研究院 存储器的形成方法及存储器

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320236B1 (en) * 1999-10-06 2001-11-20 Advanced Micro Devices, Inc. Optimization of logic gates with criss-cross implants to form asymmetric channel regions
TW200737355A (en) * 2006-03-31 2007-10-01 United Microelectronics Corp Method of forming a MOS transistor
CN101076881A (zh) * 2004-10-25 2007-11-21 德州仪器公司 使用激光退火进行固相外延再结晶
CN101355102A (zh) * 2007-07-25 2009-01-28 台湾积体电路制造股份有限公司 一种半导体装置及形成该半导体装置的方法
CN102290374A (zh) * 2010-06-16 2011-12-21 台湾积体电路制造股份有限公司 制造集成电路装置的方法
CN103270599A (zh) * 2010-12-21 2013-08-28 英特尔公司 具有高浓度硼掺杂锗的晶体管
CN103824777A (zh) * 2012-11-15 2014-05-28 格罗方德半导体公司 使用经掺杂的凸起源极和漏极区的源极和漏极掺杂
CN107623036A (zh) * 2016-07-13 2018-01-23 台湾积体电路制造股份有限公司 半导体结构的制造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120924A1 (en) 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US8872228B2 (en) 2012-05-11 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel semiconductor device fabrication
US9396950B2 (en) 2013-03-15 2016-07-19 Globalfoundries Inc. Low thermal budget schemes in semiconductor device fabrication
US9490345B2 (en) * 2014-01-17 2016-11-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US10084063B2 (en) * 2014-06-23 2018-09-25 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9972682B2 (en) 2016-01-22 2018-05-15 International Business Machines Corporation Low resistance source drain contact formation
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10304957B2 (en) * 2016-09-13 2019-05-28 Qualcomm Incorporated FinFET with reduced series total resistance
US10026840B2 (en) * 2016-10-13 2018-07-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US10319722B2 (en) * 2017-03-22 2019-06-11 International Business Machines Corporation Contact formation in semiconductor devices

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6320236B1 (en) * 1999-10-06 2001-11-20 Advanced Micro Devices, Inc. Optimization of logic gates with criss-cross implants to form asymmetric channel regions
CN101076881A (zh) * 2004-10-25 2007-11-21 德州仪器公司 使用激光退火进行固相外延再结晶
TW200737355A (en) * 2006-03-31 2007-10-01 United Microelectronics Corp Method of forming a MOS transistor
CN101355102A (zh) * 2007-07-25 2009-01-28 台湾积体电路制造股份有限公司 一种半导体装置及形成该半导体装置的方法
CN102290374A (zh) * 2010-06-16 2011-12-21 台湾积体电路制造股份有限公司 制造集成电路装置的方法
CN103270599A (zh) * 2010-12-21 2013-08-28 英特尔公司 具有高浓度硼掺杂锗的晶体管
CN103824777A (zh) * 2012-11-15 2014-05-28 格罗方德半导体公司 使用经掺杂的凸起源极和漏极区的源极和漏极掺杂
CN107623036A (zh) * 2016-07-13 2018-01-23 台湾积体电路制造股份有限公司 半导体结构的制造方法

Also Published As

Publication number Publication date
US11257952B2 (en) 2022-02-22
US20200279944A1 (en) 2020-09-03
US10658510B2 (en) 2020-05-19
US11955553B2 (en) 2024-04-09
US20220173239A1 (en) 2022-06-02
US20230197852A1 (en) 2023-06-22
TWI698918B (zh) 2020-07-11
US20200006545A1 (en) 2020-01-02
TW202002012A (zh) 2020-01-01
US11594636B2 (en) 2023-02-28
CN110648918A (zh) 2020-01-03

Similar Documents

Publication Publication Date Title
CN110648918B (zh) 半导体结构及其制造方法
US10868178B2 (en) Field effect transistor contact with reduced contact resistance using implantation process
US11211455B2 (en) Formation of dislocations in source and drain regions of FinFET devices
US11855146B2 (en) Melt anneal source and drain regions
US11043597B2 (en) Method for reducing contact resistance in semiconductor structures
CN105810736B (zh) 包括鳍结构的半导体器件及其制造方法
CN115938947A (zh) 制造半导体结构的方法
US11830947B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11532516B2 (en) Melting laser anneal of epitaxy regions
TWI764292B (zh) 半導體元件及其製造方法
SG177900A1 (en) Method for fabricating semiconductor devices with shallow diffusion regions
KR101354660B1 (ko) 스트레인드 반도체 소자 및 그 제조 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant