CN110300928B - 量测方法、设备和计算机程序 - Google Patents

量测方法、设备和计算机程序 Download PDF

Info

Publication number
CN110300928B
CN110300928B CN201880009536.6A CN201880009536A CN110300928B CN 110300928 B CN110300928 B CN 110300928B CN 201880009536 A CN201880009536 A CN 201880009536A CN 110300928 B CN110300928 B CN 110300928B
Authority
CN
China
Prior art keywords
target
measurement
intensity
parameters
geometric parameters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880009536.6A
Other languages
English (en)
Other versions
CN110300928A (zh
Inventor
S·阿尔·拉赫曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN110300928A publication Critical patent/CN110300928A/zh
Application granted granted Critical
Publication of CN110300928B publication Critical patent/CN110300928B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Debugging And Monitoring (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明中披露一种量测方法以及相关联的量测设备。该方法包括:测量通过光刻过程而形成于衬底上的至少两个层中的目标,并且例如在图像场中捕捉至少一个对应对的非零衍射阶,以获得测量数据。执行如依据所述目标的几何参数而定义的所述目标的测量结果的仿真,所述几何参数包括一个或多个可变几何参数,并且使测量数据与仿真数据之间的差最小化,以便直接重新构造用于所述一个或多个可变几何参数的值。

Description

量测方法、设备和计算机程序
相关申请的交叉引用
本申请要求于2017年2月2日递交的EP申请17154425.7的优先权,该EP申请的全部内容以引用的方式并入本文中。
技术领域
本发明涉及能够在例如通过光刻技术制造器件时使用的量测方法、设备和计算机产品,并且涉及使用光刻技术制造器件的方法。
背景技术
光刻设备是将期望的图案施加到衬底上(通常施加到衬底的目标部分上)的机器。光刻设备可以用于例如制造集成电路(IC)。在这种情况下,图案化装置(其可替代地被称作掩模或掩模版)可以用于产生待形成于IC的单层上的电路图案。可以将该图案转移到衬底(例如硅晶片)上的目标部分(例如包括管芯的一部分、一个或多个管芯)上。通常经由成像到提供于衬底上的辐射敏感材料(抗蚀剂)层上来进行图案的转移。通常,单一衬底将包含连续地图案化的相邻目标部分的网络。
在光刻过程(即,使涉及光刻曝光的器件或其他结构显影的过程,该过程通常可以包括一个或多个相关联的处理步骤,诸如抗蚀剂显影、蚀刻等)中,期望频繁地对所产生的结构进行测量,例如用于过程控制和验证。用于执行这种测量的各种工具是已知的,包括经常用于测量临界尺寸(CD)的扫描电子显微镜,以及用于测量重叠(衬底的两个层的对准准确度)的专用工具。最近,已经开发出在光刻领域中使用的各种形式的散射仪。这些器件将辐射束引导到目标上并且测量散射辐射的一个或多个属性—例如,作为波长的函数的在单一反射角下的强度;作为反射角的函数的在一个或多个波长下的强度;或者作为反射角的函数的偏振—以获得“光谱”,可以根据该光谱确定目标的感兴趣的属性。可以通过各种技术执行感兴趣的属性的确定:例如,通过诸如严密耦合波分析或有限元法的迭代途径进行的目标结构的重新构造;库搜索;以及主成份分析。
发明内容
量测设备对于由例如蚀刻、化学机械抛光(CMP)、沉积等处理步骤造成的目标结构不对称性是敏感的。这种不对称性导致约几纳米的测量误差。这种效应可能开始主导重叠预算并且因此需要解决方案。
目标的周期性结构的形状的不对称性通常将对所测量的重叠有影响。这种影响可能依赖于用于量测的照射设定而变化。
应该被监控的其他参数包括叠层内的多个层中的一些或全部层的高度。通常对与重叠及对准目标分离的专用薄膜目标执行层高度的测量。这些专用薄膜目标占据额外的衬底区域,并且它们的测量花费额外的测量时间。
期望提供一种使生产量、灵活性和/或准确度能够得以改善的使用目标进行量测(例如重叠)的方法和设备。此外,尽管本发明不限于该量测方法和设备,但是如果该量测方法和设备能够应用于可以利用暗场技术读出的小目标结构,那么将具有极大的优点。
在本发明的第一方面中,提供一种量测方法,所述量测方法包括:获得涉及通过光刻过程而形成于衬底上的至少两个层中的目标的测量的测量数据,所述测量数据是从至少一个对应对的非零衍射阶导出的;获得涉及依据所述目标的几何参数而定义的所述目标的测量的仿真的仿真数据,所述几何参数包括一个或多个可变几何参数;以及使测量数据与仿真数据之间的差最小化,以便直接重新构造用于所述一个或多个可变几何参数的值。
在本发明的第二方面中,提供一种量测设备,所述量测设备包括处理器,所述处理器能够操作以:获得涉及通过光刻过程而形成于衬底上的至少两个层中的目标的测量的测量数据,所述测量数据是从至少一个对应对的非零衍射阶导出的;获得涉及如依据所述目标的几何参数而定义的所述目标的测量的仿真的仿真数据,所述几何参数包括一个或多个可变几何参数;以及使测量数据与仿真数据之间的差最小化,以便直接重新构造用于所述一个或多个可变几何参数的值。
本发明还提供一种用于执行第一方面所述的方法的计算机程序以及相关联的计算机程序载体。
附图说明
现在将参考附图来仅以示例的方式描述本发明的实施例,在附图中:
图1描绘根据本发明的实施例的光刻设备;
图2描绘根据本发明的实施例的光刻元或簇;
图3(a)是用于使用提供某些照射模式的第一对照射孔来测量根据本发明的实施例的目标的暗场量测设备的示意图;
图3(b)是针对给定照射方向的目标的衍射光谱的示意性细节;
图3(c)是在使用测量设备以用于以衍射为基础的重叠测量时提供另外的照射模式的第二对照射孔的示意性说明;
图3(d)是在使用测量设备以用于以衍射为基础的重叠测量时组合第一对孔与第二对孔的提供另外的照射模式的第三对照射孔的示意性说明;
图4描绘衬底上的多重周期性结构(例如多重光栅)目标的形式和测量光斑的轮廓;
图5描绘在图3的设备中获得的图4的目标的图像;
图6是示出使用图3的设备并且适用于本发明的实施例的重叠测量方法的步骤的流程图;
图7(a)至图7(d)示出具有约为零的区域中的不同重叠值的重叠周期性结构(例如光栅)的示意性横截面;
图8说明在理想目标结构中的重叠测量的原理;
图9是示出可以包括于目标中的不同层的示例性叠层;
图10是描述根据本发明的第一实施例的方法的流程图;以及
图11是描述根据本发明的第二实施例的方法的流程图。
具体实施方式
在详细地描述实施例之前,呈现可供实施实施例的示例性环境是有指导性的。
图1示意性地描绘光刻设备LA。该装置包括:照射系统(照射器)IL,其配置成调节辐射束B(例如UV辐射或DUV辐射);图案化装置支撑件或支撑结构(例如掩模台)MT,其构造成支撑图案化装置(例如掩模)MA并且连接到配置成根据某些参数来准确地定位该图案化装置的第一定位器PM;衬底台(例如晶片台)WT,其构造成保持衬底(例如涂覆有抗蚀剂的晶片)W并且连接到配置成根据某些参数来准确地定位该衬底的第二定位器PW;以及投影系统(例如折射投影透镜系统)PS,其配置成将由图案化装置MA赋予辐射束B的图案投影到衬底W的目标部分C(例如包括一个或多个管芯)上。
照射系统可以包括用于引导、成形或控制辐射的各种类型的光学组件,诸如包括折射型、反射型、磁性型、电磁型、静电型或其他类型的光学组件或其任何组合。
图案化装置支撑件以依赖于图案化装置的方向、光刻设备的设计和其他条件(诸如图案化装置是否被保持于真空环境中)的方式来保持图案化装置。图案化装置支撑件可以使用机械、真空、静电或其他夹持技术来保持图案化装置。图案化装置支撑件可以是例如框架或台,它可以根据需要而是固定的或可移动的。图案化装置支撑件可以确保图案化装置例如相对于投影系统处于期望的位置。可以认为本发明中对术语“掩模版”或“掩模”的任何使用都与更上位的术语“图案化装置”同义。
本发明中使用的术语“图案化装置”应该被宽泛地解释为意指可以用于在辐射束的横截面中向辐射束赋予图案以便在衬底的目标部分中产生图案的任何器件。应该注意的是,例如,如果被赋予辐射束的图案包括相移特征或所谓的辅助特征,则该图案可能不确切地对应于衬底的目标部分中的期望的图案。通常,被赋予辐射束的图案将对应于目标部分中所产生的器件(诸如集成电路)中的特定功能层。
图案化装置可以是透射型的或反射型的。图案化装置的示例包括掩模、可编程反射镜阵列以及可编程LCD面板。掩模在光刻中是公知的,并且包括诸如二元、交变相移和衰减相移的掩模类型,以及各种混合式掩模类型。可编程反射镜阵列的示例使用小镜面的矩阵布置,所述小镜面中的每一者可以独立地倾斜,以使入射辐射束在不同方向上反射。倾斜镜面在由镜面矩阵反射的辐射束中赋予图案。
如此处所描绘的,该设备是透射型的(例如使用透射掩模)。可替代地,该设备可以是反射型的(例如使用如上文所提及的类型的可编程反射镜阵列,或者使用反射掩模)。
光刻设备也可以属于如下类型:其中,衬底的至少一部分可以由具有相对高折射率的液体(例如水)覆盖,以便填充投影系统与衬底之间的空间。也可以将浸没液体施加到光刻设备中的其他空间,例如掩模与投影系统之间的空间。浸没技术在本领域中公知地用于增加投影系统的数值孔径。本发明中使用的术语“浸没”不意味着诸如衬底的结构必须浸没于液体中,而是仅意味着液体在曝光期间位于投影系统与衬底之间。
参考图1,照射器IL接收来自辐射源SO的辐射束。例如,当源是准分子激光时,源和光刻设备可以是分立的实体。在这种情况下,不认为源构成光刻设备的一部分,并且辐射束借助于包括例如合适的引导镜和/或扩束器的束递送系统BD而从源SO传递到照射器IL。在其他情况下,例如,当源是汞灯时,源可以是光刻设备的构成部分。源SO和照射器IL连同束递送系统BD在必要时可以被称作辐射系统。
照射器IL可以包括用于调整辐射束的角强度分布的调整器AD。通常,可以调整照射器的光瞳平面中的强度分布的至少外部径向范围和/或内部径向范围(通常分别被称作σ-外部和σ-内部)。另外,照射系统IL可以包括各种其他组件,诸如积光器IN和聚光器CO。照射器可以用于调节辐射束,以在其横截面中具有期望的均一性和强度分布。
辐射束B入射于被保持于图案化装置支撑件(例如掩模台MT)上的图案化装置(例如掩模)MA上,并且通过该图案化装置而图案化。在已经穿过图案化装置(例如掩模)MA的情况下,辐射束B传递通过投影系统PS,投影系统PS将该束聚焦到衬底W的目标部分C上。借助于第二定位器PW和位置传感器IF(例如干涉器件、线性编码器、2D编码器或电容式传感器),可以准确地移动衬底台WT,例如以使不同目标部分C定位于辐射束B的路径中。相似地,第一定位器PM和另一个位置传感器(其没有在图1中明确地示出)可以用于例如在从掩模库的机械获取之后或者在扫描期间相对于辐射束B的路径来准确地定位图案化装置(例如掩模)MA。
可以使用掩模对准标记M1、M2和衬底对准标记P1、P2来对准图案化装置(例如掩模)MA和衬底W。尽管如所说明的衬底对准标记占据专用目标部分,但是该衬底对准标记可以位于目标部分之间的空间中(这些标记被称为划线对准标记)。相似地,在多于一个管芯提供于图案化装置(例如掩模)MA上的情况下,掩模对准标记可以位于管芯之间。小对准标识也可以包括于器件特征中的管芯内,在这种情况下,期望使标识尽可能地小并且无需与相邻特征不同的任何成像或程序条件。下文中进一步描述可以检测对准标识的对准系统的实施例。
所描绘的设备可以用于以下模式中的至少一种模式中:
1.在步进模式中,在将被赋予辐射束的整个图案一次投影到目标部分C上时,使图案化装置支撑件(例如掩模台)MT和衬底台WTa保持基本上静止(即,单次静态曝光)。然后,使衬底台WTa在X和/或Y方向上移位,使得可以曝光不同目标部分C。在步进模式中,曝光场的最大尺寸限制单次静态曝光中所成像的目标部分C的尺寸。
2.在扫描模式中,在将被赋予辐射束的图案投影到目标部分C上时,同步地扫描图案化装置支撑件(例如掩模台)MT和衬底台WTa(即,单次动态曝光)。可以通过投影系统PS的放大率(缩小率)和图像反转特性来确定衬底台WTa相对于图案化装置支撑件(例如掩模台)MT的速度和方向。在扫描模式中,曝光场的最大尺寸限制单次动态曝光中的目标部分的宽度(在非扫描方向上),而扫描运动的长度确定目标部分的高度(在扫描方向上)。
3.在另一种模式中,在将被赋予辐射束的图案投影到目标部分C上时,使图案化装置支撑件(例如掩模台)MT保持基本上静止,从而保持可编程图案化装置,并且移动或扫描衬底台WTa。在该模式中,通常使用脉冲式辐射源,并且在衬底台WTa的每一次移动之后或在扫描期间的连续辐射脉冲之间根据需要来更新可编程图案化装置。这种操作模式可以易于应用于利用可编程图案化装置(诸如上文所提及的类型的可编程反射镜阵列)的无掩模光刻。
也可以使用上文所描述的使用模式的组合和/或变型或完全不同的使用模式。
光刻设备LA属于所谓的双平台类型,其具有两个台WTa、WTb(例如两个衬底台)以及两个站—曝光站和测量站—可以在这两个站之间交换所述台。例如,在曝光站处曝光一个台上的衬底的同时,可以在测量站处将另一个衬底装载到另一个衬底台上并且进行各种预备步骤。预备步骤可以包括使用水平传感器LS来映射衬底的表面控制,以及使用对准传感器AS来测量衬底上的对准标识的位置,两个传感器都由参考框架RF支撑。如果位置传感器IF在台处于测量站以及处于曝光站时不能够测量台的位置,则可以提供第二位置传感器以便能够在两个站处追踪台的位置。作为另一个示例,在曝光站处曝光一个台上的衬底时,不具有衬底的另一个台在测量站处等待(其中,可选地可以发生测量活动)。所述另一个台具有一个或多个测量装置并且可选地可以具有其他工具(例如清洁装置)。当衬底已经完成曝光时,不具有衬底的台移动到曝光站以执行例如测量,并且具有衬底的台移动到卸除该衬底并装载另一个衬底的地方(例如测量站)。这些多台配置实现装置的生产量的实质性增加。
如图2所示,光刻设备LA形成光刻单元LC(有时也被称作光刻元或光刻簇)的一部分,光刻单元LC还包括用于对衬底执行一个或多个曝光前程序和曝光后程序的设备。通常,这些设备包括用于沉积抗蚀剂层的一个或多个旋涂器SC、用于显影已经曝光的抗蚀剂的一个或多个显影器DE、一个或多个激冷板CH以及一个或多个烘烤板BK。衬底处置器或机器人RO从输入/输出端口I/O1、I/O2拾取衬底,在不同处理装置之间移动衬底,并且将衬底递送到光刻设备的装载台LB。经常被统称为轨道(track)的这些装置受轨道控制单元TCU的控制,轨道控制单元TCU自身受到管理控制系统SCS控制,管理控制系统SCS也经由光刻控制单元LACU来控制光刻设备。因此,不同装置可以被操作以使生产量和处理效率最大化。
为了正确且一致地曝光由光刻设备曝光的衬底,期望检测已经曝光的衬底以测量一个或多个属性,诸如后续层之间的重叠误差、线厚度、临界尺寸(CD)等。如果检测到误差,则可以对一个或多个后续衬底的曝光进行调整,尤其在检测到能够足够迅速地且快速地进行而使得同一批次的另一个衬底仍待曝光的情况下。此外,可以剥离和返工已经曝光的衬底(以改善良率)或者舍弃已经曝光的衬底,由此避免对已知有缺陷的衬底执行曝光。在衬底的仅一些目标部分有缺陷的情况下,可以仅对良好的那些目标部分执行进一步曝光。另一种可能性是调整后续程序步骤的设定以补偿误差,例如,可以调节修整蚀刻步骤的时间,以补偿由光刻过程步骤引起的衬底间CD的变化。
检测设备用于确定衬底的一个或多个属性,并且尤其确定不同衬底或同一衬底的不同层的一个或多个属性如何在不同层间变化和/或横过衬底而变化。检测设备可以集成到光刻设备LA或光刻单元LC中,或者可以是独立的装置。为了实现最快速测量,需要使检测设备紧接在曝光之后测量已经曝光的抗蚀剂层中的一个或多个属性。然而,抗蚀剂中的潜像具有极低对比度—在已经曝光于辐射中的抗蚀剂的部分与尚未曝光于辐射中的抗蚀剂的部分之间仅存在极小的折射率差—并且不是所有检测设备都具有足够的敏感度来进行潜像的有用测量。因此,可以在曝光后烘烤步骤(PEB)之后进行测量,曝光后烘烤步骤通常是对已经曝光的衬底进行的第一个步骤,并且增加抗触剂的已经曝光部分与未经曝光部分之间的对比度。在这个阶段,抗蚀剂中的图像可以被称作半潜像(semi-latent)。也可以对经显影抗蚀剂图像进行测量—此时,抗蚀剂的已经曝光部分或未经曝光部分已被移除—或者在诸如蚀刻的图案转移步骤之后进行已经显影抗蚀剂图像的测量。虽然后一种可能性限制有缺陷衬底的返工的可能性,但是例如出于过程控制的目的仍然可以提供有用的信息。
常规的散射仪所使用的目标包括相对大周期性结构布局(例如包括一个或多个光栅),例如40μm乘40μm。在这种情况下,测量束经常具有小于周期性结构布局的光斑尺寸(即,布局填充不足使得周期性结构中的一个或多个结构没有被光斑完全覆盖)。这简化了目标的数学重构,这是因为目标可以被视为无限的。然而,例如,已经将目标的尺寸减小到例如20μm乘20μm或更小,或者减小到10μm乘10μm或更小,因此可以将目标定位于产品特征中而非划线中。在这种情况下,可以使周期性结构布局小于测量光斑(即,周期性结构布局填充过度)。通常使用暗场散射量测来测量该目标,其中,阻挡零阶衍射(对应于镜面反射),并且仅处理高阶。可以在PCT专利申请公开No.WO 2009/078708和WO2009/106279中找到暗场量测的示例,上述专利申请公开的全部内容以引用的方式并入本文中。美国专利申请公开US 2011-0027704、US2011-0043791和US 2012-0242970中已经描述该技术的进一步开发,上述专利申请公开的全部内容以引用的方式并入本文中。使用衍射阶的暗场检测的以衍射为基础的重叠(DBO或μDBO)实现对较小目标的重叠测量。这些目标可以小于照射光斑并且可以被衬底上的产品结构环绕。在实施例中,可以在一个图像中测量多个目标。
在实施例中,衬底上的目标可以包括一个或多个一维周期性光栅,其被印刷成使得在显影之后,由固体抗蚀剂线形成条。在实施例中,目标可以包括一个或多个二维周期性光栅,其被印刷成使得在显影之后,由抗蚀剂中的固体抗蚀剂柱或通孔形成所述一个或多个光栅。条、柱或通孔可替代地被蚀刻到衬底中。光栅的图案对光刻投影装置(特别是投影系统P1)中的色像差(chromatic aberration)敏感,并且照射对称性和这种像差的存在将使其自身表现为所印刷的光栅中的变化。因此,所印刷的光栅的测量数据可以用于重新构造光栅。根据印刷步骤和/或其他测量过程的知识,可以将一维光栅的参数(诸如线宽和形状)或二维光栅的参数(诸如柱或通孔宽度或长度或形状)输入到通过处理单元PU执行的重新构造程序。
在图3(a)中示出适合用于本发明的实施例中的暗场量测设备。在图3(b)中更详细地说明目标T(包括诸如光栅的周期性结构)和衍射射线。暗场量测设备可以是独立装置,或者集成到例如测量站处的光刻设备LA中或光刻单元LC中。由点线O表示贯穿该设备的具有多个分支的光轴。在该设备中,输出件11(例如诸如激光或氙灯的源或连接到源的开口)发射的辐射由包括透镜12、14和物镜16的光学系统经由棱镜15而引导到衬底W上。这些透镜以4F布置的双重序列进行布置。可以使用不同透镜布置,只要该透镜布置仍将衬底图像提供到检测器上即可。
在实施例中,透镜布置允许到达中间光瞳平面,以用于空间-频率滤光。因此,通过在呈现衬底平面的空间光谱的平面(这里被称作(共轭)光瞳平面)中限定空间强度分布可以选择辐射入射于衬底上的角度范围。具体地说,这可以例如通过在作为物镜光瞳平面的背向投影式图像的平面中在透镜12与透镜14之间插入合适形式的孔板13来完成。在所说明的示例中,孔板13具有不同形式,被标注为13N和13S,从而允许选择不同照射模式。本示例中的照射系统形成离轴照射模式。在第一照射模式中,孔板13N从仅出于描述的目的而被指定为“北”的方向提供离轴照射。在第二照射模式中,虽然孔板13S用于提供类似照射,但是从来自被标注为“南”的相对方向的提供照射。通过使用不同孔径,其他照射模式是可能的。光瞳平面的其余部分期望地是暗的,这是因为期望的照射模式外部的任何不必要辐射都可能干涉期望的测量信号。
如图3(b)所示,目标T被放置为使得衬底W基本上垂直于物镜16的光轴O。从与轴线O成一角度照射于目标T上的照射射线I产生一条零阶射线(实线0)和两条一阶射线(点链线+1和双点链线-1)。在利用填充过度的小目标T的情况下,这些射线仅是覆盖包括量测目标T和其他特征的衬底区域的许多平行射线之一。由于板13中的孔具有有限宽度(这是接收有用或有益的量的辐射所必需的),所以入射射线I事实上将占据一角度范围,并且衍射射线0和+1/-1将稍微扩散开。根据小目标的点扩散函数,每一阶+1和-1将遍及一角度范围而进一步扩散,而不是如图所示的单一理想射线。应该注意的是,周期性结构节距和照射角度可以被设计或调整成使得进入物镜的一阶射线与中心光轴接近地对准。图3(a)和图3(b)中所示的射线被示出为稍微离轴,以便纯粹地使其能够在图中被更容易地区分。
由衬底W上的目标衍射的至少0阶和+1阶由物镜16收集,并且被引导返回通过棱镜15。返回到图3(a),通过指定被标注为北(N)和南(S)的完全相对的孔来说明第一照射模式和第二照射模式两者。当入射射线I来自光轴的北侧时,即,当使用孔板13N来应用第一照射模式时,被标注为+1(N)的+1衍射射线进入物镜16。相反,当使用孔板13S来应用第二照射模式时,-1衍射射线(被标注为-1(S))是进入透镜16的衍射射线。因此,在一个实施例中,通过在某些条件下测量目标两次(例如,在使目标旋转或改变照射模式或改变成像模式以便分离地获得第-1衍射阶强度和第+1衍射阶强度之后)来获得测量结果。针对给定目标比较这些强度会提供该目标中的不对称性的测量结果,并且该目标中的不对称性可以用作光刻过程的参数的指示符,例如重叠误差。在上文所描述的情况下,改变照射模式。
分束器17将衍射束划分成两个测量分支。在第一测量分支中,光学系统18使用零阶衍射束和一阶衍射束而在第一传感器19(例如CCD或CMOS传感器)上形成目标的衍射光谱(光瞳平面图像)。每一个衍射阶射中传感器上的不同点,使得图像处理可以比较和对照多个阶。由传感器19捕捉的光瞳平面图像可以用于聚焦量测设备和/或正规化一阶束的强度测量结果。光瞳平面图像也可以用于诸如重新构造的许多测量目的,在这里没有对其进行详细描述。
在第二测量分支中,光学系统20、22在传感器23(例如CCD或CMOS传感器)上形成衬底W上的目标的图像。在第二测量分支中,在与光瞳平面共轭的平面中提供孔径光阑21。孔径光阑21用于阻挡零阶衍射束,使得形成于传感器23上的目标的图像DF是由-1或+1一阶束形成。将由传感器19和23捕捉的图像输出到图像处理器和控制器PU,图像处理器和控制器PU的功能将依赖于正被执行的测量的特定类型。应该注意的是,此处在宽泛意义上使用术语“图像”。因而,在仅-1阶和+1阶中的一者存在的情况下,将不会形成周期性结构特征(例如光栅线)的图像。
图3所示的孔板13和光阑21的特定形式纯粹是示例。在本发明的另一个实施例中,使用目标的同轴照射,并且使用具有离轴孔径的孔径光阑以将实质上仅一个一阶衍射辐射传递到传感器。在其他实施例中,代替一阶束或者除了一阶束以外,也可以在测量中使用二阶束、三阶束和高阶束(图3中未示出)。
为了使照射可以适用于这些不同类型的测量,孔板13可以包括围绕一圆盘形成的数个孔径图案,该圆盘旋转以使期望的图案处于适当位置。应该注意的是,使用孔板13N或13S测量在一个方向(X或Y方向,其依赖于设置)上定向的目标的周期性结构。为了测量正交周期性结构,可能让目标旋转90°和270°。图3(c)和(d)中示出不同孔板。图3(c)说明离轴照射模式的另外两种类型。在图3(c)的第一照射模式中,孔板13E提供自指定为(仅出于描述的目的)相对于先前所描述的“北”的“东”的方向的离轴照射。在图3中的(c)的第二照射模式中,虽然孔板13W用于提供相似照射,但是提供自被标注为“西”的相对方向的照射。图3(d)说明离轴照射模式的另外两种类型。在图3(d)的第一照射模式中,孔板13NW提供来自被指定为如先前所描述的“北”和“西”的方向的离轴照射。在第二照射模式中,孔板13SE用于提供相似照射,但是从被标注为(如先前所描述的)“南”和“东”的相对方向的照射。例如,上文所提及的先前公布的专利申请公开中描述了设备的这些及众多其他变化及应用的使用情况。
图4描绘形成于衬底上的示例复合量测目标。该复合目标包括紧密地定位在一起的四个周期性结构(在这种情况下是光栅)32、33、34、35。在实施例中,所述周期性结构足够接近地定位在一起,使得它们都在由量测设备的照射束形成的测量光斑31内。在这种情况下,这四个周期性结构因此都被同时地照射并且同时地成像于传感器19和23上。在专用于重叠测量的示例中,周期性结构32、33、34、35自身是由重叠周期性结构形成的复合周期性结构(例如复合光栅),即,周期性结构被图案化在形成于衬底W上的器件的不同层中并且使得一个层中的至少一个周期性结构与不同层中的至少一个周期性结构重叠。该目标可以具有在20μm×20μm内或在16μm×16μm内的外部尺寸。另外,所有周期性结构用于测量特定的一对层之间的重叠。为了促进目标能够测量多于单独一对层,周期性结构32、33、34、35可以具有经不同地偏置量的重叠偏移,以便促进对形成有复合周期性结构的不同部分的不同层之间的重叠的测量。因此,用于衬底上的目标的所有周期性结构将用于测量一对层,并且用于衬底上的另一个相同目标的所有周期性结构将用于测量另一对层,其中,不同偏置量促进了在这些层对之间进行区分。将在下文中特别参考图7来解释重叠偏置量的涵义。
图7(a)至图7(c)示出各自具有不同偏置量的各个目标T的重叠周期性结构(在这种情况下是光栅)的示意性横截面。这些重叠周期性结构可以用于衬底W上,如在图3和图4中所看到的。仅出于示例的目的而示出在X方向上具有周期性的周期性结构。可以提供具有不同偏置量并且具有不同方向的这些周期性结构的不同组合。
从图7(a)开始,描绘形成于被标注为Ll和L2的两个层中的复合重叠目标600。在底部层Ll中,通过衬底606上的特征(例如线)602和空间604形成第一周期性结构(在这种情况下是光栅)。在层L2中,通过特征(例如线)608和空间610形成第二周期性结构(在这种情况下是光栅)。(横截面被绘制成使得特征602、608延伸到页面中)周期性结构图案在两个层中重复,具有节距P。仅出于示例的原因而提及线602和608,可以使用诸如圆点、区块和通孔的其他类型的特征。在图7(a)所示的情况下,不存在重叠误差并且不存在偏置量,使得每一个特征608确切地处于底部周期性结构中的特征602上方(其中,该测量是“线上线(line-on-line)”—在实施例中,在每一个特征608确切处于空间610上方时不可能发生重叠误差,其中,该测量是“沟上线(line-on-trench)”)。
在图7(b)处,具有偏置量+d的相同目标被描绘为使得上部周期性结构的特征608相对于下部周期性结构的特征602向右移位距离d(该距离d小于节距P)。也就是说,特征608和特征602被布置成使得如果它们两者都确切地印刷于它们的名义部位处,则特征608将相对于特征602偏移距离d。偏置距离d在实际应用中可能是几纳米,例如10nm、20nm,而节距P例如在300nm至1000nm的范围内,例如500nm或600nm。在图7(c)处,具有偏置量-d的相同目标被描绘为使得特征608相对于特征602向左移位。例如,上文所提及的专利申请公开中描述了图7(a)至图7(c)处所示的这种类型的偏置目标及其在测量中的使用。
另外,如上文所提及的,虽然图7(a)至图7(c)示出了处于特征602上方的特征608(具有或不具有所施加的+d或-d的小偏置量)(其被称作具有约为零的偏置量的“线上线”目标),但是目标可以具有为P/2(其为节距的一半)的程序化偏置量,使得上部周期性结构中的每一个特征608处于下部周期性结构中的空间604上方。该目标被称作“沟上线”目标。在这种情况下,也可以施加为+d或-d的小偏置量。“线上线”目标或“沟上线”目标之间的选择依赖于应用。
返回到图4,周期性结构32、33、34、35也可以在其取向方面不同,如图所示,以使在X方向和Y方向上衍射入射辐射。在一个示例中,周期性结构32和34是分别具有为+d、-d的偏置量的X方向周期性结构。周期性结构33和35可以分别是具有偏移+d和-d的Y方向周期性结构。虽然示出了四个周期性结构,但是另一个实施例可以包括更大矩阵以获得期望的准确度。例如,九个复合周期性结构的3×3阵列可以具有偏置量-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可以在由传感器23捕捉的图像中识别这些周期性结构的单独图像。
图5示出可以使用图3(d)的孔板13NW或13SE在图3的设备中使用图4的目标形成于传感器23上并且由传感器23检测的图像的示例。虽然传感器19不能分辨不同的单个周期性结构32至35,但是传感器23能够进行这种分辨。暗矩形表示传感器上的图像的场,在该传感器内,衬底上的经照射光斑31成像到对应圆形区域41中。在此,矩形区域42至45表示周期性结构32至35的图像。如果周期性结构位于产品区域中,则在该图像场的周边中也可以看见产品特征。图像处理器和控制器PU使用图案辨识来处理这些图像,以识别周期性结构32至35的单独图像42至45。这样,图像不必在传感器框架内的特定部位处非常精确地对准,这极大地改善了测量设备整体上的产出。
一旦已经识别周期性结构的单独图像,就可以例如通过平均化或求和在所识别区域内的选定像素强度值来测量那些单独图像的强度。可以将所述图像的强度和/或其他属性彼此进行比较。可以组合这些结果以测量光刻过程的不同参数。重叠性能是这种参数的示例。
图6说明在使用例如PCT专利申请公开No.WO 2011/012624中描述的方法的情况下如何经由如通过比较周期性结构在+1阶和-1阶暗场图像中的强度而揭示的所述周期性结构的不对称性来测量包含部件周期性结构32至35的两个层之间的重叠误差。在步骤M1处,经由图2的光刻单元来处理衬底(例如半导体晶片)一或多次,以产生包括周期性结构32至35的目标的结构。在M2处,在使用图3的量测设备的情况下,使用一阶衍射束中的一者(比如-1)来获得周期性结构32至35的图像。在一个实施例中,使用第一照射模式(例如使用孔板13NW产生的照射模式)。然后,不管是通过例如改变照射模式或改变成像模式或通过在量测设备的视场中使衬底W旋转180°,都可以使用另一个一阶衍射束(+1)来获得周期性结构的第二图像(步骤M3)。因此,在第二图像中捕捉+1衍射辐射。在实施例中,改变经照射模式,且使用第二照射模式(例如,使用孔板13SE而产生的照射模式)。在实施例中,可以通过在0°和180°衬底方向上进行测量而移除工具诱发的假影,例如工具诱发移位(TIS)。
应该注意的是,通过使在每一个图像中仅包括一阶衍射辐射的一半,这里所提及的“图像”不是常规的暗场显微法图像。单个周期性结构特征不被分辨。每一个周期性结构将简单地由某一强度水平的区域表示。在步骤M4中,在测量强度水平的每一个部件周期性结构的图像内识别感兴趣的区域(ROI)。
在已经识别用于每一单个周期性结构32至35的感兴趣的区域P1、P2、P3、P4并且已经测量其强度的情况下,可以接着确定周期性结构的不对称性并且因此确定例如重叠误差。这是通过图像处理器和控制器PU在步骤M5中比较针对每一个周期性结构32至35的+1阶和-1阶所获得的强度值以识别其强度的任何差(即,不对称性)而实现的。术语“差”不仅指减法。可以以比率的形式来计算差。在步骤M6中,使用用于数个周期性结构的已测量的不对称性连同(在适用时)那些周期性结构的重叠偏置的知识来计算在目标T附近的光刻过程的一个或多个性能参数。感兴趣的性能参数为重叠。可以计算光刻过程的其他性能参数,诸如焦点和/或剂量。所述一个或多个性能参数可以被回馈以改善光刻过程,用以改善图6自身的测量和计算过程、用于改善目标T的设计等。
在用于确定重叠的实施例中,图8描绘曲线702,其说明针对在形成重叠目标的单个周期性结构内具有零偏移并且不具有结构不对称性的“理想”目标的重叠误差OV与所测量的不对称性A之间的关系的。这些曲线图仅用于说明确定重叠的原理,并且在每一个曲线图中,所测量的不对称性A和重叠误差OV的单位是任意的。
在图7中的(a)至图7中的(c)的“理想”情况下,曲线702指示所测量的不对称性A与重叠具有正弦关系。正弦变化的周期P对应于周期性结构的周期(节距),它当然被转换成适当的尺度。在本示例中,虽然正弦形式是纯粹的,但是在真实情况下可以包括谐波。出于简单的目的,在本示例中假定(a)来自目标的仅一阶衍射辐射到达图像传感器23(或者在给定实施例中到达图像传感器23的等同物),并且(b)实验目标设计使得在这些一阶内,在上部周期性结构结果与下部周期性结构结果之间在强度和重叠之间存在纯粹正弦关系。实际上这是否真实是依据光学系统设计的功能、照射辐射的波长及周期性结构的节距P以及目标的设计及叠层而变化的。
如上文所提及的,偏置的周期性结构可以用于测量重叠,而不依赖于单一量测。该偏置具有在可供得到该偏置的图案化装置(例如掩模版)中所定义的已知值,该值充当对应于所测量的信号的重叠的衬底上校准。在该附图中,以图形方式来说明计算。在图6的步骤M1至M5中,针对分别具有偏置+d和-d的组件周期性结构(如例如图7中的(b)和图7中的(c)中所示)获得不对称性测量A(+d)和A(-d)。将这些测量结果拟合到正弦曲线会得到如图所示的点704和706。在已知偏置量的情况下,可以计算真实重叠误差OV。根据目标的设计,正弦曲线的节距P是已知的。曲线702的竖直尺度在开始时不是已知的,而是我们可以称之为重叠比例常数K的未知因子。
就等式而言,可以假定重叠误差与强度不对称性A之间的关系为:
A=K sin(OV) (1)
其中,OV在使得周期性结构节距P对应角度2π弧度的尺度上被表达。在使用对具有不同的已知偏置量的周期性结构的两种测量以获得A的两个值的情况下,可以求解两个等式以计算未知数K和重叠OV。
尽管这些测量技术快速并且在计算上相对简单(一旦经过校准),但是它们依赖于重叠/横向移位是不对称性的唯一原因的设定。也就是说,它假定的是“理想”情况,例如目标中无结构不对称性。除了重叠/横向移位以外,叠层中的任何结构不对称性,诸如重叠的周期性结构中的一个或两个内的特征的不对称性,也造成一阶中的不对称性。与重叠无关的这种结构不对称性明确地干扰测量结果,从而给出不准确的结果。
作为结构不对称性的示例,目标的周期性结构中的一个或多个可以在结构上变形。例如,目标的周期性结构特征(例如光栅线)的一个或多个侧壁可能不是预期地竖直的。作为另一个示例,目标的周期性结构特征之间的一个或多个空间(例如沟的光栅空间)可能比预期得更大或更小。另外,目标的周期性结构的一个或多个特征(例如光栅线)的宽度可能比预期得更小或更大。另外,即使在关于目标的一个或多个周期性结构的与预期的差均一的情况下,它们与预期的差可能不同于关于该目标的一个或多个其他周期性结构的与预期的差。复合目标的下部周期性结构中的结构不对称性是结构不对称性的常见形式。该结构不对称性可能起源于例如在最初形成下部周期性结构之后执行的衬底处理步骤,诸如化学机械抛光(CMP)。
参考图7(d),示意性地描绘下部周期性结构的结构不对称性的示例。在真实特征和空间在表面上具有某一斜率并且具有某一粗糙度时,图7(a)至图7(c)处的周期性结构中的特征和空间被示出为成完美正方形边。然而,其意图在剖面轮廓方面至少是对称的。下部周期性结构中的图7(d)处的特征602和/或空间604根本不再具有对称形式,而是已经通过例如一个或多个处理步骤而变得失真。因此,例如,每一个空间604的底部表面已经变得倾斜。特征和空间的侧壁角也已经变得不对称。当使用仅两个偏置周期性结构通过图6的方法来测量重叠时,无法区分结构不对称性与重叠,并且结果,重叠测量变得不可靠。
因此,测量(例如在目标用于对准的情况下的对准测量、在目标用于重叠测量的情况下的重叠测量等)的准确度可以通过目标的一个或多个周期性结构(例如光栅)的不对称结构变形而显著减小。起因于结构不对称性的测量误差可以通过产生或测量目标的过程的改变(例如过程偏移)而校正,所述程序改变例如基于目标的良率(即,为了确定目标是否准确而对所处理的器件的评估)或横截面。然而,这些方法可能具有破坏性。它们仅可以有效地用于校正恒定不对称性诱发的过程误差。然而,没有通过横截面或良率测量来有效地求解目标的结构不对称性的变化。因此,例如,需要评估和校正结构不对称性的克服这些或其他限制中的一个或多个的努棒解决方案。
因此,期望以改善的方式区分由重叠和其他效应造成的并且尤其允许量化实际几何形状的对所测量的目标不对称性的贡献。因此,本发明使能够在不需要完整的角度分辨重新构造的情况下测量目标的实际形状(并且因此测量目标的实际不对称性),而不是简单地测量关于重叠测量的不对称性的效应并且校正该效应。本发明中所描述的方法还实现了“真实重叠”,即,在无自暗场量测直接重新构造的光栅的几何结构不对称性的效应的情况下的重叠。这与使用诸如WO 2016083076中所描述的方法所确定重叠形成对比;在WO2016083076中所描述的方法中,进行强度不对称性测量(其包括归因于结构不对称性的贡献)且接着通过量化结构不对称性的效应且自重叠测量移除结构不对称性的效应而针对这些贡献来校正强度不对称性测量。本发明所描述的方法实现顶部光栅与底部光栅的真实重叠以及几何不对称性参数中的一个或多个的同时重新构造。这从根本上改善了可以估计真实重叠的精确度和准确度。另外,直接重新构造真实重叠的能力可以显著地帮助改善制造过程和产品良率两者。
未必引起结构不对称性的各种过程参数的其他变化可能对目标有显著影响。这种过程参数可以包括(例如器件层或抗蚀剂层的)层高度/厚度、(器件层或抗蚀剂层的)蚀刻深度、临界尺寸(CD)或折射率。例如,测量层高度(即,层厚度)的能力可以改善在制造期间的过程控制。层高度的准确测量也可以实现用于测量其他感兴趣的参数(例如重叠)的较努棒选配方案。当前,使用多层薄膜目标(其中不存在光栅)以光学方式估计层厚度。然而,这需要衬底上的宝贵的额外区域,并且需要额外时间来执行测量。
因此,已提出的测量技术包括使用从目标衍射的高阶辐射来执行目标的测量和重新构造。在可替代的实施例中,可以在光瞳平面或图像平面中执行测量,该图像平面是形成有目标的图像的平面。光瞳平面中的测量与当前的CD重新构造技术共享许多相似性,但在这里的实施例中对多个层目标执行测量除外。然而,对节距大于这里所描述的例如重叠目标的节距的光栅执行当前的CD重新构造技术。由于这种较大节距,通常仅有可能捕捉零阶(镜面反射)辐射。然而,使用本发明中关于较小重叠目标所描述的技术,波长与节距的比率(其确定衍射角)使得有可能捕捉高阶(至少+1/-1阶以及可能另外其他高阶)。重叠目标可能在衬底平面中在任一维度上(例如X或Y)只有20μm或只有10μm。使用高阶(除了零阶以外)执行重新构造意味着测量具有对参数改变的增大的敏感度,并且因此可以使用具有较大数目个参数(并且具体地是浮动参数)的较复杂模型。然而,图像平面中的测量(以与诸如以上所描述的以衍射为基础的重叠的暗场量测技术相似的方式)带来较大益处。因此,本发明将大体上描述图像平面测量和技术,但不限于这种情况。
描述实现叠层几何形状的模型化的重新构造技术。该叠层几何形状可以包括例如目标的几何形状,该目标包括衬底上的不同层中的两个光栅。具体地说,目标可以属于图4和图7(b)至图7(d)中所示出的形式,其包括至少一对周期性结构:即,第一周期性结构,其包括其构成光栅之间的相对位置的第一偏移(例如+d);以及第二周期性结构,其包括其构成光栅之间的相对位置的第二偏移(例如-d)。所提出的重新构造技术将使能够找到用于除了重叠以外的几何参数的值。这种几何参数可以包括目标(即,目标叠层中)的一个或多个层高度。可以通过该方法确定层高度的层可以仅包括所述包括目标光栅的层和/或数目多于所述仅包括目标光栅的层。在目标上方和/或下方可以存在额外层,和/或在可以测量的层高度的光栅之间可以存在额外介入层。这些层被认为是目标的一部分。
图9示出示例性重叠目标,其示出可以存在于叠层内的不同层中。层900是上方形成有目标的实际衬底。层910是底部光栅层(包括例如多晶硅“线”910A和层间介电ILD“空间”910b)。层920至980是介入层,它们可以(在此特定示例中)包括ILD层920、碳化硅层930、氮化钛层940、氧化物层950、970、碳层960和底部抗反射涂层(BARC)980。最后,层990包括顶部光栅层(在抗蚀剂中)。本发明中所描述的方法使这些层中的一些或全部的层高度能够被确定,并且尤其通过使这些层高度中的每一个在单独重新构造中浮动的参数来确定。
可以使用本发明中所描述的方法确定的其他几何参数,包括例如:临界尺寸(例如中间CD)、底板倾角、顶部倾角和侧壁角(SWA)—左侧和/或右侧—在顶部光栅和/或底部光栅中的任何一个或两个的每一种情况下。可以使用本发明中的方法确定的几何参数中的许多几何参数是结构不对称性参数(例如底板倾角、顶部倾角和左侧壁与右侧壁之间的SWA差),已知它们负面地影响使用暗场技术的重叠测量。本发明所描述的方法允许重叠的测量,而不具有结构不对称性的效应,并且另外,这些实际结构不对称性参数中的一些或全部也可以用于例如品质控制。
图10是描述该方法的流程图。在步骤1000处,执行结构的测量以获得测量的目标的响应(测量数据)。结构可以包括如所描述的目标,其具有重叠光栅及并且具有不同偏置(例如+d和-d)的至少两个周期性结构。在优选的实施例中,虽然将测量执行为图像平面中的场测量,但是在本发明的范围内的光瞳测量也是可能的。
在步骤1010处,建立“模型选配方案”,其依据包括如所描述的目标的几何参数的数个参数来定义目标的参数化模型。模型选配方案可以另外包括其他“测量参数”,诸如所用的测量辐射的参数,其也将影响目标响应。目标材料和底层的属性也由诸如折射率(在存在于散射测量辐射束中的特定波长下)和分散模型的参数表示。
虽然目标可以由描述其形状和材料属性的许多参数定义,但是出于本发明的目的,模型选配方案将把这些参数中的许多参数定义为具有固定值,而将其他参数定义为可变参数或“浮动”参数。这些浮动参数可以包括值为期望的许多几何参数(例如重叠CD、SWA和倾角),并且可能其他参数—有时被称作有碍参数—不可以固定并且因此需要被求解,但除了重新构造以外几乎不被感兴趣。下文进一步描述可以在固定参数与浮动参数之间作出选择的过程。可以准许其他参数仅在有限范围内变化,而不是完全独立的浮动参数。值得注意的是,在本发明中所描述的重新构造方法中,大数目个参数可以在标称值下浮动和/或固定,同时仍然提供对感兴趣的浮动参数的良好估计。
在步骤1020处,通过例如使用诸如RCWA或麦克斯韦方程的任何其他求解程序的严密光学衍射方法来仿真由模型选配方案定义的目标的散射属性,从而获得目标的模型化响应(仿真数据)。可以使用任何合适的散射量测模型,包括向量模型或标量模型。在一个实施例中,可以使用点扩散函数(PSF)模型。PSF模型具有相对较快速且简单的优点,而不需要模型化光学路径,也不需要图形处理单元。可能需要注意的是,PSF模型不仿真图像平面中的每像素强度。可替代地,该模型使用Parseval定理(能量守恒)以基于光瞳平面中的强度来计算图像平面中的平均强度。图像平面中的平均(灰阶)强度可以通过考虑波长依赖的CCD积分时间来获得,μDBO摄像机噪声也可以(可选地)增加到该波长依赖的CCD积分时间。
待产生的仿真平均强度的总数量可以包括测量辐射特性(例如波长和偏振)的数量、所捕捉的衍射阶的数量和目标偏置的数量的乘积。借助于说明,在测量辐射包括7个波长和2个偏振并且存在2个所捕捉的阶(+1和-1)以及2个偏置量(+d和-d)的示例中,强度的数量(强度向量的长度)将是7×2×2×2=56。
在步骤1030处,设计最小化测量响应与模型化响应之间的差的目标函数。然后,依据浮动参数来最小化该目标函数(步骤1040)。最小化可以是迭代式的(即,在数次迭代中使浮动参数中的一个或多个参数发生变化,并且重复步骤1020以获得更新的模型化响应,直到对一解的收敛达到期望的准确度)。可替代地或另外,该步骤可以使用模型化响应的先前模型化库的结果来与测量响应进行比较。例如,最初可以执行对参数的粗略集合的库搜索,然后进行使用目标函数的一次或多次迭代来确定参数的较准确集合,从而以期望的准确度报告目标的参数。
目标函数可以基于任何基于强度的度量,其可以包括例如基于以下各项:
●i)按比例衡量的图像强度不对称性,
●ii)叠层敏感度;或
●iii)原始平均ROI图像强度。
上述示例i)、ii)和iii)中的每一者示出了针对一些应用比针对其他应用更好的结果。例如,基于叠层敏感度的目标函数可能更适合于重新构造晶片叠层中的层高度,尤其在不对称性参数也不浮动时。另一方面,使用基于按比例衡量的图像强度不对称性的目标函数在存在机器校准误差的情况下重新构造几何形状不对称性时可能是更好的。示例i)和ii)两者是自校准的,并且因此不需要模型校准。基于原始平均ROI图像强度的目标函数在期望最小化例如通过使用仅单个波长和偏振的测量辐射而获得感兴趣的参数所需的测量数据的量时最有用。如果使用原始平均ROI图像强度,则在使用多于一个波长/偏振的情况下将需要模型校准。
可以如下所示地计算按比例衡量的图像强度不对称性A(+d)scaled、A(-d)scaled
Figure GDA0003124008460000221
Figure GDA0003124008460000222
其中,每一个I项是衍射阶的测量强度,其中,每一个下标表示偏置量,并且每一个上标表示衍射阶,使得
Figure GDA0003124008460000223
是来自+d偏置光栅的+1衍射阶的测量强度。
可以如下所示地计算原始平均ROI图像强度S:
Figure GDA0003124008460000224
叠层敏感度SS(也是信号对比度)可以被理解为信号的强度随着由于目标(例如光栅)层之间的衍射的重叠改变而改变多少的测量结果。也就是说,在重叠背景中,其描述重叠目标的上部光栅与下部光栅之间的对比度,并且因此表示上部光栅与下部光栅之间的衍射效率之间的平衡。因此,它是测量的敏感度的示例性量度。它可以被计算为重叠比例常数K与平均强度S的比率:
Figure GDA0003124008460000225
其中:
Figure GDA0003124008460000226
在每一种情况下,目标函数可以采取以下形式:
Figure GDA0003124008460000231
其中,Cprior是具有贝叶斯先验方差的对角线矩阵(方差可以被设定得较大,在10的阶次)。If是实验强度度量值的集合(例如μDBO强度值、按比例衡量的不对称性或叠层敏感度)、Mf是模型化强度度量值的集合、μ是标称参数值,并且(可选地)CF是基于摄像机噪声的噪声矩阵。
如上文所述,为了重新构造几何形状不对称性,基于按比例衡量的强度不对称性的重新构造可能是优选的,而为了重新构造层高度(而不重新构造几何形状不对称性),基于叠层敏感度的重新构造可能是优选的。因此,在实施例中,可以执行两个阶段的重新构造。在第一阶段,仅重新构造层高度(浮动参数),而使其他参数固定。使用基于叠层敏感度的目标函数来执行该第一阶段。在第二阶段,使用按比例衡量的强度不对称性目标函数而在关于几何形状不对称性(例如底板/顶部倾角、SWA、重叠),并且可选地关于CD度量的第二重新构造中将所确定的层高度前馈为固定参数。在特定实施例中,从第一阶段确定的层高度可以用于预测描述横过衬底的层高度的变化的叠层高度变化图。然后,该叠层高度变化图可以用于在第二阶段重新构造中使层高度固定。这样使得能够使用现有的测量装置(诸如图3(a)中所说明的)并且无额外测量成本的情况下执行所有感兴趣的参数的完全重新构造,感兴趣的参数包括例如重叠误差(无其他几何形状不对称性的效应)和层高度,因而通常在任何情况下执行μDBO测量以便使用现有的μDBO技术来测量重叠。
进一步提议在实施例中具有重新构造优化步骤。该步骤可以用于确定在如所描述的重新构造中应该使哪些参数固定以及哪些参数可以浮动。图11是描述该方法的流程图。在步骤1100处,在参数域内的不同取样部位处发现目标函数相对于每一个重新构造参数的导数。在步骤1110处确定所得到的导数矩阵的制约性。还确定不同参数群组之间的角度。在步骤1120处,使用该制约性和/或所述角度来识别重新构造参数的任何对(或较大群组)是否高度相关(例如示出高度线性重合)。在步骤1130处,可以使用所获得的导数来识别不会导致目标函数中的显著改变的那些重新构造参数。在步骤1140处,确定应该使哪些参数固定。这些参数可以包括在步骤1130处确定的不会导致目标函数中的显著改变的参数,以及在步骤1120处识别的任何相关对/群组的相对较不重要的参数。步骤1120至1140可以包括确定一个或多个特定参数的导数矩阵的制约性和角度。例如,如果将特定参数的导数矩阵确定为被不良调节(例如基于阈值条件数)或者如果一参数与其他参数共线,则可以使该参数固定。如果所述参数中的两个或更多个参数是涉及具有所解释的高比例的方差的高条件数,则这可以指示高相关性。在重新构造中可以将良好调节的参数选择为浮动的。在步骤1150处,可以使用所获得的导数将贝叶斯先验结果附加到浮动参数。
在另一个实施例中,可以优化测量选配选择。在该实施例中,可以通过如所描述的重新构造确定层厚度,并且然后可以使用叠层高度变化图以便经由摆动曲线寻找为了努棒重叠的最适当的波长/偏振组合。摆动曲线可以是遍及诸如例如重叠比例K或叠层敏感度的强度参数的光谱序列(例如遍及波长的变化)拟合的曲线。如应该了解的是,无需产生曲线图,这是因为仅可以处理数据。可以基于这种摆动曲线做出测量选配选择,例如以优化叠层敏感度。摆动曲线依据层厚度改变而改变。如果在选择波长/偏振组合以用于重叠测量时不考虑层厚度变化,则不考虑厚度变化可能导致叠层对所选择选配的重叠的改变不充分敏感,这是由于摆动曲线上的不正确位置可以随后被错误地选择。在这种情况下,所测量的重叠将既不精确也不准确。通过使用重新构造的叠层高度变化图,可以产生正确摆动曲线。然后,可以基于该正确摆动曲线在努棒且正确的位置处选择波长/偏振选配方案,使得对重叠的敏感度最佳,而不管厚度变化如何。
概括地说,通过使用重叠光栅来估计衬底上的不同层的叠层高度,可以避免使用多层薄膜(其中不存在光栅)以光学方式来估计层高度。这也意味着这种多层薄膜目标不使用宝贵的衬底区域。叠层中的所估计层高度的知识可以在制造期间帮助改善过程控制。
为了允许对叠层高度、真实重叠(无几何不对称性效应)以及实际几何形状不对称性的值的估计而无需对许多现有的量测系统实施硬件改变。这从根本上改善了可以估计真实重叠的精确度和准确度。测量真实重叠的能力可以帮助显著地改善制造过程和良率。
不对称性参数的重新构造将提供对光栅中的临界尺寸、侧角度和倾角的估计。这些参数也可以对制造中的不同处理步骤(蚀刻、显影、CMP)的准确度提供非常重要的见解。这些参数都“免费”有效地获得,这是由于重新构造所需的图像平面响应已经被测量以用于计算重叠。
由于本发明所描述的方法使用图像平面响应中的ROI内的所有像素的平均强度,所以目标函数的信噪比非常高。因此,重新构造算法在浮动许多参数时并不显著地遭受噪声的影响。这意味着可以利用有限的测量数据来估计许多参数。
使用目标进行的那些测量自然地可以用于通过光刻过程产生的例如器件。另外,除了用于校正使用目标进行的测量以外,目标的不对称变形的量度也可以用于目标的(重新)设计中(例如对设计的布局作出改变)、也可以用于形成目标的过程中(例如对材料作出改变,对印刷步骤或条件作出改变等)、也可以用于测量条件的公式化中(例如依据测量束的波长、偏振、照射模式等对光学测量公式化作出改变)。
在下列条项中进一步描述根据本发明的另外的实施例:
1.一种量测方法,包括:
获得涉及通过光刻过程形成于衬底上的至少两个层中的目标的测量的测量数据,所述测量数据是从至少一个对应对的非零衍射阶导出的;
获得与涉及依据所述目标的几何参数而定义的所述目标的测量的仿真相关的仿真数据,所述几何参数包括一个或多个可变几何参数;以及
最小化测量数据与仿真数据之间的差,以便直接重新构造用于所述一个或多个可变几何参数的值。
2.如条项1所述的方法,其中,所述测量数据涉及从暗场测量导出的所述目标的测量结果,其中,所述至少一个对应对的非零衍射阶在一图像平面中被检测。
3.如条项2所述的方法,其中,执行所述最小化步骤,以最小化测量数据与仿真之间的所检测到的非零衍射阶的强度度量的差。
4.如条项3所述的方法,其中,所述测量数据和仿真数据各自包括多个强度值,其中,非零衍射阶、测量辐射特性和/或所述目标中所包括的强加的目标偏置的每一种组合分别对应一个强度值,并且所述强度度量是从所述强度值导出的。
5.如条项3或4所述的方法,其中,所述强度度量包括按比例衡量的图像强度不对称度,每一个按比例衡量的图像强度不对称度测量结果包括通过它们的平均强度按比例衡量的对应对的非零衍射阶之间的强度差。
6.如条项3或4所述的方法,其中,所述强度度量包括叠层敏感度,其中,叠层敏感度是重叠比例常数与测量图像内的感兴趣的区域的强度的平均值的比率。
7.如条项3或4所述的方法,其中,所述强度度量包括平均强度,所述平均强度是测量图像内的感兴趣的区域的强度的平均值。
8.如条项1所述的方法,其中,所述测量数据与从光瞳平面测量中导出的目标的测量结果相关,其中,所述至少一个对应对的非零衍射阶在所述光瞳平面中被检测到。
9.如前述条项中任一项所述的方法,其中,所述一个或多个可变几何参数包括所述目标中所包括的层的至少一个层高度。
10.如条项9所述的方法,其中,所述一个或多个可变几何参数包括所述目标中所包括的不同层的多个层高度,并且其中,最小化步骤包括同时重新构造用于所述多个层高度的值。
11.如条项9或10所述的方法,包括以下步骤:计算光谱序列,所述光谱序列描述:针对具有在所述最小化步骤中确定的至少一个层高度的目标,测量辐射的强度参数随着测量辐射的波长的变化;以及
基于所述光谱序列优化该测量辐射。
12.如前述条项中任一项所述的方法,其中,所述一个或多个可变几何参数包括所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差。
13.如前述条项中任一项所述的方法,其中,所述一个或多个可变几何参数包括所述目标中所包括的多个结构中的至少一个结构的一个或多个几何不对称性参数。
14.如条项1至7中任一项所述的方法,其中,所述一个或多个可变几何参数包括:
所述目标中所包括的层的一个或多个层高度;以及
一个或多个其他可变几何参数,其能够包括以下各项中的一项或多项:所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差,以及一个或多个几何不对称性参数;
其中,在两个阶段中执行所述最小化步骤:第一阶段用于重新构造用于所述一个或多个层高度的值,第二阶段用于重新构造用于所述一个或多个其他可变几何参数的值,在第一阶段中确定的一个或多个层高度在第二阶段中被前馈为一个或多个固定层高度参数。
15.如条项14所述的方法,其中,所述强度度量在第一阶段中包括叠层敏感度,其中,所述叠层敏感度是重叠比例常数与测量图像内的感兴趣的区域的强度的平均值的比率;并且其中,所述强度度量在第二阶段中包括按比例衡量的图像强度不对称性,每一个按比例衡量的图像强度不对称性测量结果包括通过它们的平均强度按比例衡量的对应对的非零衍射阶之间的强度差。
16.如条项14或15所述的方法,其中,第一阶段用于预测描述跨过所述衬底的层高度的变化的叠层高度变化图,所述叠层高度变化图在第二阶段中用于确定所述一个或多个固定层高度参数。
17.如条项14至16中任一项所述的方法,包括以下步骤:
计算光谱序列,所述光谱序列描述:针对具有在所述最小化步骤中确定的至少一个层高度的目标,测量辐射的强度参数随着测量辐射的波长的变化;以及
基于所述光谱序列优化所述测量辐射。
18.如条项13至17中任一项所述的方法,其中,所述一个或多个几何不对称性参数包括以下各项中的一项或多项:底板倾角、顶部倾角、侧壁角、临界尺寸。
19.如前述条项中任一项所述的方法,其中,所述最小化步骤包括:设计用于最小化所述测量数据与仿真数据之间的差的目标函数。
20.如条项19所述的方法,其中,所述目标函数包括考虑检测器噪声的项。
21.如条项19或20所述的方法,还包括重新构造优化步骤,用于确定所述几何参数中的哪些几何参数应该是所述可变几何参数。
22.如条项21所述的方法,包括关于每一个几何参数执行以下步骤:
确定所述目标函数的导数矩阵;以及
基于所述导数矩阵的制约性和所述导数矩阵内的不同向量组合的角度来确定所述几何参数中的哪些几何参数应该是所述可变几何参数。
23.如条项22所述的方法,其中,所述重新构造优化步骤包括:在对应的导数矩阵被确定为不良调节的情况下或者在所述角度中的一个或多个角度低于某一阈值的情况下,不将一几何参数选择为可变几何参数。
24.如条项21、22或23所述的方法,其中,所述重新构造优化步骤包括:确定相关几何参数的一个或多个集合,以及
确定每一个集合的被认为在该集合内具有最高相对重要性的相关几何参数作为可变几何参数。
25.如前述条项中任一项所述的方法,其中,所述目标包括至少两个子目标,每一个子目标具有不同的强加的重叠偏置量。
26.如前述条项中任一项所述的方法,其中,存在多个可变几何参数,并且其中,最小化步骤包括同时重新构造用于这些可变几何参数中的每一个的值。
27.如条项26所述的方法,其中,可变几何参数的数目大于三。
28.如条项26所述的方法,其中,可变几何参数的数目大于六。
29.如前述条项中任一项所述的方法,其中,通过点扩散函数模型获得所述仿真数据。
30.如前述条项中任一项所述的方法,包括:执行所述测量并且捕捉所述至少一个对应对的非零衍射阶以获得所述测量数据,以及执行所述仿真以获得所述仿真数据。
31.如前述条项中任一项所述的方法,其中,所述测量数据与利用具有多个不同测量辐射特性的测量辐射进行的目标的测量有关。
32.如前述条项中任一项所述的方法,其中,所述目标在衬底平面中在任一维度上不大于10μm。
33.一种量测设备,所述量测设备包括处理器,所述处理器能够操作以:
获得涉及通过光刻过程而形成于衬底上的至少两个层中的目标的测量的测量数据,所述测量数据是从至少一个对应对的非零衍射阶导出的;
获得与涉及如依据所述目标的几何参数而定义的所述目标的测量的仿真相关的仿真数据,所述几何参数包括一个或多个可变几何参数;以及
最小化测量数据与仿真数据之间的差,以便直接重新构造用于所述一个或多个可变几何参数的值。
34.如条项33所述的量测设备,包括所述量测设备的图像平面中的检测器,并且能够操作以执行暗场测量,其中,所述至少一个对应对的非零衍射阶在所述图像平面中通过所述检测器进行检测,以获得所述测量数据。
35.如条项34所述的量测设备,其能够操作以最小化测量数据与仿真数据之间所检测到的非零衍射阶的强度度量使差。
36.如条项35所述的量测设备,其中,所述测量数据和仿真数据各自包括多个强度值,其中,非零衍射阶、测量辐射特性和/或所述目标中所包括的强加的目标偏置的每一种组合分别对应一个强度值,并且所述强度度量是从所述强度值导出的。
37.如条项35或36所述的量测设备,其中,所述强度度量包括按比例衡量的图像强度不对称性,每一个按比例衡量的图像强度不对称性测量结果包括通过它们的平均强度按比例衡量的对应对的非零衍射阶之间的强度差。
38.如条项35或36所述的量测设备,其中,所述强度度量包括叠层敏感度,其中,叠层敏感度是重叠比例常数与测量图像内的感兴趣的区域的强度的平均值的比率。
39.如条项35或36所述的量测设备,其中,所述强度度量包括平均强度,所述平均强度是测量图像内的感兴趣的区域的强度的平均值。
40.如条项33所述的量测设备,包括所述量测设备的图像平面中的检测器,并且能够操作以执行暗场测量,其中,所述至少一个对应对的非零衍射阶在所述图像平面中通过所述检测器进行检测,以获得所述测量数据。
41.如条项33至40中任一项所述的量测设备,其中,所述一个或多个可变几何参数包括所述目标中所包括的层的至少一个层高度。
42.如条项41所述的量测设备,其中,所述一个或多个可变几何参数包括所述目标中所包括的不同层的多个层高度,并且其中,所述处理器能够操作以同时重新构造用于所述多个层高度的值。
43.如条项41或42所述的量测设备,其中,所述处理器能够进一步操作以:
计算光谱序列,所述光谱序列描述:针对具有在所述最小化步骤中确定的至少一个层高度的目标,测量辐射的强度参数随着测量辐射的波长的变化;以及
基于所述光谱序列优化所述测量辐射。
44.如条项33至43中任一项所述的量测设备,其中,所述一个或多个可变几何参数包括所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差。
45.如条项33至44中任一项所述的量测设备,其中,所述一个或多个可变几何参数包括所述目标中所包括的多个结构中的至少一个结构的一个或多个几何不对称性参数。
46.如条项33至39中任一项所述的量测设备,其中,所述一个或多个可变几何参数包括:
所述目标中所包括的层的一个或多个层高度;以及
一个或多个其他可变几何参数,其能够包括以下各项中的一项或多项:所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差,以及一个或多个几何不对称性参数;
其中,所述处理器能够操作以在两个阶段中执行所述最小化:第一阶段用于重新构造用于所述一个或多个层高度的值,第二阶段用于重新构造用于所述一个或多个其他可变几何参数的值,在第一阶段中确定的一个或多个层高度在第二阶段中被前馈为一个或多个固定层高度参数。
47.如条项46所述的量测设备,其中,所述强度度量在第一阶段中包括叠层敏感度,其中,所述叠层敏感度是重叠比例常数与测量图像内的感兴趣的区域的强度的平均值的比率;并且其中,所述强度度量在第二阶段中包括按比例衡量的图像强度不对称性,每一个按比例衡量的图像强度不对称性测量结果包括通过它们的平均强度按比例衡量的对应对的非零衍射阶之间的强度差。
48.如条项46或47所述的量测设备,其中,所述处理器在第一阶段中能够操作以预测描述跨过所述衬底的层高度的变化的叠层高度变化图,并且在第二阶段中能够操作以使用所述叠层高度变化图来确定所述一个或多个固定层高度参数。
49.如条项46至48中任一项所述的量测设备,其中,所述处理器能够进一步操作以:
计算光谱序列,所述光谱序列描述:针对具有在所述最小化步骤中确定的至少一个层高度的目标,测量辐射的强度参数随着测量辐射的波长的变化;以及
基于所述光谱序列优化所述测量辐射。
50.如条项45至49中任一项所述的量测设备,其中,所述一个或多个几何不对称性参数包括以下各项中的一项或多项:底板倾角、顶部倾角、侧壁角、临界尺寸。
51.如条项33至50中任一项所述的量测设备,其中,所述处理器能够操作,以设计用于最小化所述测量数据与仿真数据之间的差的目标函数。
52.如条项51所述的量测设备,其中,所述目标函数包括考虑检测器噪声的项。
53.如条项51或52所述的量测设备,其中,所述处理器能够操作,以确定所述几何参数中的哪些几何参数应该是所述可变几何参数。
54.如条项53所述的量测设备,其中,所述处理器能够进一步操作以:
确定所述目标函数的导数矩阵;以及
基于所述导数矩阵的制约性和所述导数矩阵内的不同向量组合的角度来确定所述几何参数中的哪些几何参数应该是所述可变几何参数。
55.如条项54所述的量测设备,其中,所述处理器能够进一步操作,以在对应的导数矩阵被确定为不良调节的情况下或者在所述角度中的一个或多个角度低于某一阈值的情况下,不将一几何参数选择为可变几何参数。
56.如条项53、54或55所述的量测设备,其中,所述处理器能够进一步操作以:
确定相关几何参数的一个或多个集合,以及
确定每一个集合的被认为在该集合内具有最高相对重要性的相关几何参数作为可变几何参数。
57.如条项33至56中任一项所述的量测设备,其中,存在多个可变几何参数,并且其中,所述处理器能够操作以同时重新构造用于这些可变几何参数中的每一个的值。
58.如条项57所述的量测设备,其中,可变几何参数的数目大于三。
59.如条项57所述的量测设备,其中,可变几何参数的数目大于六。
60.如条项33至59中任一项所述的量测设备,其中,所述仿真数据是从点扩散函数模型获得的。
61.如条项33至60中任一项所述的量测设备,包括辐射源,所述辐射源用于提供测量辐射以测量所述目标并获得所述测量数据。
62.如条项61所述的量测设备,其中,所述辐射源能够操作以提供具有多个不同测量辐射特性的测量辐射,使得所述测量数据与利用具有多个不同测量辐射特性的测量辐射进行的目标的测量有关。
63.如条项33至62中任一项所述的量测设备,所述量测设备能够操作以测量目标,所述目标在衬底平面中在任一维度上不大于10μm。
64.一种包括程序指令的计算机程序,所述程序指令在适当的设备运行上时能够操作,以执行如条项1至32中任一项所述的方法。
65.一种非暂时性计算机程序载体,所述计算机程序载体包括如条项64所述的计算机程序。
尽管上文可以特定地参考在光学光刻的背景中对本发明的实施例的使用,但是应该了解的是,本发明可以用于其他应用,例如压印光刻术中,并且在背景允许的情况下不限于光学光刻术。在压印光刻术中,图案化装置中的形貌限定产生于衬底上的图案。可以将图案化装置的形貌压入被供应到衬底的抗蚀剂层中;在衬底上,通过施加电磁辐射、热、压力或者它们的组合而使抗蚀剂固化。在抗蚀剂固化之后,将图案化装置移出抗蚀剂,从而在其中留下图案。
本发明中使用的术语“辐射”和“束”涵盖所有类型的电磁辐射,包括紫外(UV)辐射(例如具有等于或约为365nm、355nm、248nm、193nm、157nm或126nm的波长)、极紫外(EUV)辐射(例如具有在5nm至20nm的范围内的波长),以及粒子束,诸如离子束或电子束。
术语“透镜”在背景允许时可以指各种类型的光学组件中的任一种或其组合,包括折射型、反射型、磁性型、电磁型和静电型光学组件。
对于具体实施例的上述描述披露了本发明的实施例的一般性质,使得在不脱离本发明的一般概念的情况下,其他人可以通过应用本领域技术人员所了解的知识来针对各种应用而容易地修改和/或调整这些具体实施例,而无需进行不适当的实验。因此,基于本发明中所呈现的教示和指导,意图使这些调整和修改落入所披露的实施例的等同物的涵义和范围内。应该理解的是,本发明中的措辞或术语是出于例如描述而非限制的目的,以使得本说明书的术语或措辞待由本领域技术人员按照所述教示和指导进行解释。
本发明的广度和范围不应该受到上述例示性实施例中的任一者限制,而应该仅根据随附的权利要求书及其等同物进行限定。

Claims (67)

1.一种量测方法,包括:
获得涉及通过光刻过程形成于衬底上的至少两个层中的目标的测量的测量数据,所述测量数据是从至少一个对应对的非零衍射阶导出的;
获得与涉及依据所述目标的几何参数而定义的所述目标的测量的仿真相关的仿真数据,所述几何参数包括一个或多个可变几何参数;以及
最小化测量数据与仿真数据之间的差,以便直接重新构造用于所述一个或多个可变几何参数的值。
2.如权利要求1所述的方法,其中,所述测量数据涉及从暗场测量导出的所述目标的测量结果,其中,所述至少一个对应对的非零衍射阶在一图像平面中被检测。
3.如权利要求2所述的方法,其中,执行所述最小化步骤,以最小化测量数据与仿真之间的所检测到的非零衍射阶的强度度量的差。
4.如权利要求3所述的方法,其中,所述测量数据和仿真数据各自包括多个强度值,其中,非零衍射阶、测量辐射特性和/或所述目标中所包括的强加的目标偏置的每一种组合分别对应一个强度值,并且所述强度度量是从所述强度值导出的。
5.如权利要求3或4所述的方法,其中,所述强度度量包括按比例衡量的图像强度不对称度,每一个按比例衡量的图像强度不对称度测量结果包括通过它们的平均强度按比例衡量的对应对的非零衍射阶之间的强度差。
6.如权利要求3或4所述的方法,其中,所述强度度量包括叠层敏感度,其中,叠层敏感度是重叠比例常数与测量图像内的感兴趣的区域的强度的平均值的比率。
7.如权利要求3或4所述的方法,其中,所述强度度量包括平均强度,所述平均强度是测量图像内的感兴趣的区域的强度的平均值。
8.如权利要求1所述的方法,其中,所述测量数据与从光瞳平面测量中导出的目标的测量结果相关,其中,所述至少一个对应对的非零衍射阶在所述光瞳平面中被检测到。
9.如权利要求1所述的方法,其中,所述一个或多个可变几何参数包括所述目标中所包括的层的至少一个层高度。
10.如权利要求9所述的方法,其中,所述一个或多个可变几何参数包括所述目标中所包括的不同层的多个层高度,并且其中,最小化步骤包括同时重新构造用于所述多个层高度的值。
11.如权利要求9或10所述的方法,包括以下步骤:计算光谱序列,所述光谱序列描述:针对具有在所述最小化步骤中确定的至少一个层高度的目标,测量辐射的强度参数随着测量辐射的波长的变化;以及
基于所述光谱序列优化该测量辐射。
12.如权利要求1所述的方法,其中,所述一个或多个可变几何参数包括所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差。
13.如权利要求1所述的方法,其中,所述一个或多个可变几何参数包括所述目标中所包括的多个结构中的至少一个结构的一个或多个几何不对称性参数。
14.如权利要求1所述的方法,其中,所述一个或多个可变几何参数包括:
所述目标中所包括的层的一个或多个层高度;以及
一个或多个其他可变几何参数,其能够包括以下各项中的一项或多项:所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差,以及一个或多个几何不对称性参数;
其中,在两个阶段中执行所述最小化步骤:第一阶段用于重新构造用于所述一个或多个层高度的值,第二阶段用于重新构造用于所述一个或多个其他可变几何参数的值,在第一阶段中确定的一个或多个层高度在第二阶段中被前馈为一个或多个固定层高度参数。
15.如权利要求3所述的方法,其中,所述一个或多个可变几何参数包括:
所述目标中所包括的层的一个或多个层高度;以及
一个或多个其他可变几何参数,其能够包括以下各项中的一项或多项:所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差,以及一个或多个几何不对称性参数;
其中,在两个阶段中执行所述最小化步骤:第一阶段用于重新构造用于所述一个或多个层高度的值,第二阶段用于重新构造用于所述一个或多个其他可变几何参数的值,在第一阶段中确定的一个或多个层高度在第二阶段中被前馈为一个或多个固定层高度参数。
16.如权利要求15所述的方法,其中,所述强度度量在第一阶段中包括叠层敏感度,其中,所述叠层敏感度是重叠比例常数与测量图像内的感兴趣的区域的强度的平均值的比率;并且其中,所述强度度量在第二阶段中包括按比例衡量的图像强度不对称性,每一个按比例衡量的图像强度不对称性测量结果包括通过它们的平均强度按比例衡量的对应对的非零衍射阶之间的强度差。
17.如权利要求14所述的方法,其中,第一阶段用于预测描述跨过所述衬底的层高度的变化的叠层高度变化图,所述叠层高度变化图在第二阶段中用于确定所述一个或多个固定层高度参数。
18.如权利要求14所述的方法,包括以下步骤:
计算光谱序列,所述光谱序列描述:针对具有在所述最小化步骤中确定的至少一个层高度的目标,测量辐射的强度参数随着测量辐射的波长的变化;以及
基于所述光谱序列优化所述测量辐射。
19.如权利要求13所述的方法,其中,所述一个或多个几何不对称性参数包括以下各项中的一项或多项:底板倾角、顶部倾角、侧壁角、临界尺寸。
20.如权利要求中1所述的方法,其中,所述最小化步骤包括:设计用于最小化所述测量数据与仿真数据之间的差的目标函数。
21.如权利要求20所述的方法,其中,所述目标函数包括考虑检测器噪声的项。
22.如权利要求20或21所述的方法,还包括重新构造优化步骤,用于确定所述几何参数中的哪些几何参数应该是所述可变几何参数。
23.如权利要求22所述的方法,包括关于每一个几何参数执行以下步骤:
确定所述目标函数的导数矩阵;以及
基于所述导数矩阵的制约性和所述导数矩阵内的不同向量组合的角度来确定所述几何参数中的哪些几何参数应该是所述可变几何参数。
24.如权利要求23所述的方法,其中,所述重新构造优化步骤包括:在对应的导数矩阵被确定为不良调节的情况下或者在所述角度中的一个或多个角度低于某一阈值的情况下,不将一几何参数选择为可变几何参数。
25.如权利要求22所述的方法,其中,所述重新构造优化步骤包括:确定相关几何参数的一个或多个集合,以及
确定每一个集合的被认为在该集合内具有最高相对重要性的相关几何参数作为可变几何参数。
26.如权利要求1所述的方法,其中,所述目标包括至少两个子目标,每一个子目标具有不同的强加的重叠偏置量。
27.如权利要求1所述的方法,其中,存在多个可变几何参数,并且其中,最小化步骤包括同时重新构造用于这些可变几何参数中的每一个的值。
28.如权利要求27所述的方法,其中,可变几何参数的数目大于三。
29.如权利要求27所述的方法,其中,可变几何参数的数目大于六。
30.如权利要求1所述的方法,其中,通过点扩散函数模型获得所述仿真数据。
31.如权利要求1所述的方法,包括:执行所述测量并且捕捉所述至少一个对应对的非零衍射阶以获得所述测量数据,以及执行所述仿真以获得所述仿真数据。
32.如权利要求1所述的方法,其中,所述测量数据与利用具有多个不同测量辐射特性的测量辐射进行的目标的测量有关。
33.如权利要求1所述的方法,其中,所述目标在衬底平面中在任一维度上不大于10μm。
34.一种量测设备,所述量测设备包括处理器,所述处理器能够操作以:
获得涉及通过光刻过程而形成于衬底上的至少两个层中的目标的测量的测量数据,所述测量数据是从至少一个对应对的非零衍射阶导出的;
获得与涉及如依据所述目标的几何参数而定义的所述目标的测量的仿真相关的仿真数据,所述几何参数包括一个或多个可变几何参数;以及
最小化测量数据与仿真数据之间的差,以便直接重新构造用于所述一个或多个可变几何参数的值。
35.如权利要求34所述的量测设备,包括所述量测设备的图像平面中的检测器,并且能够操作以执行暗场测量,其中,所述至少一个对应对的非零衍射阶在所述图像平面中通过所述检测器进行检测,以获得所述测量数据。
36.如权利要求35所述的量测设备,其能够操作以最小化测量数据与仿真数据之间所检测到的非零衍射阶的强度度量使差。
37.如权利要求36所述的量测设备,其中,所述测量数据和仿真数据各自包括多个强度值,其中,非零衍射阶、测量辐射特性和/或所述目标中所包括的强加的目标偏置的每一种组合分别对应一个强度值,并且所述强度度量是从所述强度值导出的。
38.如权利要求36或37所述的量测设备,其中,所述强度度量包括按比例衡量的图像强度不对称性,每一个按比例衡量的图像强度不对称性测量结果包括通过它们的平均强度按比例衡量的对应对的非零衍射阶之间的强度差。
39.如权利要求36或37所述的量测设备,其中,所述强度度量包括叠层敏感度,其中,叠层敏感度是重叠比例常数与测量图像内的感兴趣的区域的强度的平均值的比率。
40.如权利要求36或37所述的量测设备,其中,所述强度度量包括平均强度,所述平均强度是测量图像内的感兴趣的区域的强度的平均值。
41.如权利要求34所述的量测设备,包括所述量测设备的光瞳平面中的检测器,并且能够操作以执行光瞳平面测量,其中,所述至少一个对应对的非零衍射阶在所述光瞳平面中通过所述检测器进行检测,以获得所述测量数据。
42.如权利要求34所述的量测设备,其中,所述一个或多个可变几何参数包括所述目标中所包括的层的至少一个层高度。
43.如权利要求42所述的量测设备,其中,所述一个或多个可变几何参数包括所述目标中所包括的不同层的多个层高度,并且其中,所述处理器能够操作以同时重新构造用于所述多个层高度的值。
44.如权利要求42或43所述的量测设备,其中,所述处理器能够进一步操作以:
计算光谱序列,所述光谱序列描述:针对具有在所述最小化步骤中确定的至少一个层高度的目标,测量辐射的强度参数随着测量辐射的波长的变化;以及
基于所述光谱序列优化所述测量辐射。
45.如权利要求34所述的量测设备,其中,所述一个或多个可变几何参数包括所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差。
46.如权利要求34所述的量测设备,其中,所述一个或多个可变几何参数包括所述目标中所包括的多个结构中的至少一个结构的一个或多个几何不对称性参数。
47.如权利要求34所述的量测设备,其中,所述一个或多个可变几何参数包括:
所述目标中所包括的层的一个或多个层高度;以及
一个或多个其他可变几何参数,其能够包括以下各项中的一项或多项:所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差,以及一个或多个几何不对称性参数;
其中,所述处理器能够操作以在两个阶段中执行所述最小化:第一阶段用于重新构造用于所述一个或多个层高度的值,第二阶段用于重新构造用于所述一个或多个其他可变几何参数的值,在第一阶段中确定的一个或多个层高度在第二阶段中被前馈为一个或多个固定层高度参数。
48.如权利要求36所述的量测设备,其中,所述一个或多个可变几何参数包括:
所述目标中所包括的层的一个或多个层高度;以及
一个或多个其他可变几何参数,其能够包括以下各项中的一项或多项:所述目标所包括的多个结构中的至少一个结构中不存在几何不对称性的效应的情况下的重叠误差,以及一个或多个几何不对称性参数;
其中,所述处理器能够操作以在两个阶段中执行所述最小化:第一阶段用于重新构造用于所述一个或多个层高度的值,第二阶段用于重新构造用于所述一个或多个其他可变几何参数的值,在第一阶段中确定的一个或多个层高度在第二阶段中被前馈为一个或多个固定层高度参数。
49.如权利要求48所述的量测设备,其中,所述强度度量在第一阶段中包括叠层敏感度,其中,所述叠层敏感度是重叠比例常数与测量图像内的感兴趣的区域的强度的平均值的比率;并且其中,所述强度度量在第二阶段中包括按比例衡量的图像强度不对称性,每一个按比例衡量的图像强度不对称性测量结果包括通过它们的平均强度按比例衡量的对应对的非零衍射阶之间的强度差。
50.如权利要求47所述的量测设备,其中,所述处理器在第一阶段中能够操作以预测描述跨过所述衬底的层高度的变化的叠层高度变化图,并且在第二阶段中能够操作以使用所述叠层高度变化图来确定所述一个或多个固定层高度参数。
51.如权利要求47所述的量测设备,其中,所述处理器能够进一步操作以:
计算光谱序列,所述光谱序列描述:针对具有在所述最小化步骤中确定的至少一个层高度的目标,测量辐射的强度参数随着测量辐射的波长的变化;以及
基于所述光谱序列优化所述测量辐射。
52.如权利要求46所述的量测设备,其中,所述一个或多个几何不对称性参数包括以下各项中的一项或多项:底板倾角、顶部倾角、侧壁角、临界尺寸。
53.如权利要求34所述的量测设备,其中,所述处理器能够操作,以设计用于最小化所述测量数据与仿真数据之间的差的目标函数。
54.如权利要求53所述的量测设备,其中,所述目标函数包括考虑检测器噪声的项。
55.如权利要求53或54所述的量测设备,其中,所述处理器能够操作,以确定所述几何参数中的哪些几何参数应该是所述可变几何参数。
56.如权利要求55所述的量测设备,其中,所述处理器能够进一步操作以:
确定所述目标函数的导数矩阵;以及
基于所述导数矩阵的制约性和所述导数矩阵内的不同向量组合的角度来确定所述几何参数中的哪些几何参数应该是所述可变几何参数。
57.如权利要求56所述的量测设备,其中,所述处理器能够进一步操作,以在对应的导数矩阵被确定为不良调节的情况下或者在所述角度中的一个或多个角度低于某一阈值的情况下,不将一几何参数选择为可变几何参数。
58.如权利要求55所述的量测设备,其中,所述处理器能够进一步操作以:
确定相关几何参数的一个或多个集合,以及
确定每一个集合的被认为在该集合内具有最高相对重要性的相关几何参数作为可变几何参数。
59.如权利要求34所述的量测设备,其中,存在多个可变几何参数,并且其中,所述处理器能够操作以同时重新构造用于这些可变几何参数中的每一个的值。
60.如权利要求59所述的量测设备,其中,可变几何参数的数目大于三。
61.如权利要求59所述的量测设备,其中,可变几何参数的数目大于六。
62.如权利要求34所述的量测设备,其中,所述仿真数据是从点扩散函数模型获得的。
63.如权利要求34所述的量测设备,包括辐射源,所述辐射源用于提供测量辐射以测量所述目标并获得所述测量数据。
64.如权利要求63所述的量测设备,其中,所述辐射源能够操作以提供具有多个不同测量辐射特性的测量辐射,使得所述测量数据与利用具有多个不同测量辐射特性的测量辐射进行的目标的测量有关。
65.如权利要求34所述的量测设备,所述量测设备能够操作以测量目标,所述目标在衬底平面中在任一维度上不大于10μm。
66.一种包括程序指令的计算机程序,所述程序指令在适当的设备运行上时能够操作,以执行如权利要求1至33中任一项所述的方法。
67.一种非暂时性计算机程序载体,所述计算机程序载体包括如权利要求66所述的计算机程序。
CN201880009536.6A 2017-02-02 2018-01-08 量测方法、设备和计算机程序 Active CN110300928B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP17154425.7 2017-02-02
EP17154425.7A EP3358413A1 (en) 2017-02-02 2017-02-02 Metrology method, apparatus and computer program
PCT/EP2018/050366 WO2018141505A1 (en) 2017-02-02 2018-01-08 Metrology method, apparatus and computer program

Publications (2)

Publication Number Publication Date
CN110300928A CN110300928A (zh) 2019-10-01
CN110300928B true CN110300928B (zh) 2021-10-08

Family

ID=57963071

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880009536.6A Active CN110300928B (zh) 2017-02-02 2018-01-08 量测方法、设备和计算机程序

Country Status (8)

Country Link
US (1) US10551172B2 (zh)
EP (1) EP3358413A1 (zh)
JP (1) JP6793840B6 (zh)
KR (1) KR102281795B1 (zh)
CN (1) CN110300928B (zh)
IL (1) IL267874B2 (zh)
TW (1) TWI694312B (zh)
WO (1) WO2018141505A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107111250B (zh) * 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
CN110622068B (zh) * 2017-04-14 2022-01-11 Asml荷兰有限公司 测量方法
EP3575874A1 (en) * 2018-05-29 2019-12-04 ASML Netherlands B.V. Metrology method, apparatus and computer program
US10877367B2 (en) * 2019-08-30 2020-12-29 Intel Corporation Adaptive algorithm to generate optical proximity correction lithographic recipe
WO2021175521A1 (en) * 2020-03-02 2021-09-10 Asml Netherlands B.V. Method for inferring a local uniformity metric
TWI743792B (zh) * 2020-05-19 2021-10-21 力晶積成電子製造股份有限公司 半導體製程用游標尺及使用其進行的微影製程檢測方法
CN112062571B (zh) * 2020-07-29 2022-08-16 上海梁为科技发展有限公司 具有叠层结构的TiC陶瓷及其制备方法
US20220357674A1 (en) * 2021-05-04 2022-11-10 Kla Corporation Oblique illumination for overlay metrology
WO2024052012A1 (en) * 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336262A1 (en) * 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
WO2007030704A2 (en) * 2005-09-09 2007-03-15 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
US7373216B1 (en) * 2007-03-30 2008-05-13 Tokyo Electron Limited Method and apparatus for verifying a site-dependent wafer
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US8078995B2 (en) * 2009-01-06 2011-12-13 International Business Machines Corporation Efficient isotropic modeling approach to incorporate electromagnetic effects into lithographic process simulations
US8024676B2 (en) * 2009-02-13 2011-09-20 Tokyo Electron Limited Multi-pitch scatterometry targets
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
JP2013502592A (ja) 2009-08-24 2013-01-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィ装置、リソグラフィプロセシングセル、およびメトロロジターゲットを備える基板
US8525993B2 (en) * 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
JP6336068B2 (ja) * 2013-08-07 2018-06-06 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法および装置、リソグラフィシステムならびにデバイス製造方法
US9189705B2 (en) * 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
SG11201604739RA (en) * 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
EP2962766B1 (de) * 2014-06-30 2016-11-23 ABB Schweiz AG System und Verfahren zur Ermittlung von komponentenbezogenen Verzugszeiten für die roboterbasierte Spritzapplikation von viskosen Fluiden
CN107111250B (zh) * 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
KR20170120153A (ko) * 2015-03-13 2017-10-30 에이에스엠엘 네델란즈 비.브이. 리소그래피 방법 및 리소그래피 장치
US9915524B2 (en) * 2015-05-11 2018-03-13 Kla-Tencor Corporation Optical metrology with small illumination spot size
US10502692B2 (en) * 2015-07-24 2019-12-10 Kla-Tencor Corporation Automated metrology system selection

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target

Also Published As

Publication number Publication date
KR102281795B1 (ko) 2021-07-27
JP6793840B2 (ja) 2020-12-02
TW201832015A (zh) 2018-09-01
CN110300928A (zh) 2019-10-01
EP3358413A1 (en) 2018-08-08
TWI694312B (zh) 2020-05-21
US20180216930A1 (en) 2018-08-02
IL267874B2 (en) 2023-10-01
WO2018141505A1 (en) 2018-08-09
IL267874B1 (en) 2023-06-01
IL267874A (en) 2019-08-29
JP2020507800A (ja) 2020-03-12
KR20190112792A (ko) 2019-10-07
JP6793840B6 (ja) 2020-12-23
US10551172B2 (en) 2020-02-04

Similar Documents

Publication Publication Date Title
US11640116B2 (en) Metrology method, computer product and system
CN110300928B (zh) 量测方法、设备和计算机程序
US10845707B2 (en) Determination of stack difference and correction using stack difference
US10437163B2 (en) Method and apparatus for design of a metrology target
JP6133980B2 (ja) リソグラフィのためのメトロロジ
CN109564393B (zh) 量测方法和设备、计算机程序和光刻系统
US10551750B2 (en) Metrology method and apparatus and associated computer product
NL2016631A (en) Metrology method and apparatus, computer program and lithographic system.
JP2018507438A (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
US20220252990A1 (en) Metrology method and associated computer product
EP3299890A1 (en) Metrology recipe selection

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant