CN109860113B - 半导体器件和制造方法 - Google Patents

半导体器件和制造方法 Download PDF

Info

Publication number
CN109860113B
CN109860113B CN201810509610.2A CN201810509610A CN109860113B CN 109860113 B CN109860113 B CN 109860113B CN 201810509610 A CN201810509610 A CN 201810509610A CN 109860113 B CN109860113 B CN 109860113B
Authority
CN
China
Prior art keywords
layer
sacrificial material
sacrificial
gate
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810509610.2A
Other languages
English (en)
Other versions
CN109860113A (zh
Inventor
杨建勋
林立德
黄俊瑞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109860113A publication Critical patent/CN109860113A/zh
Application granted granted Critical
Publication of CN109860113B publication Critical patent/CN109860113B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明实施例提供了诸如鳍式场效应晶体管的半导体器件及其制造方法。在一些实施例中,在半导体鳍上方形成栅极间隔件并且在鳍上方形成栅极堆叠件。在栅极堆叠件上方形成对栅极间隔件具有较大的选择性的第一牺牲材料,以及在源极/漏极接触件上方形成具有较大选择性的第二牺牲材料。利用蚀刻工艺形成穿过第一牺牲材料和第二牺牲材料的开口,并且用导电材料填充开口。

Description

半导体器件和制造方法
技术领域
本发明实施例涉及半导体器件和制造方法。
背景技术
半导体器件用于诸如例如个人电脑、手机、数码相机和其他电子设备的各种电子应用中。通常通过在半导体衬底上方依次沉积绝缘或介电层、导电层和半导体材料层以及使用光刻图案化各个材料层以在各个材料层上形成电路组件和元件来制造半导体器件。
半导体产业通过不断减小最小部件尺寸持续地改进各个电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许更多的组件集成至给定的区域。然而,随着最小部件尺寸的减小,出现了应该解决的附加问题。
发明内容
根据本发明的一些实施例,提供了一种制造半导体器件的方法,所述方法包括:在半导体鳍上方形成栅极间隔件;邻近所述栅极间隔件形成第一栅极堆叠件;在所述半导体鳍上方形成第二栅极堆叠件;在所述第一栅极堆叠件和所述第二栅极堆叠件上方形成第一牺牲材料,其中,所述第一牺牲材料对所述栅极间隔件具有大于12的蚀刻选择性;在所述第一栅极堆叠件和所述第二栅极堆叠件之间以及所述第一栅极堆叠件上方的所述第一牺牲材料和所述第二栅极堆叠件上方的所述第一牺牲材料之间的区域内放置第一导电材料和第二牺牲材料,其中,所述第二牺牲材料与所述第一牺牲材料不同并且对所述栅极间隔件具有大于12的蚀刻选择性;穿过所述第一牺牲材料蚀刻第一开口;以及穿过所述第二牺牲材料蚀刻第二开口。
根据本发明的另一些实施例,还提供了一种制造半导体器件的方法,所述方法包括:在衬底上方的第一牺牲材料上方放置第一光刻胶;形成穿过所述第一牺牲材料的第一部分的第一开口以暴露栅极堆叠件上方的覆盖层并且以暴露栅极间隔件的侧壁,其中,所述栅极间隔件和所述第一牺牲材料在形成所述第一开口期间具有大于12的蚀刻选择性;在第二牺牲材料上方放置第二光刻胶,其中,所述第二牺牲材料和所述栅极间隔件具有大于12的蚀刻选择性;形成至少部分地穿过所述第二牺牲材料的第二开口;在停止形成所述第二开口之后,所述第二开口延伸穿过所述第二牺牲材料以暴露源极/漏极接触件;以及用导电材料填充所述第一开口和所述第二开口。
根据本发明的又一些实施例,还提供了一种半导体器件,包括:栅极堆叠件;覆盖层,位于所述栅极堆叠件上方;第一牺牲层,位于所述覆盖层上方;栅极接触件,延伸穿过所述第一牺牲层并且与所述覆盖层物理接触;第一间隔件,邻近所述栅极堆叠件、所述覆盖层和所述栅极接触件的每个并且与所述栅极堆叠件、所述覆盖层和所述栅极接触件的每个物理接触;源极/漏极接触件,邻近所述第一间隔件;第二牺牲层,位于所述源极/漏极接触件上方,所述第二牺牲层与所述第一牺牲层不同;以及源极/漏极接触插塞,延伸穿过第二牺牲层并且与源极/漏极接触件物理接触。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1示出了根据一些实施例的栅极堆叠件的形成。
图2示出了根据一些实施例的第一牺牲材料的形成。
图3A至图3B示出了根据一些实施例的用于接触端切割的层的形成。
图4示出了根据一些实施例的层的图案化。
图5示出了根据一些实施例的层间电介质的去除。
图6示出了根据一些实施例的第一接触材料的形成。
图7示出了根据一些实施例的图案化工艺。
图8示出了根据一些实施例的第二牺牲材料的形成。
图9示出了根据一些实施例的栅极开口的形成。
图10示出了根据一些实施例的源极/漏极接触件开口的形成。
图11示出了根据一些实施例的轨道开口的形成。
图12示出了根据一些实施例的穿透蚀刻工艺。
图13A至图13B示出了根据一些实施例的接触件的形成。
图14至图15示出了根据一些实施例的使用头盔材料的实施例。
图16示出了根据一些实施例的没有头盔材料的实施例。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
现在将参照其中在三纳米工艺节点内利用自对准接触材料的特定实施例来描述实施例。然而,本文呈现的思想可以用于多个实施例中,并且不旨在限制本文描述的实施例。
现在参照图1,图1示出了诸如finFET器件的半导体器件100的截面图。在实施例中,半导体器件100包括可以是硅衬底的衬底101,但是也可以使用诸如绝缘体上半导体(SOI)、应变SOI和绝缘体上硅锗的其他衬底。衬底101可以是p型半导体,但是在其他实施例中,衬底101可以是n型半导体。
第一沟槽可以形成为最终形成的第一隔离区域的初始步骤(未在图1的视图中单独示出)。可以使用掩模层以及合适的蚀刻工艺来形成第一沟槽。一旦已经形成并且图案化掩模层,则在衬底101中形成第一沟槽。可以通过诸如反应离子蚀刻(RIE)的合适的工艺去除暴露的衬底101,以在衬底101中形成第一沟槽,但是可以使用任何合适的工艺。在实施例中,第一沟槽可以形成为具有距离衬底101的表面小于约
Figure BDA0001671874110000041
(诸如约
Figure BDA0001671874110000042
)的第一深度。
除了形成第一沟槽之外,掩蔽和蚀刻工艺还额外地从衬底101的未被去除的那些部分形成鳍103。如下所述,可以使用这些鳍103来形成多栅极FinFET晶体管的沟道区域。虽然图1仅示出了由衬底101形成的一个鳍103,但是可以利用任何数量的鳍103。
一旦已经形成第一沟槽和鳍103,则可以用介电材料填充第一沟槽,并且可以使第一沟槽内的介电材料凹进以形成第一隔离区域。介电材料可以是氧化物材料、高密度等离子体(HDP)氧化物等。在第一沟槽的可选清洗和内衬之后,可以使用化学汽相沉积(CVD)方法(例如,HARP工艺)、高密度等离子体CVD方法或本领域已知的其他合适的形成方法来形成介电材料。
可以通过用介电材料过填充第一沟槽和衬底101并且之后通过诸如化学机械抛光(CMP)、蚀刻、这些的组合等的合适的工艺去除第一沟槽和鳍103外部的过量材料来填充第一沟槽。在实施例中,去除工艺也去除位于鳍103上方的任何介电材料,使得介电材料的去除将暴露鳍103的表面以用于进一步工艺步骤。
一旦已经用介电材料填充第一沟槽,则之后可以使介电材料从鳍103的表面凹进。可以实施凹进以暴露半导体鳍103的邻近鳍103顶面的至少部分侧壁。可以使用通过将鳍103的顶面浸入诸如HF的蚀刻剂的湿蚀刻来使介电材料凹进,但是可以使用诸如H2的其他的蚀刻剂和诸如反应离子蚀刻、利用诸如NH3/NF3的蚀刻剂的干蚀刻、化学氧化去除或干化学清洗的其他方法。可以使介电材料从鳍103的表面凹进介于约
Figure BDA0001671874110000051
和约
Figure BDA0001671874110000052
之间(诸如约
Figure BDA0001671874110000053
)的距离。此外,凹进也可以去除鳍103上方的任何剩余的介电材料,以确保暴露鳍103以用于进一步处理。
在已经形成第一隔离区域之后,可以在每个鳍103上方形成伪栅极电介质、位于伪栅极电介质上方的伪栅电极117(未在图1中示出,但剩余的一个在以下参照图3B的单独的截面中示出)(由于进一步处理,这两个都未在图1中示出)以及第一间隔件105。在实施例中,可以通过热氧化、化学汽相沉积、溅射或者本领域已知和使用的用于形成栅极电介质的任何其他方法来形成伪栅极电介质。根据栅极电介质形成的技术,伪栅极电介质的位于鳍103的顶部上的厚度可以与栅极电介质的位于鳍103侧壁上的厚度不同。
伪栅极电介质可以包括诸如二氧化硅或氮氧化硅的材料并具有在从约3埃至约100埃(诸如约10埃)的范围的厚度。伪栅极电介质可以由高介电常数(高k)材料(例如,其相对介电常数大于约5)形成,高介电常数(高k)材料诸如氧化镧(La2O3)、氧化铝(Al2O3)、氧化铪(HfO2)、氮氧化铪(HfON)或氧化锆(ZrO2)或它们的组合,其等效氧化物厚度为约0.5埃至约100埃,诸如约10埃或更小。此外,二氧化硅、氮氧化硅和/或高k材料的任何组合也可以用于伪栅极电介质。
伪栅电极117可以包括导电材料并且可以选自多晶硅、W、Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、这些的组合等组成的组。伪栅电极117可以通过化学汽相沉积(CVD)、溅射沉积或者本领域已知和使用的用于沉积导电材料的其他技术来沉积。伪栅电极117的厚度可以在从约
Figure BDA0001671874110000054
至约
Figure BDA0001671874110000055
的范围内。伪栅电极117的顶面可以具有非平坦顶面,并且可以在伪栅电极117的图案化或栅极蚀刻之前平坦化。此时,可以向伪栅电极117中引入或者不引入离子。例如,可以通过离子注入技术引入离子。
一旦形成,则可以图案化伪栅极电介质和伪栅电极117以在鳍103上方形成一系列堆叠件。该堆叠件限定位于伪栅极电介质下面的鳍103的每侧上的多个沟道区域。可以通过使用例如本领域已知的沉积和光刻技术在伪栅电极117上沉积和图案化栅极掩模(未在图1中单独示出)来形成堆叠件。栅极掩模可以结合常用的掩模和牺牲材料,诸如(但不限于)氧化硅、氮氧化硅、SiCON、SiC、SiOC和/或氮化硅,并且可以沉积至介于约
Figure BDA0001671874110000061
Figure BDA0001671874110000062
之间(诸如约
Figure BDA0001671874110000063
)的厚度。可以使用干蚀刻工艺蚀刻伪栅电极117和伪栅极电介质以形成图案化的堆叠件。
一旦已经图案化堆叠件,则可以形成第一间隔件105。第一间隔件105可以形成在堆叠件的相对两侧上。通常通过在先前形成的结构上毯式沉积间隔件层(未在图1中单独示出)来形成第一间隔件105。间隔件层可以包括具有不同组分百分比以及不同固化温度和孔隙率等的SiN、氮氧化物、SiC、SiON、SiOCN、SiOC、氧化物、SiOCN(KN1)、SiOCN(RP1)、SiOCN(RP2)、SiOC(HA2)、SiOC(HA3)。
可以使用诸如等离子体增强原子层沉积(PEALD)、热原子层沉积(热ALD)、等离子体增强化学汽相沉积(PECVD)的沉积工艺来沉积第一间隔件105,以及随后的固化工艺来形成。此外,在沉积工艺期间,工艺温度可以保持介于约250℃和约400℃之间。然而,可以利用任何合适的沉积和工艺条件。之后,诸如通过一次或多次蚀刻以从该结构的水平表面去除间隔件层来图案化第一间隔件105,以形成第一间隔件105。
此外,虽然以上将第一间隔件105描述为由具有恒定组分的单一材料制成,但这旨在说明并且不旨在限制实施例。而且,可以利用第一间隔件105的材料的任何合适的组分或第一间隔件105的材料内的变化(例如,硅、碳、氧和氮百分比的变化)。类似地,也可以利用固化温度的任何合适的变化。
一旦已经形成第一间隔件105,则可以邻近第一间隔件105形成第二间隔件107。在实施例中,第二间隔件107可以形成在第一间隔件105的相对侧上。通常通过在先前形成的结构上毯式沉积第二间隔件层来形成第二间隔件107。第二间隔件107可以包括与第一间隔件105类似但不同的材料,诸如具有不同组分百分比以及不同固化温度和孔隙率的SiN、氮氧化物、SiC、SiON、SiOCN、SiOC、氧化物、KN1、RP1、RP2、HA2、HA3,并且可以以与第一间隔件105类似的方式形成。之后,可以诸如通过一次或多次蚀刻图案化第二间隔件107以从结构的水平表面去除第二间隔件层,以形成第二间隔件107。
一旦已经形成第二间隔件107,则去除鳍103的未由伪栅极电介质、伪栅电极117、第一间隔件105和第二间隔件107保护的部分,并且再生长源极/漏极区域109。可以通过使用堆叠件和第一间隔件105作为硬掩模的反应离子蚀刻(RIE)或者其他任何合适的去除工艺实施从未被伪栅极电介质、伪栅电极117、第一间隔件105和第二间隔件107保护的那些区去除鳍103。
一旦已经去除鳍103的这些部分,则放置并且图案化硬掩模(未单独示出)以覆盖伪栅电极117以防止生长,并且源极/漏极区域109可以再生长为与每个鳍103接触。在实施例中,可以再生长源极/漏极区域109,并且在一些实施例中,可以再生长源极/漏极区域109以形成向位于堆叠件下面的鳍103的沟道区域施加应力的应力源。在鳍103包括硅并且FinFET是p型器件的实施例中,可以通过选择性外延工艺利用与沟道区域具有不同的晶格常数的诸如硅的材料或者诸如硅锗的材料来再生长源极/漏极区域109。外延生长工艺可以使用诸如硅烷、二氯硅烷、锗烷等的前体,并且可以持续介于约5分钟至约120分钟之间,诸如约30分钟。
一旦形成源极/漏极区域109,则通过注入适当的掺杂剂将掺杂剂注入至源极/漏极区域109来补充鳍103中的掺杂剂。例如,可以注入诸如硼、镓、铟等的p型掺杂剂以形成PMOS器件。可选地,可以注入诸如磷、砷、锑等的n型掺杂剂以形成NMOS器件。可以使用堆叠件和第一间隔件105作为掩模来注入这些掺杂剂。应该注意,本领域普通技术人员将意识到,可以使用许多其他工艺、步骤等来注入掺杂剂。例如,本领域普通技术人员将意识到,可以使用间隔件和衬垫的各种组合来实施多次注入,以形成具有适合于特定目的的特定形状或特征的源极/漏极区域。任何这些工艺都可以用于注入掺杂剂,并且以上描述并不意味着将本实施例限制于以上给出的步骤。
此外,此时,在源极/漏极区域109的形成期间,去除覆盖伪栅电极117的硬掩模。在实施例中,可以使用例如对硬掩模的材料具有选择性的湿或干蚀刻工艺来去除硬掩模。然而,可以利用任何合适的去除工艺。
一旦已经形成源极/漏极区域109,则在堆叠件和源极/漏极区域109上方形成第一层间介电(ILD)层111。第一ILD层111可以包括诸如硼磷硅酸盐玻璃(BPSG)的材料,但是可以使用任何合适的电介质。可以使用诸如PECVD的工艺来形成第一ILD层111,但是可以可选地使用诸如LPCVD的其他工艺。第一ILD层111可以形成为介于约
Figure BDA0001671874110000081
和约
Figure BDA0001671874110000082
之间的厚度。一旦形成,则可以使用例如掩蔽和蚀刻工艺来图案化第一ILD层111,以暴露伪栅电极117的材料。
一旦形成第一ILD 111,则实施伪栅电极117和伪栅极电介质的材料的去除和替换。在实施例中,可以使用例如利用对伪栅电极117和伪栅极电介质的材料具有选择性的蚀刻剂的湿或干蚀刻工艺来去除伪栅电极117和伪栅极电介质,但是在一些实施例中,也去除第一间隔件105和第二间隔件107的部分,从而使得第一间隔件105和第二间隔件107低于第一ILD111的表面。然而,可以利用任何合适的去除工艺。
在形成第一ILD层111之后,沉积用于栅极堆叠件(在图1中共同标记为栅极堆叠件113)的多个层来代替它们,包括第一介电材料、第一导电层、第一金属材料、功函层和第一阻挡层。在实施例中,第一介电材料是通过诸如原子层沉积、化学汽相沉积等沉积的诸如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta2O5、这些的组合等的高k材料。第一介电材料可以沉积为具有介于约
Figure BDA0001671874110000083
和约
Figure BDA0001671874110000084
之间的厚度,但是可以利用任何合适的材料和厚度。
可选地,可以在第一介电材料的形成之前形成界面层。在实施例中,界面层可以是通过诸如原位蒸汽生成(ISSG)的工艺形成的诸如二氧化硅的材料。然而,可以利用任何合适的材料或形成工艺。
第一导电层可以是诸如氮化钛硅(TSN)的金属硅化物材料。在实施例中,可以使用诸如化学汽相沉积的沉积工艺来形成第一导电层,但是可以利用诸如沉积和随后的硅化的任何合适的沉积方法以沉积至介于约
Figure BDA0001671874110000085
和约
Figure BDA0001671874110000086
之间的厚度。然而,可以利用任何合适的厚度。
可以邻近第一介电材料形成第一金属材料作为阻挡层,并且第一金属材料可以由诸如TaN、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ru、Mo、WN、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、这些的组合等的金属材料形成。可以使用诸如原子层沉积、化学汽相沉积、溅射等的沉积工艺将第一金属材料沉积至介于约
Figure BDA0001671874110000091
和约
Figure BDA0001671874110000092
之间的厚度,但是可以使用任何合适的沉积工艺或厚度,
功函层形成在第一金属材料上方,并且用于功函层的材料可以基于所需的器件类型选择。可以包括的示例性p型功函金属包括Al、TiAlC、TiN、TaN、Ru、Mo、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合适的p型功函材料或它们的组合。可以包括的示例性n型功函金属包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合适的n型功函材料或它们的组合。功函值与功函层的材料组分相关,并且因此选择功函层的材料来调整其功函值,从而在将要形成在相应的区域中的器件中实现期望的阈值电压Vt。功函层可以通过CVD、PVD和/或其他合适的工艺沉积至介于约
Figure BDA0001671874110000093
和约
Figure BDA0001671874110000094
之间的厚度。
第一阻挡层可以邻近功函层形成,并且在具体实施例中,可以与第一金属材料类似。例如,第一阻挡层可以由诸如TiN、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TaN、Ru、Mo、WN、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氮化物、过渡金属硅酸盐、金属氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、这些的组合等的金属材料形成。此外,可以使用诸如原子层沉积、化学汽相沉积、溅射等的沉积工艺将第一阻挡层沉积至介于约
Figure BDA0001671874110000095
和约
Figure BDA0001671874110000096
之间的厚度,但是可以使用任何合适的沉积工艺或厚度。
金属层可以是既适合于用作晶种层以帮助随后的填充工艺的材料,又可以是可以用于帮助阻挡或减少将氟原子输送至功函层的材料。在具体实施例中,金属层可以是使用例如原子层沉积工艺在不存在氟原子的条件下形成的晶体钨(W),但是可以利用任何合适的沉积工艺。金属层可以形成为介于约
Figure BDA0001671874110000097
和约
Figure BDA0001671874110000098
之间(诸如介于约
Figure BDA0001671874110000099
和约
Figure BDA00016718741100000910
之间)的厚度。
一旦已经形成金属层,则沉积填充材料以填充开口的其余部分。在实施例中,填充材料可以是诸如Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、这些的组合等的厚度介于约
Figure BDA0001671874110000101
和约
Figure BDA0001671874110000102
之间(诸如约
Figure BDA0001671874110000103
)的材料。但是,可以利用任何合适的材料。
图1另外示出了在已经沉积填充材料以填充和过填充开口之后,可以平坦化第一介电材料、第一导电层、第一金属材料、功函层、第一阻挡层、金属层和填充材料的材料以形成栅极堆叠件113。在实施例中,可以利用第一ILD 111使用例如化学机械抛光工艺来平坦化材料,但是可以利用诸如研磨或蚀刻的任何合适的工艺。此外,在平坦化之后,栅极堆叠件113可以具有介于约10nm和约13nm之间(诸如,约11nm)之间的底部宽度WB,但是可以利用任何合适的尺寸。
在已经形成并且平坦化栅极堆叠件113的材料之后,可以可选地使栅极堆叠件113的材料凹进并且用覆盖层115覆盖。在实施例中,可以使用例如利用对栅极堆叠件113的材料具有选择性的蚀刻剂的湿或干蚀刻工艺使栅极堆叠件113的材料凹进。在实施例中,可以使栅极堆叠件113的材料凹进介于约5nm和约150nm之间(诸如约120nm)的距离。然而,可以利用任何合适的工艺和距离。
一旦已经使栅极堆叠件113的材料凹进,则沉积覆盖层115以用作用于随后工艺(以下进一步描述)的蚀刻停止层。在实施例中,覆盖层115是使用例如原子层沉积工艺形成的诸如钨(W)或钌的材料,该材料将选择性地生长在栅极堆叠件113的材料上,而没有形成在其他暴露表面上。覆盖层115可以形成为具有介于约4nm和约8nm之间(诸如约6nm)的厚度。然而,可以利用任何合适的材料、形成工艺和厚度。
图2示出了覆盖层115上方的第一牺牲材料201的沉积。在实施例中,第一牺牲材料201是可以用作对第二牺牲材料801(未在图2中示出,但是以下参照图8示出和描述)、栅极堆叠件113、覆盖层115、第一接触材料601、第一间隔件105(例如,诸如氧化硅的氧化物)和第二间隔件107(例如,诸如氮化硅的氮化物)的材料具有高蚀刻选择性的硬掩模材料,而不需要另外的蚀刻停止层并且具有少量的残留材料。例如,第一牺牲材料201可以对第一间隔件105或者第二间隔件107具有介于约9和约35之间(诸如大于约9,诸如大于约12、大于约27)或大于约35的蚀刻选择性。此外,第一牺牲材料201也可以对第二牺牲材料80具有介于约12和约40之间(诸如大于约12,诸如大于约20)或大于约40的蚀刻选择性。
此外,在一些实施例中,第一牺牲材料201也可以是具有良好化学机械抛光特性的介电材料,这有助于增加先进技术节点中的集成灵活性。在具体实施例中,第一牺牲材料201可以是诸如氧化锆(ZrO)的材料,但是也可以利用诸如氧化硅(SiO2)、氮化硅(SiN)、氧化铝(AlO)、碳氧化硅(SiOC)、硅碳(SiC)、氮化锆(ZrN)、这些的组合等的其他合适的材料。可以使用诸如等离子体增强原子层沉积(PEALD)、热原子层沉积(热ALD)、等离子体增强化学汽相沉积(PECVD)的沉积工艺来沉积第一牺牲材料201,其中,工艺温度可以保持介于约250℃和约400℃之间。然而,可以利用任何合适的沉积工艺和工艺条件。
通过使用这种材料,可以保护栅极的高度,同时仍有助于减少或防止在随后的蚀刻工艺期间发生的蚀刻损失或损坏(以下进一步讨论)。此外,通过利用这些材料,可以实现对小CD和高高宽比氧化物蚀刻的高氧化物蚀刻选择性。而且,在利用第二牺牲材料801的实施例中,这些材料在随后的第二牺牲材料801的蚀刻期间提供高蚀刻选择性,同时仍提供宽蚀刻工艺窗口。
一旦已经沉积第一牺牲材料201,则可以平坦化第一牺牲材料201以去除过量的材料。在实施例中,可以使用例如化学机械抛光工艺来平坦化第一牺牲材料201,从而将蚀刻剂和研磨剂与旋转台板一起使用以反应并且去除第一牺牲材料201的过量的材料。然而,可以利用任何合适的平坦化工艺来平坦化第一牺牲材料201和第一ILD层111。
通过平坦化第一ILD层111和第一牺牲材料201,第一牺牲材料201形成为具有两个部分:位于第一间隔件105内的第一部分和位于第一ILD层111内第一间隔件105外部的第二部分。此外,虽然图2中的第一部分和第二部分示出为彼此由虚线分开,但这是为了清楚起见,因为在第一牺牲材料201的第一部分和第二部分之间可能存在或可能不存在可辨别的界面。在实施例中,第一部分具有介于约12nm和约15nm之间(诸如约12nm)的第一宽度W1,并且具有介于约20nm和约30nm之间(诸如约22nm)的第一厚度T1。此外,第二部分具有介于约20nm和约28nm之间(诸如约22nm)的第二宽度W2以及介于约14nm和约26nm之间(诸如约16nm)的第二厚度T2。然而,可以利用任何合适的尺寸。
图2也示出了栅极堆叠件113和源极/漏极区域109上方的第二ILD层203的形成。第二ILD层203可以包括诸如硼磷硅酸盐玻璃(BPSG)的材料,但是可以使用任何合适的电介质。可以使用诸如PECVD的工艺来形成第二ILD层203,但是可以可选地使用诸如LPCVD的其他工艺。第二ILD层203可以形成为具有介于约
Figure BDA0001671874110000121
和约
Figure BDA0001671874110000122
之间的厚度。一旦形成,则可以使用例如诸如化学机械抛光工艺的平坦化工艺来平坦化第二ILD层203,但是可以利用任何合适的工艺。
图3A示出了用于接触端切割(CMD)的层的形成。在具体实施例中,在第二ILD层203上方形成第一CMD层301、第二CMD层303和第三CMD层305。根据一些实施例,例如,第一CMD层301是可以由氮化钛形成的金属硬掩模层。第一CMD层301可以具有介于约
Figure BDA0001671874110000123
和约
Figure BDA0001671874110000124
之间的范围内的厚度。第二CMD层303可以是在低温(例如低于约100℃)下沉积的低温(LT)氧化物层。例如,第二CMD层303可以包括氧化硅。第二CMD层303可以具有介于约
Figure BDA0001671874110000125
和约
Figure BDA0001671874110000126
之间的范围内的厚度。第三CMD层305沉积在第二CMD层303上方,并且可以具有介于约
Figure BDA0001671874110000127
Figure BDA0001671874110000128
约之间的厚度。第三CMD层305可以是非晶硅层。然而,第三CMD层305可以由其他材料形成。可以使用化学汽相沉积(CVD)、原子层沉积(ALD)等形成第一CMD层301、第二CMD层303和第三CMD层305的每个。
一旦已经形成第一CMD层301、第二CMD层303和第三CMD层305,则图案化第一CMD层301、第二CMD层303和第三CMD层305。在实施例中,使用例如光刻掩模和蚀刻工艺来图案化第一CMD层301、第二CMD层303和第三CMD层305,从而施加单层或三层光刻胶并且将光刻胶暴露于图案化的能量源(例如,光)以引发化学反应。曝光之后,施加显影剂以去除曝光或未曝光部分以形成图案化的光刻胶。之后,可以使用例如诸如反应离子蚀刻工艺的一次或多次蚀刻工艺将光刻胶的图案转印至下面的第三CMD层305。然而,可以利用任何合适的蚀刻工艺。
图3B示出了图3A中示出的视图的单独截面图,其中,第三CMD层305定位成保护两个栅极堆叠件113之间的一个区域内的第一ILD层111。这种保护旨在当去除第一ILD层111的材料并且在其他区域中被替换时将第一ILD层111的材料保持在该区域内,如以下参照图4至图8进一步描述的。图3B另外示出了未完全去除的并且可以保留在随后的工艺步骤中的一些伪栅电极117(如以上参照图1描述的)。
图4示出了在第三CMD层305的图案化之后的第一CMD层301和第二CMD层303的图案化,其中,为了清楚起见从该视图去除第三CMD层305。在实施例中,使用例如第二光刻掩蔽和蚀刻工艺来图案化第一CMD层301和第二CMD层303。例如,施加、曝光和显影单层或三层光刻胶,并且之后可以使用一次或多次蚀刻工艺将光刻胶的图案转印至下面的第一CMD层301和第二CMD层303。然而,可以利用任何合适的工艺来图案化第一CMD层301和第二CMD层303。
图5示出了第一CMD层301和第二CMD层303的图案至第二ILD层203和第一ILD层111的转印。在实施例中,可以使用第一蚀刻工艺(在图5中由标记为501的箭头表示)来转印第一CMD层301和第二CMD层303的图案。第一蚀刻工艺501可以是例如使用第一CMD层301和第二CMD层303作为掩模的一次或多次反应离子蚀刻。然而,可以利用任何合适的转印图案的方法。
然而,在包含第一牺牲材料201的情况下,第一牺牲材料201将用作自对准接触材料并且当第一蚀刻工艺501通过第二ILD材料203暴露第一牺牲材料201时也用作掩模材料。此外,当第一蚀刻工艺501去除第一ILD层111并且暴露源极/漏极区域109时,可以通过第一蚀刻工艺501蚀刻第一牺牲材料201的部分。因此,一个或多个第一牺牲材料201可以具有来自第一蚀刻工艺501的刻痕,但仍保护下面的结构免受第一蚀刻工艺501的损坏,同时暴露源极/漏极区域109。刻痕可以具有介于约4nm和约10nm之间(诸如约6nm)的刻痕距离DI,但是可以利用任何合适的距离。
一旦已经暴露源极/漏极区域109,则可以在源极/漏极区域109上形成可选的硅化物接触件(未单独示出)。硅化物接触件可以包括钛、镍、钴或铒以减少接触件的肖特基势垒高度。然而,也可以使用诸如铂、钯等的其他金属。可以通过适当的金属层的毯式沉积以及随后的退火步骤来实施硅化,该退火步骤使金属与下面暴露的硅反应。之后,诸如利用选择性蚀刻工艺去除未反应的金属。硅化物接触件的厚度可以介于约5nm和约50nm之间。
图6示出了与硅化物接触件(当存在时)或源极/漏极区域109物理连接的第一接触材料601的形成。在实施例中,第一接触材料601可以是诸如钴、W、Al、Cu、AlCu、W、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Ni、Ti、TiAlN、Ru、Mo或WN的导电材料,但是可以使用诸如铝、铜、这些的合金等的任何合适的材料,并且可以使用诸如溅射、化学汽相沉积、电镀、化学镀等的沉积工艺来沉积以填充和/或过填充第二ILD 203内的开口。
图7示出了一旦已经沉积第一接触材料601,则可以平坦化第一接触材料601以去除过量的材料。在实施例中,可以使用例如化学机械抛光工艺来平坦化第一接触材料601,从而将蚀刻剂和研磨剂与旋转台板一起使用以反应并且去除第一接触材料601和第一牺牲材料201的过量的材料。然而,可以利用任何合适的平坦化工艺来平坦化第一接触材料601和第一牺牲材料201。
图8示出了一旦已经利用第一牺牲材料201平坦化第一接触材料601,则使第一接触材料601凹进至第一牺牲材料201的顶面之下的水平。在实施例中,使用对第一接触材料601的材料(例如,钴)具有选择性而不显著去除第一牺牲材料201的材料的一种或多种蚀刻剂的湿或干蚀刻工艺使第一接触材料601凹进。可以使第一牺牲材料201凹进介于约18nm和约25nm之间的第一距离D1。然而,可以利用任何合适的距离。
图8也示出了一旦已经使第一接触材料601凹进,则沉积第二牺牲材料801。在实施例中,第二牺牲材料801可以是对第一牺牲材料201、第一间隔件105和第二间隔件107的材料具有高蚀刻选择性的材料。例如,第二牺牲材料801可以对第一牺牲材料201、第一间隔件105或第二间隔件107的材料具有大于约12(诸如介于约12和约40之间)的蚀刻选择性。
在实施例中,第二牺牲材料801可以是诸如氮化硅、氧化硅(SiO2)、氮化硅(SiN)、碳化硅(SiC)、氧化铝(AlO)、氮化铝(AlN)、氧化锆(ZrO)、氮化锆(ZrN)、这些的组合等的电介质。然而,可以利用任何合适的材料。通过利用这种材料,在蚀刻第二牺牲材料801以形成源极/漏极接触件开口1003(以下进一步描述)期间,可以减少或消除对下面的第一接触材料601的损坏。可以使用诸如等离子体增强原子层沉积(PEALD)、热原子层沉积(热ALD)、等离子体增强化学汽相沉积(PECVD)的沉积工艺来沉积第二牺牲材料801,其中,工艺温度可以保持介于约250℃和约400℃之间。然而,可以利用任何合适的沉积和工艺条件。
第二牺牲材料801可以形成为填充和过填充由第一接触材料601的凹进留下的开口。一旦已经沉积第二牺牲材料801,则可以使用例如平坦化工艺(诸如化学机械抛光工艺)来平坦化第二牺牲材料801和第一牺牲材料201,但是可以利用任何合适的工艺。因此,牺牲材料801可以形成为具有介于约12nm和约15nm之间(诸如约14nm)的第三宽度W3
此外,通过控制第一接触材料601的凹进,可以控制牺牲材料的组合(例如,第一牺牲材料201和第二牺牲材料801)的K值。具体地,通过控制第二牺牲材料801和第一牺牲材料201的底面之间的高度间隙Hg,可以控制K值,其中,越宽的高度间隙Hg产生越低的总K值,这会影响寄生电容。在具体实施例中,高度间隙Hg可以介于约0nm和约15nm之间,诸如约6nm。然而,可以利用任何合适的高度间隙Hg,包括没有高度间隙。
在已经沉积并且平坦化第二牺牲材料801之后,在第二牺牲材料801上方沉积第一蚀刻停止层803。在实施例中,第一蚀刻停止层803可以是诸如氮化硅或碳氧化硅、这些的组合等的材料,并且可以使用诸如化学汽相沉积、物理汽相沉积或原子层沉积的低温沉积工艺沉积。
图9示出了一旦已经形成第一蚀刻停止层803,则可以穿过第一蚀刻停止层803和第二牺牲材料801形成金属栅极接触件开口901以暴露覆盖层115(当存在时)或栅极堆叠件113(当覆盖层115不存在时)。在实施例中,可以通过首先在第二牺牲材料801上方放置第一光刻胶903来形成金属栅极接触件开口901。第一光刻胶903可以是具有底部抗反射涂(BARC)层905、中间掩模层907和第一顶部感光层909的三层光刻胶。施加BARC层905以为施加第一顶部感光层909作准备。BARC层905,顾名思义,用于在第一顶部感光层909的曝光期间防止能量(例如,光)不受控制地和不期望地反射回至上面的第一顶部感光层909内,从而防止反射光在第一顶部感光层909的不期望的区域中引起反应。此外,BARC层905可用于提供平坦表面,有助于减少以一定角度撞击的能量的负面影响。
中间掩模层907可以放置在BARC层905上方。在实施例中,中间掩模层907是诸如氮化硅、氧化物、氮氧化物、碳化硅、这些的组合等的硬掩模材料。可以通过诸如化学汽相沉积(CVD)的工艺形成用于中间掩模层907的硬掩模材料,但是可以可选地利用诸如等离子体增强化学汽相沉积(PECVD)、低压化学汽相沉积(LPCVD)、旋涂或甚至氧化硅形成以及随后氮化的其他工艺。可以利用形成或以其他方式放置硬掩模材料的任何合适的方法或方法的组合,并且所有这种方法或组合均完全旨在包括在实施例的范围内。中间掩模层907可以形成为介于约
Figure BDA0001671874110000161
和约
Figure BDA0001671874110000162
之间(诸如约
Figure BDA0001671874110000163
)的厚度。
在实施例中,第一顶部感光层909使用例如旋涂工艺施加在中间掩模层907上方,并且第一顶部感光层909包括光刻胶溶液中的光刻胶聚合物树脂以及一种或多种光活性化合物(PAC)。PAC将吸收图案化的光源,并且在第一顶部感光层909的暴露的那些部分中产生反应物,从而引起随后与可以显影的光刻胶聚合物树脂的反应,以复制第一顶部感光层909内的图案化的能量源。
一旦已经施加BARC层905、中间掩模层907和第一顶部感光层909的每个,则将第一顶部感光层909暴露于图案化的能量源(例如光),并且显影以在第一顶部感光层909内创建金属栅极接触件开口901。一旦已经在第一顶部感光层909内形成金属栅极接触件开口901,则之后可以使用第一顶部感光层909作为掩模使用一次或多次蚀刻工艺将图案延伸至中间掩模层907和BARC层905内。类似地,一旦已经图案化中间掩模层907和BARC层905,则可以使用中间掩模层907和BARC层905作为掩模,以使金属栅极接触件开口901延伸穿过第一蚀刻停止层803并且穿过第一牺牲材料201以暴露覆盖层115。
例如,在第一牺牲材料201是氧化锆的具体实施例中,形成金属栅极接触件开口901的蚀刻工艺可以利用第一蚀刻剂(诸如BCl3)与第二蚀刻剂(诸如Cl2)结合,但是可以利用任何合适的蚀刻剂。在具体实施例中,第一蚀刻剂可以具有介于约200sccm和约800sccm之间(诸如约300sccm)的流量,并且可以以介于约0sccm和约100sccm之间(诸如约40sccm)的流量引入第二蚀刻剂。此外,可以在介于约20℃和约80℃之间(诸如约50℃)的温度以及介于约10mTorr和约80mTorr之间(诸如约30mTorr)的压力下实施第二蚀刻工艺1201。然而,可以利用任何合适的工艺条件。
然而,由于第一牺牲材料201相对于第一间隔件105的高蚀刻选择性,穿过第一牺牲材料201的延伸可以在对第一间隔件105没有显着损坏(如果有的话)的情况下实施。此外,通过包含覆盖层115,可以停止金属栅接触件开口901穿过第一牺牲材料201的延伸而不损坏下面的栅极堆叠件113的材料。
图10示出了第一顶部感光层909的去除和第二顶部感光层1001的放置以形成源极/漏极接触件开口1003。在实施例中,可以使用例如灰化工艺去除第一顶部感光层909,从而增加第一顶部感光层909的温度直至第一顶部感光层909经历热分解,之后可以容易地去除第一顶部感光层909。然而,可以利用诸如湿剥离的任何合适的工艺。
一旦已经去除第一顶部感光层909,则可以在中间掩模层907上方放置第二顶部感光层1001。在实施例中,第二顶部感光层1001可以与第一顶部感光层909类似,诸如包括光刻胶聚合物树脂以及一种或多种光活性化合物(PAC)。此外,可以以类似的方式放置第二顶部感光层1001,诸如通过使用旋涂工艺施加第二顶部感光层1001。然而,通过使用诸如旋涂的方法,第二顶部感光层1001将额外填充在金属栅极接触件开口901中并且在随后的工艺期间保护金属栅极接触件开口901。
一旦位于适当的位置,则可以图案化第二顶部感光层1001。在实施例中,通过利用图案化的能量源(例如,光)曝光第二顶部感光层1001,将第二顶部感光层1001图案化成用于形成源极/漏极接触件开口1003的掩模。一旦曝光,则可以显影第二顶部感光层1001,以将源极/漏极接触件开口1003图案化至第二顶部感光层1001内。一旦已经在第二顶部感光层1001内形成源极/漏极接触件开口1003,则之后可以使用第二顶部感光层1001作为掩模,以使用一个或多个蚀刻工艺将图案延伸至中间掩模层907和BARC层905内。类似地,一旦已经图案化中间掩模层907和BARC层905,则可以利用中间掩模层907和BARC层905作为掩模,以使源极/漏极接触件开口1003延伸穿过第一蚀刻停止层803。
此外,一旦源极/漏极接触件开口1003已经延伸穿过第一蚀刻停止层803,则之后源极/漏极接触件开口1003延伸至第二牺牲材料801内。然而,由于第二牺牲材料801的选择性,此时,源极/漏极接触件开口1003部分地延伸穿过第二牺牲材料801并且不会一直延伸穿过第二牺牲材料801。
图11示出了第二顶部感光层1001的去除和第三顶部感光层1101的放置以形成轨道开口1103。在实施例中,可以使用例如灰化工艺去除第二顶部感光层1001,从而增加第二顶部感光层1001的温度直至第二顶部感光层1001经历热分解,之后可以容易地去除第二顶部感光层1001。然而,可以利用诸如湿剥离的任何合适的工艺。
一旦已经去除第二顶部感光层1001,则可以在中间掩模层907上方放置第三顶部感光层1101。在实施例中,第三顶部感光层1101可以与第一顶部感光层909类似,诸如包括光刻胶聚合物树脂以及一种或多种光活性化合物(PAC)。此外,可以以类似的方式放置第三顶部感光层1101,诸如通过使用旋涂工艺施加第三顶部感光层1101。然而,通过使用诸如旋涂的方法,第三顶部感光层1101将额外填充金属栅极接触件开口901和/或源极/漏极接触件开口1003并且在随后的工艺期间保护金属栅极接触件开口901和源极/漏极接触件开口1003。
一旦位于适当的位置,则可以图案化第三顶部感光层1101。在实施例中,通过利用图案化的能量源(例如,光)曝光第三顶部感光层1101,将第三顶部感光层1101图案化成用于形成轨道开口1103的掩模。一旦曝光,则可以显影第三顶部感光层1101,以将轨道开口1103图案化至第三顶部感光层1101内。一旦已经在第三顶部感光层1101内形成轨道开口1103,则之后可以使用第三顶部感光层1101作为掩模,以使用一个或多个蚀刻工艺将图案延伸至中间掩模层907和BARC层905内。类似地,一旦已经图案化中间掩模层907和BARC层905,则可以利用中间掩模层907和BARC层905作为掩模,以使轨道开口1103延伸穿过第一蚀刻停止层803和第一牺牲材料201以暴露覆盖层115。
此外,一旦轨道开口1103已经延伸穿过第一蚀刻停止层803,则之后轨道开口1103延伸至第二牺牲材料801内。然而,由于第二牺牲材料801的选择性,此时,轨道开口1103部分地延伸穿过第二牺牲材料801并且不会一直延伸穿过第二牺牲材料801。
图12示出了一旦已经形成金属栅极接触件开口901、源极/漏极接触件开口1003和轨道开口1103,则去除第三顶部感光层1101。在实施例中,可以使用例如灰化工艺去除第三顶部感光层1101,从而增加第三顶部感光层1101的温度直至第三顶部感光层1101经历热分解,之后可以容易地去除第三顶部感光层1101。然而,可以利用诸如湿剥离的任何合适的工艺。
一旦已经去除第三顶部感光层1101,则可以利用第二蚀刻工艺(在图12中由标记为1201的箭头表示)来使源极/漏极接触件开口1003和轨道开口1103延伸穿过第二牺牲材料801。在实施例中,第二蚀刻工艺1201可以是例如使用对第二牺牲材料801的材料(例如,SiN)具有选择性的蚀刻剂的干蚀刻(诸如反应离子蚀刻)。然而,可以利用任何合适的工艺。
在已经完全延伸源极/漏极接触件开口1003和轨道开口1103之后,可以实施预清洁。在实施例中,预清洁工艺可以是等离子体或非等离子体工艺,其用于清洁并且去除在已经去除第三顶部感光层1101之后留下的任何剩余的残留物。
图13A示出了金属栅极接触件开口901、源极/漏极接触件开口1003以及轨道开口1103的填充。在实施例中,可以用诸如钴、Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Ni、这些的组合等的导电材料1301填充金属栅极接触件开口901、源极/漏极接触件开口1003和轨道开口1103,以形成通孔和轨道。可以使用沉积或镀工艺来沉积导电材料1301。然而,可以利用任何合适的工艺或材料。
图13A另外示出了在已经沉积导电材料1301以填充和过填充开口之后,可以平坦化导电材料1301和第一蚀刻停止层803。在实施例中,可以利用第一ILD 111使用例如化学机械抛光工艺平坦化材料,但是可以利用诸如研磨或蚀刻的任何合适的工艺。平坦化形成栅极接触件1303、源极/漏极接触件1305和轨道接触件1307。
图13B示出了图3B(如上所述)的截面视图。可以看出,在图13B中,导电材料1301的部分在剩余的伪栅电极117和第一ILD层111的剩余部分上方延伸。然而,可以利用任何合适的设计。
通过增强如上所述的各种材料之间的蚀刻选择性,第一牺牲材料201的最终高度可以减小至在第一间隔件105上方介于约3nm和约10nm之间(诸如约5nm)的第一高度H1,以及介于约18nm和约30nm之间(诸如约22nm)的总体第二高度H2。因此,通过减小第一牺牲材料201的高度,可以将栅极(包括栅极堆叠件113、覆盖层115和第一牺牲材料201)的总高度减小至介于约50nm和约80nm之间(诸如约60nm)的第三高度H3。此外,可以将栅极(包括栅极堆叠件113、覆盖层115和第一牺牲材料201)的高宽比减小至介于约4和约7之间,诸如约5。
通过满足降低栅极高度的要求,将增强前段制程蚀刻和清洁工艺窗口。例如,在需要较少的蚀刻时,将减少蚀刻残留物,同时将减少多晶硅线塌陷(具有减少的损坏或没有横向损坏)和弯曲问题(例如,弯曲),并且该工艺也将在中段制程蚀刻工艺中提供更稳健的蚀刻工艺窗口。利用更宽的蚀刻工艺条件控制可以实现这种改进,同时也产生改进的良率。
图14示出了另一实施例,其中,头盔材料1401用于在蚀刻工艺期间进一步增强第一牺牲材料201的选择性。在该实施例中,一旦已经沉积第一牺牲材料201(如以上参照图2描述的),将第一牺牲材料201平坦化为与第一ILD层111、第一间隔件105和第二间隔件107的每个齐平,而不是将第一牺牲材料201平坦化为与第一ILD层111齐平。因此,第一牺牲材料201形成为具有第一宽度W1和第一厚度T1的第一部分。
一旦已经平坦化第一牺牲材料201,则沉积头盔材料1401。在实施例中,头盔材料1401有助于增强周围材料的蚀刻选择性并且可以对第一牺牲材料201、第一间隔件105、第二间隔件107和第二牺牲材料801的每个具有大于约12的蚀刻选择性。在具体实施例中,头盔材料1401包括诸如硅、氮化硅、氧化硅、碳化硅、氧化铝、氮化铝、氧化锆、氧化钇硅(YSiO)、氧化钇(Y2O3)、这些的组合等的材料。但是,可以利用任何合适的材料。
在另一实施例中,通过使用与用于第一牺牲材料201的材料(以上参照图2描述的)相同的材料,可以实现由使用头盔材料1401所提供的额外保护。在该实施例中,利用头盔材料1401以通过头盔材料1401的厚度增加第一牺牲材料201的厚度。这种实施例将是获得图2中示出的结构的单独且不同的方法。通过利用相同的材料,可以在随后的蚀刻工艺期间保护第一间隔件105和第二间隔件107免受不良的光学叠加和不良的临界尺寸均匀性(CDU)的损坏。
可以使用诸如等离子体增强原子层沉积(PEALD)、热原子层沉积(热ALD)、等离子体增强化学汽相沉积(PECVD)的沉积工艺来沉积头盔材料1401。此外,在沉积工艺期间,工艺温度可以保持介于约250℃和约400℃之间。然而,可以利用任何合适的沉积和工艺条件。
一旦已经沉积头盔材料1401,则可以图案化头盔材料1401,从而使得头盔材料1401位于栅极堆叠件113、第一间隔件105和第二间隔件107上方,同时暴露栅极堆叠件113之间的第一ILD层111以用于进一步处理。在实施例中,使用光刻掩蔽和蚀刻工艺来图案化头盔材料1401。然而,可以利用图案化头盔材料1401的任何合适的方法。
图15示出了一旦已经沉积并且图案化头盔材料1401,则可以继续以上参照2至图13B描述的工艺的其余部分。然而,由于头盔材料1401的增加,可以在蚀刻工艺期间实现更大的蚀刻选择性。这种增加的蚀刻选择性有助于防止蚀刻过程期间的损坏。
图16示出了与以上参照图14至图15描述的实施例类似的又一实施例。然而,在该实施例中,当利用第一ILD层111、第一间隔件105和第二间隔件107(如以上参照图14描述的)的每个平坦化第一牺牲材料201时,不沉积头盔材料1401。因此,第一牺牲材料201可以形成有平坦的侧壁。此外,通过去除与头盔材料1401相关的工艺步骤,可以获得更简单的工艺。
此外,虽然已经讨论了许多实施例以增强各种材料的选择性来实现较小的栅极高度,但是可以在第一牺牲材料201、第一间隔件105(或第二间隔件107)、第二牺牲材料801和头盔材料1401的材料之间实现多种组合。下面的表1在不限制本文描述的实施例的情况下,示出了可以用于每种材料的各个实施例的一些。
表1
第一牺牲材料 间隔件 第二牺牲材料 头盔材料
1 SiN SiOC SiN ZrO
2 ZrO SiOC SiN ZrO
3 SiN SiOCN SiOC
4 SiN SiOCN SiOC Si
5 SiOC SiOCN SiN
6 SiO2 SiOC SiN
7 SiN SiOCN SiC Si
8 SiN SiOCN AIO Si
9 SiN SiOCN SiCN
10 SiN SiOCN AIN
根据实施例,制造半导体器件的方法包括:在半导体鳍上方形成栅极间隔件;邻近栅极间隔件形成第一栅极堆叠件;在半导体鳍上方形成第二栅极堆叠件;在第一栅极堆叠件和第二栅极堆叠件上方形成第一牺牲材料,其中,第一牺牲材料对栅极间隔件具有大于约12的蚀刻选择性;在第一栅极堆叠件和第二栅极堆叠件之间以及第一栅极堆叠件上方的第一牺牲材料和第二栅极堆叠件上方的第一牺牲材料之间的区域内放置第一导电材料和第二牺牲材料,其中,第二牺牲材料与第一牺牲材料不同并且对栅极间隔件具有大于约12的蚀刻选择性;穿过第一牺牲材料蚀刻第一开口;以及穿过第二牺牲材料蚀刻第二开口。在实施例中,第一牺牲材料对第二牺牲材料具有大于约12的蚀刻选择性。在实施例中,该方法还包括平坦化栅极间隔件和第一牺牲材料。在实施例中,该方法还包括在平坦化第一牺牲材料之后,在第一牺牲材料上方和栅极间隔件上方形成头盔材料。在实施例中,头盔材料对第一牺牲材料具有大于约12的选择性。在实施例中,头盔材料是第一材料并且第一牺牲材料是第一材料。在实施例中,形成第一牺牲材料形成氧化锆。
根据另一实施例,制造半导体器件的方法包括:在衬底上方的第一牺牲材料上方放置第一光刻胶;形成穿过第一牺牲材料的第一部分的第一开口以暴露栅极堆叠件上方的覆盖层并且以暴露栅极间隔件的侧壁,其中,栅极间隔件和第一牺牲材料在形成第一开口期间具有大于约12的蚀刻选择性;在第二牺牲材料上方放置第二光刻胶,其中,第二牺牲材料和栅极间隔件具有大于约12的蚀刻选择性;形成至少部分地穿过第二牺牲材料的第二开口;在停止形成第二开口之后,使得第二开口延伸穿过第二牺牲材料以暴露源极/漏极接触件;以及用导电材料填充第一开口和第二开口。在实施例中,覆盖层与栅极堆叠件物理接触。在实施例中,覆盖层包括钨。在实施例中,形成第二开口暴露第一牺牲材料的第二部分的表面。在实施例中,该方法还包括:沉积源极/漏极接触材料;使源极/漏极接触材料从第一区域凹进;以及用第二牺牲材料填充第一区域。在实施例中,第二牺牲材料和第一牺牲材料之间存在间隙高度。在实施例中,该方法还包括在放置第一光刻胶之前在第一牺牲材料上方形成头盔材料。
根据又一实施例,半导体器件包括:栅极堆叠件;位于栅极堆叠件上方的覆盖层;位于覆盖层上方的第一牺牲层;延伸穿过第一牺牲层并且与覆盖层物理接触的栅极接触件;邻近并且与栅极堆叠件、覆盖层和栅极接触件的每个物理接触的第一间隔件;邻近第一间隔件的源极/漏极接触件;位于源极/漏极接触件上方的第二牺牲层,第二牺牲层与第一牺牲层不同;以及延伸穿过第二牺牲层并且与源极/漏极接触件物理接触的源极/漏极接触插塞。在实施例中,第一牺牲层在第一间隔件的部分上方延伸。在实施例中,第一牺牲层与第一间隔件齐平。在实施例中,在第一牺牲层和第二牺牲层之间存在间隙高度。在实施例中,半导体器件还包括位于第一牺牲层和第一间隔件上方的头盔材料。在实施例中,第一间隔件包括邻近栅极堆叠件的第一材料和位于第一材料的与栅极堆叠件相对的两侧上的第二材料。
根据本发明的一些实施例,提供了一种制造半导体器件的方法,所述方法包括:在半导体鳍上方形成栅极间隔件;邻近所述栅极间隔件形成第一栅极堆叠件;在所述半导体鳍上方形成第二栅极堆叠件;在所述第一栅极堆叠件和所述第二栅极堆叠件上方形成第一牺牲材料,其中,所述第一牺牲材料对所述栅极间隔件具有大于12的蚀刻选择性;在所述第一栅极堆叠件和所述第二栅极堆叠件之间以及所述第一栅极堆叠件上方的所述第一牺牲材料和所述第二栅极堆叠件上方的所述第一牺牲材料之间的区域内放置第一导电材料和第二牺牲材料,其中,所述第二牺牲材料与所述第一牺牲材料不同并且对所述栅极间隔件具有大于12的蚀刻选择性;穿过所述第一牺牲材料蚀刻第一开口;以及穿过所述第二牺牲材料蚀刻第二开口。
在上述方法中,所述第一牺牲材料对所述第二牺牲材料具有大于12的蚀刻选择性。
在上述方法中,还包括,平坦化所述第一牺牲材料和所述栅极间隔件。
在上述方法中,还包括,在平坦化所述第一牺牲材料之后,在所述第一牺牲材料上方和所述栅极间隔件上方形成头盔材料。
在上述方法中,所述头盔材料对所述第一牺牲材料具有大于12的选择性。
在上述方法中,所述头盔材料是第一材料并且所述第一牺牲材料是第一材料。
在上述方法中,形成所述第一牺牲材料形成氧化锆。
根据本发明的另一些实施例,还提供了一种制造半导体器件的方法,所述方法包括:在衬底上方的第一牺牲材料上方放置第一光刻胶;形成穿过所述第一牺牲材料的第一部分的第一开口以暴露栅极堆叠件上方的覆盖层并且以暴露栅极间隔件的侧壁,其中,所述栅极间隔件和所述第一牺牲材料在形成所述第一开口期间具有大于12的蚀刻选择性;在第二牺牲材料上方放置第二光刻胶,其中,所述第二牺牲材料和所述栅极间隔件具有大于12的蚀刻选择性;形成至少部分地穿过所述第二牺牲材料的第二开口;在停止形成所述第二开口之后,所述第二开口延伸穿过所述第二牺牲材料以暴露源极/漏极接触件;以及用导电材料填充所述第一开口和所述第二开口。
在上述方法中,所述覆盖层与所述栅极堆叠件物理接触。
在上述方法中,所述覆盖层包括钨。
在上述方法中,形成所述第二开口暴露所述第一牺牲材料的第二部分的表面。
在上述方法中,还包括:沉积源极/漏极接触材料;使所述源极/漏极接触材料从第一区域凹进;以及用所述第二牺牲材料填充所述第一区域。
在上述方法中,所述第二牺牲材料和所述第一牺牲材料之间存在间隙高度。
在上述方法中,还包括,在放置所述第一光刻胶之前在所述第一牺牲材料上方形成头盔材料。
根据本发明的又一些实施例,还提供了一种半导体器件,包括:栅极堆叠件;覆盖层,位于所述栅极堆叠件上方;第一牺牲层,位于所述覆盖层上方;栅极接触件,延伸穿过所述第一牺牲层并且与所述覆盖层物理接触;第一间隔件,邻近所述栅极堆叠件、所述覆盖层和所述栅极接触件的每个并且与所述栅极堆叠件、所述覆盖层和所述栅极接触件的每个物理接触;源极/漏极接触件,邻近所述第一间隔件;第二牺牲层,位于所述源极/漏极接触件上方,所述第二牺牲层与所述第一牺牲层不同;以及源极/漏极接触插塞,延伸穿过第二牺牲层并且与源极/漏极接触件物理接触。
在上述半导体器件中,所述第一牺牲层在所述第一间隔件的部分上方延伸。
在上述半导体器件中,所述第一牺牲层与所述第一间隔件齐平。
在上述半导体器件中,在所述第一牺牲层和所述第二牺牲层之间存在间隙高度。
在上述半导体器件中,还包括,位于所述第一牺牲层和所述第一间隔件上方的头盔材料。
在上述半导体器件中,所述第一间隔件包括邻近所述栅极堆叠件的第一材料和位于所述第一材料的与所述栅极堆叠件相对的两侧上的第二材料。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (20)

1.一种制造半导体器件的方法,所述方法包括:
在半导体鳍上方形成栅极间隔件;
邻近所述栅极间隔件形成第一栅极堆叠件;
在所述半导体鳍上方形成第二栅极堆叠件;
在所述第一栅极堆叠件和所述第二栅极堆叠件上方形成第一牺牲材料,其中,所述第一牺牲材料对所述栅极间隔件具有大于12的蚀刻选择性;
在所述第一栅极堆叠件和所述第二栅极堆叠件之间以及所述第一栅极堆叠件上方的所述第一牺牲材料和所述第二栅极堆叠件上方的所述第一牺牲材料之间的区域内放置第一导电材料和第二牺牲材料,其中,所述第二牺牲材料与所述第一牺牲材料不同并且对所述栅极间隔件具有大于12的蚀刻选择性;
穿过所述第一牺牲材料蚀刻第一开口以暴露第一栅极堆叠件上方的覆盖层并且暴露所述栅极间隔件的侧壁,所述覆盖层在形成所述第一开口期间用作蚀刻停止层;以及
穿过所述第二牺牲材料蚀刻第二开口;
所述第一牺牲材料为T形材料,所述T形材料具有位于所述栅极间隔件外的第一部分,和位于所述栅极间隔件内的第二部分,
其中,在蚀刻所述第一开口时,所述T形材料的第一部分的底面与所述栅极间隔件的顶面直接接触,并且第二部分的底面与所述覆盖层直接接触。
2.根据权利要求1所述的方法,其中,所述第一牺牲材料对所述第二牺牲材料具有大于12的蚀刻选择性。
3.根据权利要求1所述的方法,还包括,平坦化所述第一牺牲材料和所述栅极间隔件。
4.根据权利要求3所述的方法,还包括,在平坦化所述第一牺牲材料之后,在所述第一牺牲材料上方和所述栅极间隔件上方形成头盔材料。
5.根据权利要求4所述的方法,其中,所述头盔材料对所述第一牺牲材料具有大于12的选择性。
6.根据权利要求4所述的方法,其中,所述头盔材料是第一材料并且所述第一牺牲材料是第一材料。
7.根据权利要求1所述的方法,其中,形成所述第一牺牲材料形成氧化锆。
8.一种制造半导体器件的方法,所述方法包括:
在衬底上方的第一牺牲材料上方放置第一光刻胶;
形成穿过所述第一牺牲材料的第一部分的第一开口以暴露栅极堆叠件上方的覆盖层并且以暴露栅极间隔件的侧壁,其中,所述栅极间隔件和所述第一牺牲材料在形成所述第一开口期间具有大于12的蚀刻选择性;
在第二牺牲材料上方放置第二光刻胶,其中,所述第二牺牲材料和所述栅极间隔件具有大于12的蚀刻选择性;
形成至少部分地穿过所述第二牺牲材料的第二开口;
在停止形成所述第二开口之后,所述第二开口延伸穿过所述第二牺牲材料以暴露源极/漏极接触件;以及
用导电材料填充所述第一开口和所述第二开口;
所述第一牺牲材料为T形材料,所述T形材料具有位于所述栅极间隔件外的第一部分,和位于所述栅极间隔件内的第二部分,
其中,在蚀刻所述第一开口时,所述T形材料的第一部分的底面与所述栅极间隔件的顶面直接接触,并且第二部分的底面与所述覆盖层直接接触。
9.根据权利要求8所述的方法,其中,所述覆盖层与所述栅极堆叠件物理接触。
10.根据权利要求8所述的方法,其中,所述覆盖层包括钨。
11.根据权利要求8所述的方法,其中,形成所述第二开口暴露所述第一牺牲材料的第二部分的表面。
12.根据权利要求8所述的方法,还包括:
沉积源极/漏极接触材料;
使所述源极/漏极接触材料从第一区域凹进;以及
用所述第二牺牲材料填充所述第一区域。
13.根据权利要求12所述的方法,其中,所述第二牺牲材料和所述第一牺牲材料之间存在间隙高度。
14.根据权利要求8所述的方法,还包括,在放置所述第一光刻胶之前在所述第一牺牲材料上方形成头盔材料。
15.一种半导体器件,包括:
栅极堆叠件;
覆盖层,位于所述栅极堆叠件上方;
第一牺牲层,位于所述覆盖层上方;
栅极接触件,延伸穿过所述第一牺牲层并且与所述覆盖层物理接触;
第一间隔件,邻近所述栅极堆叠件、所述覆盖层和所述栅极接触件的每个并且与所述栅极堆叠件、所述覆盖层和所述栅极接触件的每个物理接触;
源极/漏极接触件,邻近所述第一间隔件;
第二牺牲层,位于所述源极/漏极接触件上方,所述第二牺牲层与所述第一牺牲层不同;以及
源极/漏极接触插塞,延伸穿过第二牺牲层并且与源极/漏极接触件物理接触;
所述第一牺牲层为T形层,所述T形层具有位于所述第一间隔件外的第一部分,和位于所述第一间隔件内的第二部分,
其中,所述T形层的第一部分的底面与所述第一间隔件的顶面直接接触,并且第二部分的底面与所述覆盖层直接接触。
16.根据权利要求15所述的半导体器件,其中,所述第一牺牲层在所述第一间隔件的部分上方延伸。
17.根据权利要求15所述的半导体器件,其中,所述第一牺牲层与所述第一间隔件齐平。
18.根据权利要求15所述的半导体器件,其中,在所述第一牺牲层和所述第二牺牲层之间存在间隙高度。
19.根据权利要求15所述的半导体器件,还包括,位于所述第一牺牲层和所述第一间隔件上方的头盔材料。
20.根据权利要求15所述的半导体器件,其中,所述第一间隔件包括邻近所述栅极堆叠件的第一材料和位于所述第一材料的与所述栅极堆叠件相对的两侧上的第二材料。
CN201810509610.2A 2017-11-30 2018-05-24 半导体器件和制造方法 Active CN109860113B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762593054P 2017-11-30 2017-11-30
US62/593,054 2017-11-30
US15/898,719 US10861745B2 (en) 2017-11-30 2018-02-19 Semiconductor device and method of manufacture
US15/898,719 2018-02-19

Publications (2)

Publication Number Publication Date
CN109860113A CN109860113A (zh) 2019-06-07
CN109860113B true CN109860113B (zh) 2021-08-24

Family

ID=66632619

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810509610.2A Active CN109860113B (zh) 2017-11-30 2018-05-24 半导体器件和制造方法

Country Status (4)

Country Link
US (2) US10861745B2 (zh)
KR (1) KR102123920B1 (zh)
CN (1) CN109860113B (zh)
TW (1) TWI676238B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10395976B1 (en) * 2018-05-13 2019-08-27 Nanya Technology Corporation Method of manufacturing semiconductor device
US11171053B2 (en) * 2018-07-27 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor device and related methods
US10777455B2 (en) 2019-01-29 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure
TWI706553B (zh) * 2019-09-11 2020-10-01 友達光電股份有限公司 感光元件及顯示裝置
US11127684B2 (en) * 2019-10-18 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-resistance interconnect structures
US11217586B2 (en) * 2020-01-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having dummy fin physically separating the first and second gate stacks
US11393769B2 (en) * 2020-02-19 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Alignment structure for semiconductor device and method of forming same
KR102433143B1 (ko) * 2020-02-26 2022-08-16 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 저차원 물질 디바이스 및 방법
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
US11652149B2 (en) * 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11588030B2 (en) 2020-09-29 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
US11682675B2 (en) * 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105321810A (zh) * 2014-07-08 2016-02-10 联华电子股份有限公司 制作半导体元件的方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003086673A (ja) 2001-09-11 2003-03-20 Nec Corp 半導体装置の製造方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9601619B2 (en) * 2013-07-16 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with non-uniform P-type impurity profile
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9570554B2 (en) * 2014-04-04 2017-02-14 International Business Machines Corporation Robust gate spacer for semiconductor devices
KR102235578B1 (ko) 2014-11-19 2021-04-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9608087B2 (en) 2015-04-08 2017-03-28 Globalfoundries Inc. Integrated circuits with spacer chamfering and methods of spacer chamfering
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9997522B2 (en) 2015-12-03 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10002789B2 (en) 2016-03-24 2018-06-19 International Business Machines Corporation High performance middle of line interconnects
US10283406B2 (en) * 2017-01-23 2019-05-07 International Business Machines Corporation Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US10269636B2 (en) * 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105321810A (zh) * 2014-07-08 2016-02-10 联华电子股份有限公司 制作半导体元件的方法

Also Published As

Publication number Publication date
TW201926557A (zh) 2019-07-01
US10861745B2 (en) 2020-12-08
US20210111071A1 (en) 2021-04-15
KR20190064391A (ko) 2019-06-10
US11942367B2 (en) 2024-03-26
KR102123920B1 (ko) 2020-06-18
TWI676238B (zh) 2019-11-01
US20190164829A1 (en) 2019-05-30
CN109860113A (zh) 2019-06-07

Similar Documents

Publication Publication Date Title
CN109860113B (zh) 半导体器件和制造方法
US20210335676A1 (en) Semiconductor device and method
US11037824B2 (en) Semiconductor device and method for manufacturing the same
CN107689376B (zh) 半导体器件和方法
US11855217B2 (en) Semiconductor device having a conductive contact in direct contact with an upper surface and a sidewall of a gate metal layer
CN109216456B (zh) 半导体器件和方法
US10797140B2 (en) Semiconductor device and method
TWI715218B (zh) 半導體元件及其製造方法
TWI815134B (zh) 半導體裝置及其製造方法
CN112420515A (zh) 半导体装置的形成方法
CN109427901B (zh) 半导体器件和方法
US20230386921A1 (en) Semiconductor device and method of manufacture
CN111834223A (zh) 半导体器件和制造方法
US20200328299A1 (en) Semiconductor Device and Method of Manufacture
CN115458601A (zh) 半导体器件及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant