CN109427683A - 形成半导体装置的方法 - Google Patents

形成半导体装置的方法 Download PDF

Info

Publication number
CN109427683A
CN109427683A CN201711229436.8A CN201711229436A CN109427683A CN 109427683 A CN109427683 A CN 109427683A CN 201711229436 A CN201711229436 A CN 201711229436A CN 109427683 A CN109427683 A CN 109427683A
Authority
CN
China
Prior art keywords
layer
mandrel
area
fin
curtain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711229436.8A
Other languages
English (en)
Other versions
CN109427683B (zh
Inventor
蔡宗裔
陈燕铭
陈殿豪
蔡瀚霆
李宗霖
何嘉政
林铭祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109427683A publication Critical patent/CN109427683A/zh
Application granted granted Critical
Publication of CN109427683B publication Critical patent/CN109427683B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本揭示内容公开了一种形成半导体装置的方法。方法包括提供具有基板和在基板之上的硬罩幕层的装置;形成心轴于硬罩幕层之上;沉积材料层于心轴的多个侧壁上;植入掺杂剂到材料层中;使用心轴和材料层作为蚀刻罩幕来执行蚀刻制程于硬罩幕层上,从而形成图案化硬罩幕层,其中蚀刻制程同时产生沉积于图案化硬罩幕层的多个侧壁上的介电层,介电层含有掺杂剂;以及通过使用图案化硬罩幕层和介电层共同作为蚀刻罩幕蚀刻基板来形成鳍片。

Description

形成半导体装置的方法
技术领域
本发明实施例是有关一种形成半导体装置的方法。
背景技术
半导体集成电路(integrated circuit,IC)产业历经了指数级的发展。集成电路的材料和设计的技术进步,已经产生了数代的集成电路,其中每一代具有比上一代更小且更复杂的电路。在集成电路的发展过程中,功能密度(即每个晶片面积具有的互连装置数量)一般在几何尺寸(即以制造制程可以制造的最小组件(或线))减小的同时增加。这种缩减过程通常通过提高生产效率和降低相关成本来提供益处。这种缩减也增加了处理和制造集成电路的复杂性。
例如,现今已引入了多栅极装置,致力于通过增加栅极-通道耦合来提高栅极控制、减少关闭状态电流、以及减少短通道效应(short-channel effect,SCE)。其中,鳍式场效晶体管(fin field-effect transistor,FinFET)即是一种前述的引入的多栅极装置。鳍式场效晶体管的名称是来自于它的鳍片状结构,此结构自基板上延伸形成,并用于形成场效晶体管通道。鳍式场效晶体管与传统的互补式金属氧化物半导体(complementarymetal-oxide-semiconductor,CMOS)制程是相容的,并且其立体结构允许它们在维持栅极控制和缓和短通道效应的同时,可以积极地缩减。N型鳍式场效晶体管和P型鳍式场效晶体管可能具有不同的材料成分于各别鳍片中(例如,N型鳍式场效晶体管的鳍片中的Si和P型鳍式场效晶体管的鳍片中的SiGe),这提高了两种类型鳍式场效晶体管的载子移动性,并且提高了装置性能。然而,于鳍片图案化期间,控制鳍片宽度是艰巨的。例如,具有不同材料组成的鳍片在制造过程中,因遭受不同的横向损耗,将导致N型鳍式场效晶体管和P型鳍式场效晶体管之间的鳍片宽度不一致。因此,尽管传统的鳍片图案化方法一般已满足于其预期目的,但其并非在所有方面都能令人满意。
发明内容
根据本揭露内容的多个实施方式,是提供一种形成半导体装置的方法,包括提供具有一基板和在基板之上的一硬罩幕层的一装置;形成一心轴于硬罩幕层之上;沉积一材料层于心轴的多个侧壁上;植入一掺杂剂到材料层中;使用心轴和材料层共同作为一蚀刻罩幕来执行一蚀刻制程于硬罩幕层上,从而形成一图案化硬罩幕层,其中蚀刻制程同时产生沉积于图案化硬罩幕层的多个侧壁上的一介电层,介电层含有掺杂剂;以及通过使用图案化硬罩幕层和介电层共同作为一蚀刻罩幕蚀刻基板来形成一鳍片。
根据本揭露内容的多个实施方式,是提供一种形成半导体装置的方法,包括提供一基板;形成一心轴于基板之上;形成一掺杂材料层于心轴的多个侧壁和一顶表面上;以及通过移转由心轴和掺杂材料层所共同定义的一图案到基板中来图案化基板。
根据本揭露内容的多个实施方式,是提供一种形成半导体装置的方法,包括提供一装置,装置具有在一第一区域和一第二区域中的一半导体层、在第二区域中的半导体层之上的一磊晶层、以及覆盖在第一区域中的半导体层和在第二区域中的磊晶层的一硬罩幕层;形成一第一心轴于第一区域中的硬罩幕层之上,以及形成一第二心轴于第二区域中的硬罩幕层之上;沉积覆盖第一心轴和第二心轴的一材料层;植入一杂质于第二区域中的材料层中;去除在第一区域中的材料层;使用第一心轴和第二心轴作为一蚀刻罩幕来蚀刻硬罩幕层,造成在第一区域中的一第一经蚀刻的硬罩幕特征和在第二区域中的一第二经蚀刻的硬罩幕特征;以及使用第一经蚀刻的硬罩幕特征和第二经蚀刻的硬罩幕特征作为一蚀刻罩幕来蚀刻半导体层和磊晶层,造成在第一区域中的一第一鳍片和在第二区域中的一第二鳍片。
附图说明
当结合附图阅读时,从以下详细描述中可以更好地理解本揭露的各个方面。应注意,依据工业中的标准实务,多个特征并未按比例绘制。实际上,多个特征的尺寸可任意增大或缩小,以便使论述明晰。
图1A和图1B揭示根据本揭示内容的各方面的制造半导体装置的方法的流程图;
图2~图13为根据本揭示内容的各方面的图1A和图1B的方法的半导体装置于各种阶段的剖面图。
具体实施方式
以下揭示内容提供许多不同实施例或实例以用于实现本揭示内容的不同特征。下文描述组件及排列的特定实例以简化本揭示内容。当然,此等仅仅为实例,并不旨在限制本揭示内容。举例而言,在随后描述中的在第二特征之上或在第二特征上形成第一特征可包括形成直接接触的第一特征和第二特征的实施例,还可以包括在第一特征和第二特征之间形成额外特征,从而使第一特征和第二特征不直接接触的实施例。另外,本揭示内容在各实例中可重复元件符号及/或字母。此重复是出于简化及清楚的目的,且本身不指示所论述各实施例及/或构造之间的关系。
此外,在随后描述的本揭示内容中,形成一特征于另一特征上、形成一特征以与另一特征相连接和/或形成一特征以耦合至另一特征,可以包括形成特征之间直接接触的实施例,也可以包括形成额外特征于特征之间,从而使特征之间不直接接触的实施例。另外,空间相对用语,诸如“较低”、“较高”、“水平”、“垂直”、“以上”、“之上”、“之下”、“以下”、“向上”、“向下”、“顶部”、“底部”等以及其衍生物(例如“水平地”、“向下地”、“向上地”等),在此用于简化本揭示内容所示的一个特征与另一个特征的关系。空间相对用语旨在包含具有各种特征的装置的不同方向。
本揭示内容一般涉及半导体装置和其制造。更具体地,一些实施例涉及在鳍式场效晶体管的制造期间,图案化鳍片。本揭示内容的目的是提供多种方法,以有效地维持N型鳍式场效晶体管和P型鳍式场效晶体管之间的鳍片宽度保真度。
用于N型场效晶体管(n-type field-effect transistor,NFET)和用于P型场效晶体管(p-type field-effect transistor,PFET)的通道区,可以包括不同的半导体材料。例如,已知某些材料具有比硅更高的空穴移动率,因此将期望能替换作为P型通道材料的硅。具有硅之外的半导体材料的磊晶区域可以形成于硅基板以上,以提供P型场效晶体管的P型通道。例示性材料包括锗(Ge)、硅锗(SiGe)、诸如GaAs、InP、InGaAs、InAs的III-V材料、其组合和/或其它合适的材料。例如,在一半导体装置中,N型鳍式场效晶体管的鳍片可以包括硅(Si),而P型鳍式场效晶体管的鳍片可以包括硅锗(SiGe)。
鳍片可以用任何合适的方法图案化。例如,可以使用一个或多个光微影制程来图案化鳍片,包括双图案化或多图案化制程。通常,双图案化或多图案化制程与光微影和自对准制程相组合,从而允许制造出较小间距的图案,例如,图案的间距将比使用单一、直接的光微影制程而获得的图案的间距还要小。例如,在一实施例中,牺牲层形成于基板上,并使用光微影制程来进行图案化。使用自对准制程,沿着图案化牺牲层的旁边形成分隔物。接着去除牺牲层,然后可以使用剩余的分隔物或心轴来图案化鳍片。
然而,在图案化鳍片期间,N型场效晶体管鳍片和P型场效晶体管鳍片中的不同半导体材料,对于蚀刻剂将呈现不同的蚀刻速率,并且在鳍片宽度方面则承受不同的横向损耗。在鳍片图案化的其它步骤中(例如鳍片清洗制程),也可能导致不同的横向损耗。因此,N型场效晶体管鳍片和P型场效晶体管鳍片可能具有不同的鳍片宽度。例如,具有硅锗的P型场效晶体管鳍片,可能会因为硅锗在蚀刻制程中的相对较高的蚀刻速率,以及在鳍片清洗制程中的相对较高的氧化损失,而变得较具有硅的N型场效晶体管鳍片更为狭窄。N型场效晶体管和P型场效晶体管之间具有不一致的鳍片宽度的半导体装置,可能具有短通道性能差和制程窗口缩短的问题,例如缩短的多栅极填充窗口。
本揭示内容的实施例提供了各种优点,尽管可以理解其它实施例可能提供不同的优点,但并不是所有的优点都需要在本揭示内容中被讨论,且对于所有的实施例也不需要特定的优点。在至少一些实施例中,N型场效晶体管鳍片和P型场效晶体管鳍片基本上保持相同的鳍片宽度,从而改善短通道性能和扩展制程窗口。
图1A和图1B揭示根据本揭示内容的各方面的制造诸如鳍式场效晶体管装置的半导体装置的方法100的流程图。可以在方法100之前、之中、以及之后提供额外的步骤,并且对于方法100的其它实施例,可以替换或消除所描述的一些步骤。以下结合图2~图13来描述方法100。图2~图13揭示在方法100的各个阶段的半导体装置200的例示性剖面图。
半导体装置200可能是在集成电路的处理期间制造的中间装置或其一部分,其可以包括静态随机存取记忆装置(static random access memory,SRAM)和/或逻辑电路、诸如电阻、电容、以及电感器的被动元件、以及诸如鳍式场效晶体管、金属氧化物半导体场效晶体管(metal-oxide semiconductor field effecttransistor,MOSFET)、互补金属氧化物半导体(complementary metal-oxidesemiconductor,CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、其它记忆单元、以及其组合的主动元件。此外,提供各种特征包括晶体管、栅极堆叠、主动区域、隔离结构、以及本揭示内容的各种实施例中的其它特征,是用于简化和易于理解实施例,并且没有限制实施例为任何类型的装置、任何数量的装置、任何数量的区域或任何结构或区域的配置。
首先参考图1A的方块102和图2,提供了包括基板202的半导体装置200。在一实施例中,基板202是晶体结构的硅。其它例示性材料包括诸如锗的其它元素半导体或诸如硅锗、碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟的化合物半导体。
基板202的组成可能是均匀的,或者可能包括各种层,其中一些可能被选择性地蚀刻以形成鳍片。这些层可能具有相似或不同的组成,并且在各种实施例中,一些基板层具有不均匀的组成以引起装置应变,从而协调装置性能。分层基板的实例包括绝缘体上硅(silicon-on-insulator,SOI)基板。在一些这样的实例中,基板202的层可能包括诸如氧化硅、氮化硅、氮氧化硅、碳化硅和/或其它合适的绝缘体材料的绝缘体。
半导体装置200进一步包括第一区域204和第二区域206,在其上将形成N型场效晶体管和P型场效晶体管的鳍片。第一区域204包括基板202。第二区域206包括从区域204延伸的基板202和形成于基板202上方的磊晶层208。因此,第二区域206可以被称为磊晶区域。在所绘示的实施例中,第一区域204被定义为一个或多个N型场效晶体管区域,第二区域206被定义为一个或多个P型场效晶体管区域。应当理解,半导体装置200可替代地具有于第一区域204中形成的P型场效晶体管和于第二区域206中形成的N型场效晶体管。
磊晶层208和基板202包括不同的晶体材料。在所绘示的实施例中,磊晶层208包括硅锗,而基板202包括硅。在另一实施例中,磊晶层208包括锗或诸如GaAs、InP、InGaAs和/或InAs的III-V材料,而基板202包括其它合适的材料。磊晶层208可以被称为磊晶半导体层208。由于半导体材料的晶格尺寸不同,当在一种半导体材料的基板上磊晶生长另一种半导体材料时,此堆叠结构通常被称为异质结构。不同半导体材料的异质结合(例如锗、硅锗或III-V化合物)是增加P型场效晶体管中的空穴移动率,并同时维持将硅基板保持为制造载体所需的有利途径。
形成磊晶半导体层208可以包括各种制程,例如蚀刻和磊晶生长。蚀刻制程使第二区域206中的基板202的一部分凹陷以形成沟槽。为了使基板202凹陷,蚀刻制程可以包括任何合适的蚀刻技术,例如湿式蚀刻、干式蚀刻、反应式离子蚀刻(Reactive Ion Etching,RIE)、灰化和/或其它蚀刻方法。例如,干式蚀刻制程可以施用含氧气体、含氟气体(例如CF4、SF6、CH2F2、CHF3和/或C2F6)、含氯气体(例如Cl2、CHCl3、CCl4和/或BCl3)、含溴气体(例如HBr和/或CHBR3)、含碘气体、其它合适的气体和/或等离子、以及其组合。例如,湿式蚀刻制程可以包括在稀释氢氟酸(DHF)、氢氧化钾(KOH)溶液、氨、含氢氟酸(HF)溶液、硝酸(HNO3)和/或乙酸(CH3COOH)中进行蚀刻,或在其它合适的湿式蚀刻剂中进行蚀刻。在一实施例中,沟槽深度在约50nm和约500nm之间。磊晶生长制程将磊晶半导体层208沉积于沟槽中。可以在任何合适的磊晶沉积系统中磊晶生长磊晶半导体层208,包括但不仅限于,大气压化学气相沉积(atmospheric-pressure chemical vapor deposition,APCVD)、低压化学气相沉积(low pressure chemical vapor deposition,LPCVD)、超高真空化学气相沉积(ultra-high-vacuum chemical vapor deposition,UHVCVD)、分子束磊晶(molecular beamepitaxy,MBE)或原子层沉积(atomic layer deposition,ALD)。在化学气相沉积制程中,磊晶生长典型地包括将来源气体引入腔室中。来源气体可以包括至少一种前驱物气体和载体气体,例如氢气。反应腔室被加热,例如通过无线电频加热(RF-heating)。在一实施例中,腔室内的生长温度为约300℃至约900℃,其取决于磊晶半导体层208的组成。磊晶生长系统还可以利用低能量等离子来增加层生长动力学。磊晶生长系统可以是单晶圆或多晶圆批次反应器。
为了确保磊晶半导体层208能完全填充沟槽,可以过度生长磊晶半导体层208。在一实例中,磊晶半导体层208在第一区域204中的基板202的上表面上方约100nm至约1000nm。在磊晶生长出磊晶半导体层208之后,可以执行研磨制程,例如化学机械研磨(chemical mechanical polishing,CMP)制程,以移除过度生长的部分,并平坦化半导体装置200的顶表面。在研磨制程之后,第一区域204中的基板202的顶表面与第二区域206中的磊晶半导体层208的顶表面基本上是共面的。
可以在半导体装置200上方形成各种其它材料层。在所绘示的实施例中,在第一区域204中的基板202上方和第二区域206中的磊晶半导体层208的上方形成后续将被图案化以界定鳍片的硬罩幕210。硬罩幕210进一步可以包括多层结构,例如三层堆叠,其包括底层212、中间层214、以及上层216。应当认识到,半导体装置200可以具有任何数量的材料层、罩幕层、牺牲层、抗蚀层和/或形成于其上的其它层。可以部分地基于蚀刻剂选择性来选择适合这些层的材料。例如,在三层堆叠中,底层212、中间层214、以及上层216可以被建构成具有不同的材料,从而可以使用相应的蚀刻剂来去除每个层,而不对另一层产生显著的蚀刻。在一些实施方式中,底层212、中间层214、以及上层216包括不同的半导体材料和/或不同的介电材料,以达到期望的蚀刻选择性,例如硅、非晶硅、氧化硅、氮化硅、氮氧化硅(SiON)、碳化硅、其它半导体材料和/或其它介电材料。在一实施例中,底层212包括氧化硅,中间层224包括氮化硅,而上层226包括氧化硅。三层堆叠中的每个层可以通过任何合适的制程来形成,例如热氧化、化学气相沉积或旋涂式玻璃制程。
参考图1A的方块104和图3,心轴层302形成于硬罩幕210上方,其包括多个心轴304。在所绘示的实施例中,心轴304的宽度基本上是一致的。心轴304在随后的制程中,于区域204和206中界定出鳍片。心轴304可以包括介电质,例如氧化硅、氮化硅、氮氧化硅和/或碳化硅,并且在所绘示的实施例中,心轴304包括氮化硅。
在一些实施例中,心轴304通过沉积和图案化心轴层302而形成。心轴层302可以通过任何合适的制程而沉积,包括化学气相沉积(chemical vapor deposition,CVD)、高密度等离子化学气相沉积(high-density plasma,HDP-CVD)、物理气相沉积(physical vapordeposition,PVD)、原子层沉积(atomic-layer deposition,ALD)和/或其它合适的沉积制程,并且沉积达到任何合适的厚度。随后,可以通过光微影制程和蚀刻制程来图案化心轴层302以形成心轴304。蚀刻制程去除暴露于图案化光阻剂层的心轴层302的部分,此图案化光阻剂层形成于心轴层302上方。在蚀刻心轴层302之后,图案化光阻剂层可以被去除。在一些实施例中,使用自对准制程来形成心轴304,心轴304作为在图案化牺牲层旁边的分隔物。然后通过蚀刻制程去除图案化牺牲层。在各种实例中,蚀刻制程可以包括湿式蚀刻、干式蚀刻、反应式离子蚀刻、灰化和/或使用蚀刻剂化学物质的其它蚀刻方法,蚀刻剂化学物质例如四氟化碳(CF4)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)、其它合适的蚀刻剂和/或其组合。在一实例中,蚀刻制程包括各向异性蚀刻,例如等离子蚀刻。
在所绘示的实施例中,心轴层302包括第一区域204中的两个心轴304和第二区域206中的另外两个心轴304,但是在其它实例中,心轴层302可以包括在任何相应区域内具有任何合适间距的任何数量的心轴304。
参考图1A的方块106和图4,沉积材料层402以覆盖心轴304。材料层402可以包括任何合适的材料(例如非晶硅、氧化硅、氮化硅、氮氧化硅、碳化硅等),并且可经选择,以具有与心轴304不同的蚀刻剂选择性。在一实例中,材料层402包括非晶硅。
可以通过任何合适的制程来沉积材料层402,包括化学气相沉积、高密度等离子化学气相沉积、原子层沉积、物理气相沉积和/或其它合适的沉积技术。在一些实施例中,通过化学气相沉积或原子层沉积来保形地沉积材料层402,并进行诸如各向异性等离子蚀刻的各向异性(定向)蚀刻技术,以去除沉积于硬罩幕210的水平表面上的材料层402的部分。通过此方式,保留沉积于心轴402的垂直表面上的材料层402的部分。在一些实施例中,从硬罩幕210的水平表面蚀刻材料层402,还将材料层402从心轴304的水平表面移除。在一些其它实施例中,材料层402仍保留在心轴304的水平表面上。作为一实例,通过实例所示的方式但并非限制性的,心轴304的侧壁上的材料层402的厚度在约0.5nm和约5nm之间。在所绘示的实施例中,材料层402的厚度为约2nm。
可通过移除第一区域204中的材料层402,则相对于第一区域204中的心轴304,材料层402加宽了第二区域206中的心轴304。参考图1A的方块108和图5,第二区域206中的材料层402被杂质(掺杂剂)510重掺杂,导致区域204和206中的材料层402的蚀刻选择性不同,并允许稍后在蚀刻制程中,去除第一区域204中的材料层402。在一实例中,首先在两个区域204和206上沉积光阻剂层(或抗蚀剂层)502。可以在半导体装置200上执行光刻曝光以将第二区域206暴露于辐射。曝光后,将显影剂施用于光阻剂层502,以去除覆盖第二区域206的部分,同时留下覆盖第一区域204的部分。在第二区域206裸露之后,可以通过植入制程将杂质510掺杂到材料层402中。在第二区域206中,杂质510也可能进入材料层402下方的心轴304的部分中,而硬罩幕210可作为覆盖层,以大致上阻挡杂质510进一步进入下面的磊晶半导体层208中。与此同时,光阻剂层502基本上阻挡杂质510进入第一区域204。因此,在第一区域204中,材料层402、材料层402下的心轴304的部分、硬罩幕210、以及下面的基板202,基本上不含杂质510。
在一实施例中,第二区域206中的材料层402被掺杂浓度大于2x1020cm-3(例如约2x1020cm-3至5x1021cm-3)的P型掺杂剂重掺杂。选择杂质浓度使得经掺杂的材料层402和未掺杂的材料层402具有高蚀刻选择性,从而允许通过合适的蚀刻剂去除未掺杂的材料层402,而没有蚀刻(或没有显著蚀刻)经掺杂的材料层402。例如,当蚀刻剂是基于氢氧化铵(NH4OH)溶液时,非晶硅(a-Si)和掺硼的非晶硅之间的蚀刻选择性随硼的浓度增加而增加。在具体的实例中,当非晶硅中的硼浓度为1×1020cm-3时,未掺杂的非晶硅和经掺杂的非晶硅之间的蚀刻选择性可以小于2.5:1;当硼达到约2×1020cm-3以上的浓度时,未掺杂的非晶硅和经掺杂的非晶硅之间的蚀刻选择性可能急剧增加到高于10:1;而当硼达到约3×1020cm-3或更高的浓度时,未掺杂的非晶硅和掺杂的非晶硅之间的蚀刻选择性可以进一步增加到高于25:1。继续参照图5,在所绘示的实施例中,材料层402是非晶硅,并且杂质510是浓度为约2×1021cm-3的硼。
在植入制程之后,去除光阻剂层502以暴露出第一区域204。可以用包括干式方法(例如干灰化或等离子灰化)和湿式方法(如溶剂剥离)的方法去除、清洁或剥离光阻剂层502。
参考图1A的方块110和图6,去除第一区域204中的未掺杂材料层402。未掺杂材料层402的去除可以是选择性蚀刻制程602,包括任何合适的蚀刻技术,例如湿式蚀刻、干式蚀刻和/或其它蚀刻方法。蚀刻剂经选择,使蚀刻剂蚀刻第一区域204中的未掺杂材料层402,而第二区域206中的经掺杂材料层402基本上保持不变。在所绘示的实施例中,选择性蚀刻制程602包括使用基于氢氧化铵(NH4OH)的溶液作为蚀刻剂的湿式蚀刻。在方块110之后,第二区域206中的心轴304较第一区域204中的心轴304具有较大的宽度,此宽度至少大于经掺杂的材料层402的额外厚度,当将心轴304中的图案转移到磊晶半导体层208以界定鳍片时,其将抵消横向损失的一部分。
参考图1A的方块112和图7,使用心轴304作为蚀刻罩幕来蚀刻硬罩幕210。蚀刻制程可以包括任何合适的蚀刻技术,例如湿式蚀刻、干式蚀刻、反应式离子蚀刻,灰化和/或其它蚀刻方法。在一些实施例中,蚀刻包括使用不同蚀刻化学物质的多次蚀刻步骤,每一蚀刻化学物质针对硬罩幕210的特定材料,并且各自被选择以分别防止蚀刻心轴304、上层216、以及中间层214。
在一实施例中,蚀刻制程是各向异性的,并且可以使用含氟气体(例如CF4、SF6、CH2F2、CHF3和/或C2F6)或含氯气体(例如Cl2、CHCl3、CCl4和/或BCl3)与氧和/或氮一起的气体混合物执行。可以通过蚀刻制程,替代地或另外地配置其它气体。在本实施例的优点中,气体混合物的流速为100至380sccm,电源功率为800至2100W,而压力为5至50mTorr。特别地,由于杂质510和氧和/或氮之间的化学反应,干式蚀刻制程产生一种或多种副产物。在干式蚀刻制程期间,杂质510从材料层402的表面释放。在所绘示的实施例中,杂质510是硼,副产物可能包括氧化硼、氮化硼和/或氮氧化硼。硼副产物在干式蚀刻制程期间同时生产,并且在第二区域206中的图案化硬罩幕210的侧壁上作为介电层702而沉积。作为实例,介电层702可以完全覆盖图案化硬罩幕210的侧壁。由于介电层702的存在,第二区域206中的硬罩幕210的横向蚀刻速率降低,造成侧壁的相对较大的锥形轮廓。因此,图案化硬罩幕210可能具有比顶部更宽的底部部分。在一实施例中,介电层702在图案化硬罩幕210的侧壁的底部处的厚度大于其在顶部部分的厚度。由于第二区域206中的材料层402的额外厚度和图案化硬罩幕210的侧壁的锥形轮廓,第二区域206中的图案化硬罩幕210的宽度(w2)大于第一区域204中的硬罩幕210的宽度(w1)。第二区域206中的图案化硬罩幕210的额外宽度,将于图案化磊晶半导体层208中的鳍片期间,抵消较大的横向损耗。在一些实施方式中,如图8所示,在心轴304中的图案已经在蚀刻制程中被转移到硬罩幕210之后,心轴304从区域204和206中被移除。
参考图1B图的方块116和图9,使用图案化硬罩幕210蚀刻第一区域204中的基板202和第二区域206中的磊晶半导体层208和基板202,以界定出鳍片902。鳍片902在基板202的两个区域204和206中向上延伸。蚀刻制程可以包括任何合适的蚀刻技术,例如湿式蚀刻、干式蚀刻、反应式离子蚀刻、灰化和/或其它蚀刻方法。在一些实施例中,蚀刻包括使用不同蚀刻化学物质的多次蚀刻步骤,每一蚀刻化学物质针对底下的特定材料层,并且被选择以防止蚀刻硬罩幕210(例如底层212)。例如,干式蚀刻制程可以施用含氧气体、含氟气体(例如CF4、SF6、CH2F2、CHF3和/或C2F6)、含氯气体(例如Cl2、CHCl3、CCl4和/或BCl3)、含溴气体(例如HBr和/或CHBR3)、含碘气体、其它合适的气体和/或等离子、以及其组合。例如,湿式蚀刻制程可以包括在稀释氢氟酸(DHF)、氢氧化钾(KOH)溶液、氨、含氢氟酸(HF)溶液、硝酸(HNO3)和/或乙酸(CH3COOH)中进行蚀刻,或在其它合适的湿式蚀刻剂中进行蚀刻。半导体层的剩余部分成为区域204和206中的鳍片902,界定在鳍片902之间的沟槽904。
在图案化鳍片902期间,磊晶半导体层208中的晶体半导体材料(例如SiGe),相较于基板202中的结晶半导体材料(例如Si),可能具有较高的蚀刻速率,并因此造成较高的横向损失。然而,在一些实施例中,由于在区域206中的图案化硬罩幕210比在区域204中的图案化硬罩幕210更宽,因此在执行方块116之后,第二区域206中的鳍片902可能仍然具有比第一区域204中的鳍片902更大的宽度。在随后的制程步骤中,例如鳍片清洁和浅沟槽隔离(STI)凹陷,第二区域206中的鳍片902的额外宽度将进一步抵消磊晶半导体层208的额外横向损耗。在一些替代实施例中,在执行方块116之后,两个区域204和206中的鳍片902具有基本上相同的宽度。
参考图1B的方块118和图10,介电质衬1002沉积在半导体装置200上,覆盖区域204和206中的鳍片902。在沉积介电质衬1002之前,方法100可以对鳍片902执行一个或多个表面处理制程。设计表面处理制程,使鳍片902的表面相对于介电材料(例如氮化硅)具有较高的表面结合亲和力。在一实施方案中,表面处理制程包括施用湿式化学品(例如清洁溶液)于鳍片902的表面。例如,湿式化学品可以包括稀释氢氟酸(DHF)。在一实施方案中,表面处理制程包括施用干式化学清洁制程(例如“Siconi”技术)于鳍片902的表面。在表面处理之后,介电质衬1002中的介电材料将更容易沉积于鳍片902的表面上。在一些实施例中,介电质衬1002包括氮化物层,氮化物层被配置以向鳍片902提供保护,例如避免被氧化。可以通过任何适合的技术,包括化学气相沉积、物理气相沉积、以及原子层沉积,形成介电质衬1002,以作为覆盖鳍片902的覆盖层。在所绘示的实施例中,介电质衬1002包括氮化硅,并且是通过诸如原子层沉积制程的保形沉积技术来进行沉积。
参考图1B的方块120和图11,以介电材料填充沟槽904,以形成隔离特征1102,例如浅沟槽隔离特征(STI)。用于隔离特征1102的合适介电材料包括氧化硅、氮化硅、碳化硅、氟硅酸盐玻璃(FSG)、低K介电材料和/或其它合适的介电材料。介电材料可以通过任何合适的技术沉积,包括化学气相沉积、高密度等离子化学气相沉积、物理气相沉积和/或旋涂技术。在一个此类实施例中,使用化学气相沉积制程来沉积可流动介电材料,此可流动介电材料包含液态或半液态的介电组分和溶剂两者。介电材料可能从沟槽904溢出并覆盖整个半导体装置200。使用固化制程去除溶剂,留下固态的隔离特征1102。
参考图1B的方块122和图12,进行诸如化学机械研磨制程的研磨操作,以去除硬罩幕210和隔离特征1102的多余部分,从而平坦化半导体装置200的顶表面。暴露了鳍片902的顶表面,例如第一区域204中的基板202的半导体材料和第二区域206中的磊晶半导体层208的半导体材料。
参考图1B的方块124和图13,方法100使隔离特征1102和介电质衬1002凹陷,以暴露鳍片的顶部。执行方块124的结果是,两个区域204和206中的鳍片902的顶部突出于隔离特征1102上方,而鳍片902的底部仍然被介电质衬1002和隔离特征1102所包围。在各实施例中,于第二区域206中的鳍片902内部,磊晶半导体层208与基板202之间的界面1310可以在隔离特征1102的上表面1320的上方或下方。在所绘示的实施例中,界面1310和顶表面1320基本上共面。取决于隔离特征1102和介电质衬1002的材料组成,可以通过在单个步骤中或在分散的蚀刻步骤中进行蚀刻,使隔离特征1102和介电质衬1002凹陷。可以使用任何合适的蚀刻技术来使隔离特征1102和介电质衬1002凹陷,包括干式蚀刻、湿式蚀刻、反应式离子蚀刻和/或其它蚀刻方法。可以调整各种蚀刻参数以用于选择性蚀刻,例如蚀刻剂组成、蚀刻温度、蚀刻溶液浓度、蚀刻时间、蚀刻压力、电源功率、射频偏压、射频偏功率、蚀刻剂流速、其他合适的蚀刻参数或其组合。在进行蚀刻制程以暴露鳍片902的顶部之后,第二区域206中的鳍片902的宽度w2'可能变得与第一区域204中的鳍片902的宽度w1'大致上相同。
参考图1B的方块126,方法100执行进一步的制程以完成鳍式场效晶体管(例如第一区域204中的鳍片902上的N型鳍式场效晶体管和第二区域206中的鳍片902上的P型鳍式场效晶体管)的制造。在一实施例中,方块126使用“先栅极”或“后栅极”制程,以在鳍902之上形成栅极结构。此外,方块126可以在源极/漏极区域中形成磊晶源极/漏极特征,并且可以形成层间介电(inter-layer dielectric,ILD)层于隔离结构1102、鳍片902、以及相应的栅极结构之上。进一步地,方块126可以形成诸如接触件、通孔、以及互连件的各种导电特征,以使鳍式场效晶体管连接到半导体装置200的其它部分,从而形成完整的集成电路。
尽管不是限制性的,本揭示内容的一个或多个实施例为半导体装置和其形成(包括鳍式场效晶体管)提供了许多益处。例如,不同半导体材料的N型场效晶体管鳍片和P型场效晶体管鳍片可以在图案化鳍片期间达到相同的鳍片宽度。改进的鳍片宽度精准地增强了装置短通道性能和扩大了制程窗口。此外,本揭示内容的方法可以容易地与现有的半导体制造制程结合。
在一例示性方面,本揭示内容涉及一种形成半导体装置的方法。方法包括提供具有基板和在基板之上的硬罩幕层的装置;形成心轴于硬罩幕层之上;沉积材料层于心轴的侧壁上;植入掺杂剂到材料层中;使用心轴和材料层共同作为蚀刻罩幕执行蚀刻制程于硬罩幕层上,从而形成图案化硬罩幕层,其中蚀刻制程同时产生沉积于图案化硬罩幕层的侧壁上的介电层,介电层含有掺杂剂;以及通过使用图案化硬罩幕层和介电层共同作为蚀刻罩幕蚀刻基板来形成鳍片。在一实施例中,基板包括第一半导体层和在第一半导体层之上的第二半导体层,第一和第二半导体层具有不同的材料组成;以及蚀刻基板包括蚀刻第二半导体层。在一实施例中,第一半导体层包括硅;以及第二半导体层包括硅锗。在一实施例中,执行蚀刻制程包括以气体执行干式蚀刻制程;以及介电层由掺杂剂和气体之间的化学反应产生。在一实施例中,在图案化硬罩幕层的侧壁的底部的介电层,相较于在图案化硬罩幕层的侧壁的顶部,具有较大的厚度。在一实施例中,植入掺杂剂包括植入硼。在一实施例中,在材料层中的硼的浓度达到从约2x1020cm-3至5x1021cm-3范围内。在一实施例中,介电层含有氧化硼、氮化硼或氮氧化硼中的至少一种。在一实施例中,方法进一步包括在形成鳍片之前,从图案化硬罩幕层去除心轴。
在另一例示性方面,本揭示内容涉及一种方法。方法包括提供基板;形成心轴于基板之上;形成掺杂材料层于心轴的侧壁和顶表面上;以及通过移转由心轴和掺杂材料层所共同定义的图案到基板中来图案化基板。在一实施例中,掺杂材料层含有硼。在一实施例中,基板包括磊晶半导体层和在磊晶半导体层之上的硬罩幕层。在一实施例中,图案化基板包括使用心轴和掺杂材料层作为蚀刻罩幕来蚀刻硬罩幕层,其中在蚀刻硬罩幕层期间产生介电材料,并沉积于经蚀刻的硬罩幕层的侧壁上;以及使用经蚀刻的硬罩幕层和介电材料作为蚀刻罩幕来蚀刻磊晶半导体层。在一实施例中,介电材料包含氧化硼、氮化硼或氮氧化硼中的至少一种。在一实施例中,在经蚀刻的硬罩幕层的侧壁的底部的介电材料,相较于在经蚀刻的硬罩幕层的侧壁的顶部,具有较大的厚度。
在另一例示性方面,本揭示内容涉及一种形成半导体装置的方法。方法包括提供装置,此装置具有在第一区域和第二区域中的半导体层、在第二区域中的半导体层之上的磊晶层、以及覆盖在第一区域中的半导体层和在第二区域中的磊晶层的硬罩幕层;形成第一心轴于第一区域中的硬罩幕层之上,以及形成第二心轴于第二区域中的硬罩幕层之上;沉积覆盖第一心轴和第二心轴的材料层;植入杂质于第二区域中的材料层中;去除第一区域中的材料层;使用第一心轴和第二心轴作为蚀刻罩幕来蚀刻硬罩幕层,造成第一区域中的第一经蚀刻的硬罩幕特征和第二区域中的第二经蚀刻的硬罩幕特征;以及使用第一经蚀刻的硬罩幕特征和第二经蚀刻的硬罩幕特征作为蚀刻罩幕来蚀刻半导体层和磊晶层,造成在第一区域中的第一鳍片和在第二区域中的第二鳍片。在一实施例中,方法进一步包括在植入杂质之前,沉积覆盖材料层的抗蚀剂层于第一区域中;并且在植入杂质之后,去除抗蚀剂层。在一实施例中,第二经蚀刻的硬罩幕特征的最底部较第一经蚀刻的硬罩幕特征的最底部宽。在一实施例中,植入杂质包括植入硼到第二区域中的材料层。在一实施例中,去除第一区域中的材料层包括施用湿式蚀刻剂于第一区域中的材料层和第二区域中的具有杂质的材料层,湿式蚀刻剂选择性地蚀刻第一区域中的材料层。
上文概述若干实施例的特征,使得熟悉此项技术者可更好地理解本揭露的态样。熟悉此项技术者应了解,可轻易使用本揭露作为设计或修改其它制程及结构的基础,以便实施本文所介绍的实施例的相同目的及/或实现相同优势。熟悉此项技术者亦应认识到,此类等效结构并未脱离本揭露的精神及范畴,且可在不脱离本揭露的精神及范畴的情况下产生本文的各种变化、替代及更改。

Claims (10)

1.一种形成半导体装置的方法,其特征在于,包括:
提供具有一基板和在该基板之上的一硬罩幕层的一装置;
形成一心轴于该硬罩幕层之上;
沉积一材料层于该心轴的多个侧壁上;
植入一掺杂剂到该材料层中;
使用该心轴和该材料层共同作为一蚀刻罩幕来执行一蚀刻制程于该硬罩幕层上,从而形成一图案化硬罩幕层,其中该蚀刻制程同时产生沉积于该图案化硬罩幕层的多个侧壁上的一介电层,该介电层含有该掺杂剂;以及
通过使用该图案化硬罩幕层和该介电层共同作为一蚀刻罩幕蚀刻该基板来形成一鳍片。
2.根据权利要求1所述的形成半导体装置的方法,其特征在于:
该基板包括一第一半导体层和在该第一半导体层之上的一第二半导体层,该第一半导体层和该第二半导体层具有不同的材料组成;以及
蚀刻该基板包括蚀刻该第二半导体层。
3.根据权利要求1所述的形成半导体装置的方法,其特征在于:
执行该蚀刻制程包括以一气体执行一干式蚀刻制程;以及
该介电层由该掺杂剂和该气体之间的一化学反应产生。
4.根据权利要求3所述的形成半导体装置的方法,其特征在于,在该图案化硬罩幕层的所述多个侧壁的一底部的该介电层,相较于在该图案化硬罩幕层的所述多个侧壁的一顶部,具有一较大的厚度。
5.根据权利要求1所述的形成半导体装置的方法,其特征在于,植入该掺杂剂包括植入硼,并且在该材料层中的硼的浓度为2x1020cm-3至5x1021cm-3
6.一种形成半导体装置的方法,其特征在于,包括:
提供一基板;
形成一心轴于该基板之上;
形成一掺杂材料层于该心轴的多个侧壁和一顶表面上;以及
通过移转由该心轴和该掺杂材料层所共同定义的一图案到该基板中来图案化该基板。
7.根据权利要求6所述的形成半导体装置的方法,其特征在于,该掺杂材料层含有硼。
8.根据权利要求6所述的形成半导体装置的方法,其特征在于,该基板包括一磊晶半导体层和在该磊晶半导体层之上的一硬罩幕层。
9.根据权利要求8所述的形成半导体装置的方法,其特征在于,图案化该基板包括:
使用该心轴和该掺杂材料层作为一蚀刻罩幕来蚀刻该硬罩幕层,其中在蚀刻该硬罩幕层期间产生一介电材料,并沉积于该经蚀刻的硬罩幕层的多个侧壁上;以及
使用该经蚀刻的硬罩幕层和该介电材料作为一蚀刻罩幕来蚀刻该磊晶半导体层。
10.一种形成半导体装置的方法,其特征在于,包括:
提供一装置,该装置具有在一第一区域和一第二区域中的一半导体层、在该第二区域中的该半导体层之上的一磊晶层、以及覆盖在该第一区域中的该半导体层和在该第二区域中的该磊晶层的一硬罩幕层;
形成一第一心轴于该第一区域中的该硬罩幕层之上,以及形成一第二心轴于该第二区域中的该硬罩幕层之上;
沉积覆盖该第一心轴和该第二心轴的一材料层;
植入一杂质于该第二区域中的该材料层中;
去除在该第一区域中的该材料层;
使用该第一心轴和该第二心轴作为一蚀刻罩幕来蚀刻该硬罩幕层,造成在该第一区域中的一第一经蚀刻的硬罩幕特征和在该第二区域中的一第二经蚀刻的硬罩幕特征;以及
使用该第一经蚀刻的硬罩幕特征和该第二经蚀刻的硬罩幕特征作为一蚀刻罩幕来蚀刻该半导体层和该磊晶层,造成在该第一区域中的一第一鳍片和在该第二区域中的一第二鳍片。
CN201711229436.8A 2017-08-29 2017-11-29 形成半导体装置的方法 Active CN109427683B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/689,334 US10395937B2 (en) 2017-08-29 2017-08-29 Fin patterning for semiconductor devices
US15/689,334 2017-08-29

Publications (2)

Publication Number Publication Date
CN109427683A true CN109427683A (zh) 2019-03-05
CN109427683B CN109427683B (zh) 2021-04-06

Family

ID=65320887

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711229436.8A Active CN109427683B (zh) 2017-08-29 2017-11-29 形成半导体装置的方法

Country Status (5)

Country Link
US (1) US10395937B2 (zh)
KR (1) KR102030084B1 (zh)
CN (1) CN109427683B (zh)
DE (1) DE102017127154B4 (zh)
TW (1) TWI658505B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112670272A (zh) * 2019-10-15 2021-04-16 新加坡商格罗方德半导体私人有限公司 半导体装置和制造半导体装置的方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114299B2 (en) * 2019-07-05 2021-09-07 Applied Materials, Inc. Techniques for reducing tip to tip shorting and critical dimension variation during nanoscale patterning
US11830744B1 (en) * 2022-05-31 2023-11-28 Nanya Technology Corporation Method of preparing active areas
EP4310900A1 (en) * 2022-07-22 2024-01-24 Imec VZW A method for controlling the width of nano-sized fin-shaped features on a semiconductor substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103489822A (zh) * 2012-06-11 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US20140248778A1 (en) * 2013-03-01 2014-09-04 Globalfoundries Inc. Methods of forming asymmetric spacers on various structures on integrated circuit products
US20160056269A1 (en) * 2014-08-22 2016-02-25 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US20160163555A1 (en) * 2014-12-05 2016-06-09 Globalfoundries Inc. Methods of forming features having differing pitch spacing and critical dimensions

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5312781A (en) 1991-11-12 1994-05-17 At&T Bell Laboratories Flash EEPROM fabrication process that uses a selective wet chemical etch
KR20060122578A (ko) * 2005-05-27 2006-11-30 주식회사 하이닉스반도체 반도체 메모리 소자의 하드 마스크 형성방법
US8105901B2 (en) * 2009-07-27 2012-01-31 International Business Machines Corporation Method for double pattern density
US8664679B2 (en) 2011-09-29 2014-03-04 Toshiba Techno Center Inc. Light emitting devices having light coupling layers with recessed electrodes
US8728332B2 (en) 2012-05-07 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of patterning small via pitch dimensions
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8987142B2 (en) 2013-01-09 2015-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning method and device formed by the method
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
KR102269055B1 (ko) 2014-07-16 2021-06-28 삼성전자주식회사 반도체 소자의 제조 방법
US9337051B2 (en) 2014-08-14 2016-05-10 Applied Materials, Inc. Method for critical dimension reduction using conformal carbon films
KR102341458B1 (ko) * 2015-04-15 2021-12-20 삼성전자주식회사 반도체 장치 제조 방법
US9515089B1 (en) 2015-05-14 2016-12-06 International Business Machines Corporation Bulk fin formation with vertical fin sidewall profile
US9601378B2 (en) * 2015-06-15 2017-03-21 International Business Machines Corporation Semiconductor fins for FinFET devices and sidewall image transfer (SIT) processes for manufacturing the same
US9934985B2 (en) 2015-11-30 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Critical dimension control for double patterning process
US9589958B1 (en) 2016-01-22 2017-03-07 International Business Machines Corporation Pitch scalable active area patterning structure and process for multi-channel finFET technologies
US9852917B2 (en) * 2016-03-22 2017-12-26 International Business Machines Corporation Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls
US9685440B1 (en) * 2016-06-29 2017-06-20 International Business Machines Corporation Forming fins utilizing alternating pattern of spacers
US9887135B1 (en) * 2017-04-28 2018-02-06 Globalfoundries Inc. Methods for providing variable feature widths in a self-aligned spacer-mask patterning process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103489822A (zh) * 2012-06-11 2014-01-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US20140248778A1 (en) * 2013-03-01 2014-09-04 Globalfoundries Inc. Methods of forming asymmetric spacers on various structures on integrated circuit products
US20160056269A1 (en) * 2014-08-22 2016-02-25 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US20160163555A1 (en) * 2014-12-05 2016-06-09 Globalfoundries Inc. Methods of forming features having differing pitch spacing and critical dimensions

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112670272A (zh) * 2019-10-15 2021-04-16 新加坡商格罗方德半导体私人有限公司 半导体装置和制造半导体装置的方法

Also Published As

Publication number Publication date
TWI658505B (zh) 2019-05-01
CN109427683B (zh) 2021-04-06
KR102030084B1 (ko) 2019-10-08
US10395937B2 (en) 2019-08-27
DE102017127154B4 (de) 2020-06-04
KR20190024531A (ko) 2019-03-08
DE102017127154A1 (de) 2019-02-28
TW201913752A (zh) 2019-04-01
US20190067020A1 (en) 2019-02-28

Similar Documents

Publication Publication Date Title
US9818878B2 (en) FETs and methods for forming the same
KR101653464B1 (ko) 기판 격리 및 도핑되지 않은 채널을 갖는 집적 회로 구조체 및 그 형성방법
US9536772B2 (en) Fin structure of semiconductor device
US9443769B2 (en) Wrap-around contact
KR101617498B1 (ko) 스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들
CN108231684A (zh) 用于鳍式场效应晶体管的源极和漏极形成技术
CN109427683A (zh) 形成半导体装置的方法
CN109427591B (zh) 半导体器件及其形成方法
TWI725557B (zh) 半導體裝置的製造方法
TWI633590B (zh) 半導體元件及其製造方法
US9882031B2 (en) Method of manufacturing a horizontal gate-all-around transistor having a fin
CN109427670A (zh) 周围包裹的外延结构和方法
TW202125708A (zh) 半導體裝置的製造方法
CN109585554B (zh) 半导体器件及其形成方法
US20230290689A1 (en) Dual crystal orientation for semiconductor devices
US20220262926A1 (en) Fin Field-Effect Transistor Device and Method
TWI787817B (zh) 半導體元件的製造方法
CN109962036B (zh) 半导体结构及其形成方法
US9748147B1 (en) Method of fabricating epitaxial layer
CN111816563A (zh) 半导体器件及其形成方法
CN113113360A (zh) 半导体器件及其形成方法
CN106847751A (zh) 鳍式场效应晶体管的形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant