KR101617498B1 - 스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들 - Google Patents

스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들 Download PDF

Info

Publication number
KR101617498B1
KR101617498B1 KR1020130096447A KR20130096447A KR101617498B1 KR 101617498 B1 KR101617498 B1 KR 101617498B1 KR 1020130096447 A KR1020130096447 A KR 1020130096447A KR 20130096447 A KR20130096447 A KR 20130096447A KR 101617498 B1 KR101617498 B1 KR 101617498B1
Authority
KR
South Korea
Prior art keywords
semiconductor
semiconductor region
region
buffer layer
strain buffer
Prior art date
Application number
KR1020130096447A
Other languages
English (en)
Other versions
KR20140128206A (ko
Inventor
유-리엔 후앙
퉁 잉 이
충-시엔 첸
치-웬 리우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140128206A publication Critical patent/KR20140128206A/ko
Application granted granted Critical
Publication of KR101617498B1 publication Critical patent/KR101617498B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

디바이스가 기판, 상기 기판 내로 연장하는 절연 영역, 및 상기 절연 영역들의 상단부 표면들 보다 더 높은 반도체 핀을 포함한다. 반도체 핀은 제1 격자 상수를 가진다. 반도체 영역은 반도체 핀의 대향면들 상의 측벽 부분들, 및 반도체 핀 위의 상단부 부분을 포함한다. 상기 반도체 영역은 상기 제1 격자 상수와 상이한 제2 격자 상수를 가진다. 스트레인 버퍼 층이 반도체 핀과 반도체 영역 사이에 위치되고 접촉된다. 스트레인 버퍼 층이 산화물을 포함한다.

Description

스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들{MOS DEVICES WITH STRAIN BUFFER LAYER AND METHODS OF FORMING THE SAME}
본 발명은 스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들에 대한 것이다.
지난 몇십 년간에 걸쳐서, 반도체 디바이스들(예를 들어, 금속-산화물-반도체(MOS) 디바이스)의 고유의 피쳐들(features) 및 크기들의 감소는 속도, 성능, 밀도, 및 집적 회로들의 단위 기능당 비용의 지속적인 개선을 가능하게 하였다.
MOS 디바이스의 성능을 향상시키기 위해서, 스트레스(stress; 응력)를 MOS 트랜지스터의 채널 영역 내로 도입함으로써 캐리어 이동성(mobility)을 개선할 수 있을 것이다. 일반적으로, 소스-대-드레인 방향으로 N-타입 금속-산화물-반도체(NMOS) 디바이스의 채널 영역 내로 인장 스트레스를 도입하는 것, 그리고 소스-대-드레인 방향으로 P-타입 금속-산화물-반도체(PMOS) 디바이스의 채널 영역 내로 압축 스트레스를 도입하는 것이 바람직하다.
MOS 디바이스의 채널 영역 내에서 스트레스를 생성하기 위한 통상적인 방법들에서, 제1 반도체 재료가 에피텍시를 통해서 제2 반도체 재료 상에서 성장된다. 그러한 제1 및 제2 반도체 재료들이 상이한 격자 상수들을 갖는다. 따라서, 제1 및 제2 반도체 재료들 모두에서 스트레스가 생성된다. 게이트 적층체(stack)가 제1 반도체 재료 위에 형성되어 MOS 디바이스를 형성한다. 제1 반도체 재료가 MOS 디바이스의 채널을 형성하고, 채널 영역 내의 캐리어 이동성이 개선된다. 그러나, 이러한 격자 불합치(mismatch)로 인해서, 제1 및 제2 반도체 재료 사이의 계면에서 결함들이 또한 발생되고, 그러한 결함들에는, 예를 들어, 격자 미스피트(misfit; 잘 맞지 않는 것) 결함들이 포함될 수 있을 것이다. 이는 높은 누설 전류를 초래할 수 있을 것이다.
디바이스가 기판, 상기 기판 내로 연장하는 절연 영역, 및 상기 절연 영역들의 상단부 표면들 보다 더 높은 반도체 핀을 포함한다. 반도체 핀은 제1 격자 상수를 가진다. 반도체 영역은 반도체 핀의 대향면들 상의 측벽 부분들, 및 반도체 핀 위의 상단부 부분을 포함한다. 상기 반도체 영역은 상기 제1 격자 상수와 상이한 제2 격자 상수를 가진다. 스트레인 버퍼 층이 반도체 핀과 반도체 영역 사이에 위치되고 접촉된다. 스트레인 버퍼 층이 산화물을 포함한다.
실시예들, 및 그 실시예들의 장점들의 보다 완전한 이해를 위해서, 이제 첨부 도면들과 관련하여 기술된 이하의 설명들을 참조한다.
도 1 내지 10b는 일부 예시적인 실시예들에 따른 반도체 핀들(fins) 및 핀 전계 효과 트랜지스터들(Fin Field-Effect Transistors (FinFETs)의 형성 중의 중간 스테이지들을 도시한 단면도들이다.
도 11은 다른 실시예들에 따른 평면형(planar) 트랜지스터를 도시한 단면도이다.
개시된 내용의 실시예들의 제조 및 이용을 이하에서 구체적으로 설명한다. 그러나, 그러한 실시예들은 매우 다양한 구체적인 문맥들로 구현될 수 있는 많은 적용가능한 개념들을 제공한다는 것을 이해하여야 할 것이다. 설명된 구체적인 실시예들은 설명을 위한 것이고, 개시 내용의 범위를 제한하지 않는다.
금속-산화물-반도체(MOS) 디바이스들(예를 들어, 핀 전계 효과 트랜지스터들(FinFETs)) 및 그 형성 방법들이 여러 가지 예시적인 실시예들에 따라서 제공된다. 일부 실시예들에 따른 FinFETs 형성의 중간 스테이지들이 설명된다. 실시예들의 변형예들이 설명된다. 여러 가지 도면들 및 설명을 위한 실시예들을 통해서, 유사한 참조 번호들은 유사한 구성요소들을 나타내기 위해서 사용된 것이다.
도 1을 참조하면, 반도체 웨이퍼(100)의 일부인 반도체 기판(20)이 제공된다. 일부 실시예들에서, 반도체 기판(20)은 결정질 실리콘을 포함한다. 탄소, 게르마늄, 갈륨, 보론, 비소, 질소, 인듐, 및/또는 인, 등과 같이 일반적으로 이용되는 다른 재료들이 또한 반도체 기판(20)에 포함될 수 있을 것이다. 반도체 기판(20)은 벌크형(bulk) 기판 또는 실리콘-온-인슐레이터(Semiconductor-On-Insulator (SOI)) 기판이 될 수 있을 것이다. 일부 예시적인 실시예들에서 반도체 기판(20)은 Si1 - zGez 를 포함하고, 여기에서 z 값은 SiGe 내의 게르마늄의 원자 백분율이고, 그리고 0으로부터(0을 포함한다) 1 범위의 임의의 값이 될 수 있을 것이다. z 값이 0일 때, 반도체 기판(20)은 결정질 실리콘 기판이 된다. z 값이 1일 때, 반도체 기판(20)은 결정질 게르마늄 기판이 된다.
패드(pad) 층(22) 및 마스크 층(24)이 반도체 기판(20) 상에 형성된다. 패드 층(22)은, 예를 들어, 열적 산화 프로세스를 이용하여 형성된 실리콘 산화물을 포함하는 얇은 필름이 될 수 있을 것이다. 패드 층(22)은 반도체 기판(20)과 마스크 층(24) 사이에서 접착 층으로서의 역할을 할 수 있을 것이다. 패드 층(22)은 또한 마스크 층(24)의 에칭에 대한 에칭 정지부(etch stop)로서 작용할 수 있을 것이다. 일부 실시예들에서, 마스크 층(24)은, 예를 들어, 저압 화학기상증착(LPCVD)을 이용하여, 실리콘 질화물로 형성된다. 다른 실시예들에서, 마스크 층(24)이 실리콘의 열적 질화, 또는 플라즈마 강화 화학기상증착(PECVD), 등을 이용하여 형성된다. 마스크 층(24)은 후속 포토그래피 프로세스들 중에 하드 마스크로서 이용된다. 포토 레지스트(26)가 마스크 층(24) 상에 형성되고 이어서 패터닝된다.
도 2를 참조하면, 마스크 층(24) 및 패드 층(22)이 포토 레지스트(26)를 통해서 에칭되어, 하부의 반도체 기판(20)을 노출시킨다. 이어서, 노출된 반도체 기판(20)이 에칭되어, 트렌치들(28)을 형성한다. 이웃하는 트렌치들(28) 사이의 반도체 기판(20)의 부분들이 반도체 스트립들(30)을 형성한다. 트렌치들(28)은 (웨이퍼(100)의 평면도에서 볼 때) 서로 평행한 스트립들을 포함할 수 있을 것이다. 반도체 기판(20)의 에칭 후에, 포토 레지스트(26)(도 1)가 제거된다. 다음에, 세정 단계를 수행하여 반도체 기판(20)의 자연(native) 산화물을 제거한다. 세정은, 예를 들어, 희석된 불산(HF)을 이용하여 수행될 수 있을 것이다.
다음에, 도 3에 도시된 바와 같이, 트렌치들(28)을 유전체 재료로 충진하여 쉘로우 트렌치 아이솔레이션(Shallow Trench Isolation (STI)) 영역(32)을 형성한다. 일부 실시예들에 따라서, STI 영역(32)의 형성은 라이너(liner) 산화물(34)을 형성하는 것, 그리고 이어서 트렌치들(28)의 나머지 부분들을 유전체 재료(36)로 충진하는 것을 포함하고, 상기 라이너 산화물(34) 및 유전체 재료(36)가 조합되어 STI 영역(32)을 형성한다. 라이너 산화물(34)은, 수평 부분들 및 수직 부분들이 서로 유사한(close) 두께들을 가지는 등각적인(conformal) 층이 될 수 있을 것이다. 예를 들어, 라이너 산화물(34)이 약 10 Å 내지 약 40 Å 두께를 가지는 열적 산화물(예를 들어, 이산화 실리콘)이 될 수 있을 것이다. 일부 실시예들에서, 라이너 산화물(34)은, 반도체 스트립들(30)을 산화시키기 위해서 이용되는 수소(H2) 및 산소(O2)의 조합된 가스 또는 수증기와 함께, 인-시츄 스트림 발생(In-Situ Steam Generation (ISSG))을 이용하여 형성된다. ISSG 산화가 상승된 온도에서 수행될 수 있을 것이다. 유전체 영역들(36)은, 예를 들어, 스핀-온 코팅(spin-on coating), 및 유동성 화학기상증착(Flowable Chemical Vapor Deposition (FCVD)), 등으로부터 선택된 방법을 이용하여 형성될 수 있을 것이다. 유전체 영역(36)은 고-유동성 재료들을 포함할 수 있을 것이다.
이어서, 어닐링 단계가 웨이퍼(100) 상에서 수행될 수 있을 것이다. 유전체 재료(36)가 어닐링의 결과로서 응고될 수 있을 것이다. 일부 실시예들에서, 어닐링 단계 전에 어떠한 재료가 유전체 영역들(36) 내에 포함되는지에 따라서, 그리고 또한, 어닐링 단계의 프로세스 조건들에 따라서, 어닐링 후에, 유전체 영역들(36)이 주로 실리콘 및 산소 원자들을 포함한다.
이어서, 도 3에 도시된 바와 같이, 화학적 기계적 폴리싱(CMP)과 같은 평탄화가 수행되고, 그에 따라 STI 영역(32)이 형성된다. STI 영역(32)은 라이너 산화물(34) 및 유전체 층(36)의 남은 부분들을 포함한다. 마스크 층(24)이 CMP 정지 층으로서 이용되고, 그에 따라 마스크 층(24)의 상단부 표면이 유전체 영역들(36)의 상단부 표면과 실질적으로 같은 높이가 된다.
도 4는 마스크 층(24) 및 패드 산화물 층(22)의 제거를 도시한다. 마스크 층(24)은, 실리콘 질화물로 형성된 경우에, 고온(hot) H3PO4 를 이용하는 습식 프로세스에 의해서 제거될 수 있을 것이다. 패드 산화물 층(22)은 희석된 HF를 이용하여 제거될 수 있을 것이다. 다음에, 도 5에 도시된 바와 같이, 반도체 스트립들(30)이 리세싱되어(recessed), 이웃하는 STI 영역들(32) 사이에 리세스들(40)을 형성한다. 일부 실시예들에서, 리세스들(40)의 하단부들이 STI 영역들(32)의 하단부 표면들 보다 더 높다. 대안적인 실시예들에서, 리세스들(40)의 하단부들이 STI 영역들(32)의 하단부 표면들과 실질적으로 같은 높이이거나 그보다 낮다. 일부 예시적인 실시예들에서, 예를 들어, NH4OH, 테트라-메틸 암모늄 수산화물(Tetra-Methyl Ammonium Hydroxide (TMAH)), 및 포타슘 수산화물(potassium hydroxide (KOH)) 용액, 등을 에칭제(etchant)로서 이용하는, 습식 에칭과 같은 등방성(isotropic) 에칭을 통해서 에칭이 수행된다. 일부 예시적인 실시예들에서, 유도 결합 플라즈마(Inductively Coupled Plasma (ICP)), 트랜스포머 커플드 플라즈마(Transformer Coupled Plasma (TCP)), 전자 사이클로트론 공진(Electron Cyclotron Resonance (ECR)), 및 반응성 이온 에칭(Reactive Ion Etch (RIE)), 등을 포함하는(그러나, 이러한 것으로 제한되는 것은 아니다) 건식 에칭 방법을 통해서 에칭이 수행된다. 프로세스 가스들은, 예를 들어, 불소-함유 가스들(예를 들어, CF4), 염소-함유 가스들(예를 들어 Cl2), 및/또는 HBr 등을 포함한다.
도 6을 참조하면, 반도체 영역들(42)이 에피텍시를 통해서 리세스(40)(도 5) 내에서 성장되고, 그리고 결과적인 반도체 영역들(42)이 결정질 영역들이 된다. 반도체 영역들(42)은 기판(20)의 격자 상수(및 조성)와 상이한 격자 상수(및 조성)을 가질 수 있을 것이다. 일부 실시예들에서, 반도체 영역들(42)은 Si1 - xGex 로서 표현되는 실리콘 게르마늄을 포함하고, 여기에서 X 값은 반도체 영역들(42) 내의 게르마늄의 원자 백분율이고, 그러한 예시적인 실시예들에서 원자 백분율은 약 0(0 퍼센트) 내지 1(100 퍼센트) 이 될 수 있을 것이다. 반도체 영역들(42)은 또한 순수 게르마늄(x가 1과 같을 때) 또는 실질적으로 순수한 게르마늄(예를 들어, x가 약 0.9 보다 클 때)을 포함할 수 있을 것이다. 또한, 반도체 영역들(42)은 순수 실리콘(x가 0과 같을 때) 또는 실질적으로 순수한 실리콘(예를 들어, x가 약 0.1 보다 작을 때)을 포함할 수 있을 것이다. 그러나, 이러한 실시예들에서, 도 5 및 6의 단계들이 생략될 수 있을 것이고, 그리고 반도체 영역들(42)이 원래의 기판(20)의 부분들이 된다. 반도체 영역들(42)은 완화된(relaxed; 스트레스 제거) 반도체 영역들이 될 수 있을 것이고, 그리고 적어도 반도체 영역들(42)의 상단부 부분들이 실질적으로 내부 스트레스를 가지지 않게 완화된다. 이는, 예를 들어, 반도체 영역들(42)의 두께(T1)를 충분히 두껍게 함으로써 달성될 수 있을 것이다. 반도체 영역들(42)의 상부 부분들 내의 스트레스가 하부 부분들 보다 점점 더 작아지기 때문에, 적절하게 두꺼운 두께(T1)에서, 반도체 영역들(42)의 상단부 부분들이 완화된다. 일부 예시적인 실시예들에서, 두께(T1)가 약 30 nm 보다 더 두껍다.
반도체 영역들(42)이 STI 영역들(32)의 상단부 표면들 보다 더 높은 레벨까지 성장될 수 있을 것이다. 이어서, CMP를 수행하여 STI 영역들(32) 및 반도체 영역들(42)의 상단부 표면을 같은 높이로 만든다(level). 결과적인 구조물이 도 6에 도시되어 있다. 대안적인 실시예들에서, 반도체 영역들(42)의 상단부 표면들이 STI 영역들(32)의 상단부 표면과 같은 높이가 되거나 그보다 낮을 때의 시점에서 반도체 영역들(42)의 성장이 중단된다. 이러한 실시예들에서, CMP가 수행될 수 있거나, 또는 생략될 수 있을 것이다.
도 7을 참조하면, 예를 들어, 에칭 단계를 통해서, STI 영역들(32)이 리세스되고, 여기에서 희석된 HF, 또는 SiCoNi(HF 및 NH3 를 포함), 등을 에칭제로서 이용할 수 있을 것이다. 남은 STI 영역들(32)의 상단부 표면들(32A)이 반도체 영역들(42)과 반도체 스트립들(30) 사이의 계면들(33) 보다 더 높을 수 있을 것이다. 상단부 표면들(32A) 보다 더 높은 반도체 영역들(42)의 부분들이 여기에서 반도체 핀들(44)로서 지칭된다.
도 8은, 반도체 핀들(44)의 노출된 상단부 표면들 측벽들 상에서 에피텍시적으로 성장된 반도체 영역들(46)의 형성을 도시한다. 따라서, 반도체 영역들(46)은 결정질 반도체 영역들이다. 반도체 영역들(46)은 실질적으로 등각적인 층들이 될 수 있을 것이고, 반도체 핀들(44)의 상단부 표면들 상의 부분들이 반도체 핀들(44)의 측벽들 상의 부분들의 두께(T2B)에 근접한 두께(T2A)를 가진다. 일부 실시예들에서, 두께들(T2A 및 T2B)의 각각이 약 1 nm 내지 약 30 nm이다.
일부 실시예들에서, 반도체 영역들(46)은 Si1 - yGey 를 포함하고, 여기에서 Y 값은 반도체 영역들(46) 내의 실리콘의 원자 백분율이다. Y 값은 0으로부터(0을 포함한다) 1 사이의 임의의 값이 될 수 있을 것이다. Y 값이 0과 같을 수 있을 것이며, 이는 반도체 영역들(46)이 실리콘을 포함하지 않는 순수한 게르마늄 영역들이 된다는 것을 의미한다. Y 값이 또한 0과 같아질 수 있을 것이고, 이는 반도체 영역들(46)이 게르마늄을 포함하지 않는 순수 실리콘 영역들이 된다는 것을 의미한다.
일부 실시예들에 따라서, 반도체 영역들(42 및 46)의 재료가 서로 상이하고, 그리고 반도체 영역들(42 및 46)의 격자 상수들이 서로 상이하며, 그에 따라 스트레스들이 반도체 영역들(42 및 46) 내에서 생성된다. 반도체 영역들(42 및 46)과 인접한 영역들 사이의 계면(48)(또한, 계면 영역들(48)로서 지칭된다)에서, 격자 불합치로 인해서 스트레스들이 또한 생성된다. X 값 및 Y 값 사이의 차이는 또한 약 0.3 보다 클 수 있을 것이다. 여러 가지 실시예들에 따라서, X 값이 Y 값 보다 크거나 작을 수 있을 것이다.
핀들(44) 상에 형성된 FinFETs이 N-타입 FinFET인 일부 실시예들에서, Y 값은 X 값 보다 작고, 그에 따라 인장 응력이 결과적인 N-타입 FinFET의 채널 내에서 생성된다. 따라서, 반도체 영역들(46)의 격자 상수가 반도체 핀들(44)의 격자 상수 보다 작다. 예를 들어, 반도체 영역들(46)이 순수 실리콘 영역들, 실질적으로 순수한 실리콘(예를 들어, Y < 0.1) 영역들, 또는 SiGe 영역들이 될 수 있을 것이고, 그리고 반도체 핀들(44)이 SiGe 영역들 또는 순수한 또는 실질적으로 순수한(X > 0.9) 게르마늄 영역들이 될 수 있을 것이다.
핀들(44) 상에 형성된 FinFETs이 P-타입 FinFET인 일부 실시예들에서, Y 값은 X 값 보다 크고, 그에 따라 압축 응력이 결과적인 P-타입 FinFET의 채널 내에서 생성된다. 따라서, 반도체 영역들(46)의 격자 상수가 반도체 핀들(44)의 격자 상수 보다 크다. 예를 들어, 반도체 핀들(44)이 SiGe 영역들 또는 순수한 실리콘 또는 실질적으로 순수한 실리콘(예를 들어, X < 0.1) 영역들이 될 수 있을 것이고, 그리고 반도체 영역들(46)이 SiGe 영역들 또는 순수한 또는 실질적으로 순수한(Y > 0.9) 게르마늄 영역들이 될 수 있을 것이다.
도 9a는 스트레인 버퍼 층(50)을 형성하기 위한 산화 프로세스를 도시한다. 산화는 산소-함유 분위기 내에 웨이퍼(100)를 노출시킴으로써 수행된다. 일부 실시예들에서, 산화는 프로세스 가스와 같은 산소-함유 가스(예를 들어, O2)를 이용하는 플라즈마 산화를 포함한다. 플라즈마 산화는, 에칭제 가스를 이용하는 대신에 산소-함유 가스가 이용되고 그에 따라 에칭 대신에 플라즈마 산화가 수행된다는 것을 제외하고, 건식 에칭에서 이용되는 생산 도구를 이용하여 수행될 수 있을 것이다. 이용가능한 도구들에는 유도 결합 플라즈마(Inductively Coupled Plasma (ICP))를 위한 도구들, 트랜스포머 커플드 플라즈마(Transformer Coupled Plasma (TCP))를 위한 도구들, 전자 사이클로트론 공진(Electron Cyclotron Resonance (ECR))을 위한 도구들, 및 반응성 이온 에칭(Reactive Ion Etch (RIE))을 위한 도구들, 등이 포함된다(그러나, 이러한 것으로 제한되는 것은 아니다). 예시적인 플라즈마 산화 프로세스에서, 플라즈마 산화를 위한 챔버 내에서, O2 가 약 5 mTorr 내지 약 20 mTorr의 압력을 가지고, 그리고 O2 의 유량은 약 50 sccm 내지 약 400 sccm이 될 수 있을 것이다. RF 전력이 약 400 watts 내지 약 800 watts가 될 수 있을 것이고, 그리고 DC 바이어스가 약 0V 내지 약 60V가 될 수 있을 것이다.
대안적인 실시예들에서, 플라즈마 산화가 하류(downstream) 플라즈마를 이용하여 수행된다. 예시적인 플라즈마 산화 프로세스에서, 하류 플라즈마를 위한 챔버 내에서, O2 가 약 500 mTorr 내지 약 2,000 mTorr의 압력을 가지고, 그리고 O2 의 유량이 약 1,000 sccm 내지 약 4,000 sccm 사이가 된다. 프로세스 가스가 포밍 가스(forming gas)를 더 포함할 수 있을 것이고, 그러한 포밍 가스는 수소(H2) 및 질소(N2)를 포함하고, H2 는 포밍 가스 내에서 약 2 퍼센트 내지 약 10 퍼센트의 유량 백분율을 가진다. RF 전력이 약 1,000 watts 내지 약 3,000 watts가 될 수 있고, 그리고 DC 바이어스가 약 0V가 될 수 있을 것이다.
또 다른 실시예들에서, 산화 프로세스가 고온 어닐링을 이용하여 수행된다. 일부 실시예들에 따라서, 고온 어닐링이 스파이크(spike) 어닐링을 포함하고, 그러한 스파이크 어닐링은 산소-함유 분위기(예를 들어, O2 함유) 내에서 수행된다. 어닐링 온도가 약 800℃ 내지 약 1,300℃일 수 있다. 어닐링 시간은 약 1초 내지 약 10초가 될 수 있을 것이다.
대안적인 실시예들에 따라서, 고온 어닐링은 웨이퍼(100)를 산소-함유 분위기(예를 들어, O2 함유) 내에서 소킹(soaking)하는 것에 의한 소크 어닐링 프로세스를 포함한다. 어닐링 온도가 약 800℃ 내지 약 1,200℃가 될 수 있을 것이다. 어닐링 시간이 약 30초 초과가 될 수 있을 것이다.
또 다른 실시예들에 따라서, 고온 어닐링은 웨이퍼(100)를 산소-함유 분위기(예를 들어, O2 함유) 내에서 노출시키는 것에 의한 퍼니스(furnace) 어닐링을 포함한다. 어닐링 온도가 약 450℃ 내지 약 1,200℃가 될 수 있을 것이다. 어닐링 시간이 약 1 시간 또는 그 초과가 될 수 있을 것이다.
산화의 결과로서, 스트레인 버퍼 층들(50)이 계면(48)에서 생성되고(도 8), 그리고 반도체 영역들(42 및 46)의 근처 부분들 내로 연장된다. 한편, 반도체 핀들(44)의 내측 부분들 및 본원 발명 영역들(46)의 외측 부분들이 산화되지 않고, 산화 후에도 잔류한다. 일부 실시예들에 따라서, 반도체 영역들(46)의 외측 부분을 산화시키는 대신에, 반도체 영역들(42 및 46) 사이에 스트레인 버퍼 층(50)을 형성하고 산화물 영역들을 내측 방향을 따라서 연장시키기 위해서, 산화 프로세스 조건들 및 반도체 영역들(42 및 46) 내의 스트레인이 조정된다. 큰 스트레인은, 반도체 영역들(46)의 외측 표면 층들로부터 산화가 시작되는 대신에, 계면(48)으로부터 산화가 시작되도록 도울 수 있다는 것을 발견하였다. 따라서, 적절하게 큰 스트레인이 계면(48)(도 8)에서 발생될 필요가 있고, 영역들(42 및 46) 사이의 스트레스 차이는 약 500 MPa 보다 클 수 있을 것이다. 추가적으로, 산소가 계면(48)(도 8)을 통해서 침투하여 계면 영역들(48)을 먼저 산화시키도록, 프로세스 조건들이 또한 제어된다. 최적 프로세스 조건들은 스트레인 레벨, 반도체 영역들(42 및 46)의 조성들, 및 산화 방법을 포함하는 여러 가지 인자들과 관련된다. 최적의 프로세스 조건들이 실험들을 통해서 발견될 수 있을 것이다.
도 9a는 예시적인 결과적 구조물을 도시한다. 일부 실시예들에서, 스트레인 버퍼 층들(50)이 계면(48)(도 8)을 따라서 연장하고, 그리고 반도체 핀들(44)의 상단부 표면 및 대향 측벽들 상에 형성된다. 스트레인 버퍼 층들(50)은 반도체 핀들(44)을 상부에 놓이는 반도체 영역들(46)로부터 전기적으로 그리고 물리적으로 완전히 분리할 수 있을 것이다. 일부 실시예들에서, 스트레인 버퍼 층들(50)이 실리콘 산화물, 게르마늄 산화물, 또는 이들의 조합들을 포함한다. 산화의 결과로서, 노치들(notches)(52)이 반도체 핀들(44) 내로 연장하도록 형성될 수 있을 것이며, 그러한 노치들(52)은 STI 영역들(32)의 상단부 표면(32A)과 실질적으로 같은 높이가 된다. 스트레인 버퍼 층들(50)은 핀들(44)의 중심들을 향하는 것 보다 상당히 더 멀리 계면들(48)을 따라서 연장한다. 예를 들어, 스트레인 버퍼 층들(50)의 측벽 부분들이 길이(La)를 가진다고 가정하면, 스트레인 버퍼 층들의 상단부 부분들이 길이(Lb)를 가지고, 그에 따라 (2La + Lb) 값은 노치들(52)의 깊이(Lc) 보다 더 크다. 스트레인 버퍼 층들(50)의 두께(T3)가 약 1 nm 내지 약 30 nm가 될 수 있을 것이다. 일부 실시예들에서, 반도체 영역들(46)의 나머지 부분들의 두께(T4)가 약 1 nm 내지 약 30 nm 가 될 수 있을 것이다.
도 9b 및 9c는, 반도체 영역들(42 및 46)이 스트레인 버퍼 층(50)에 의해서 서로로부터 부분적으로 분리되는 대안적인 실시예들을 도시한다. 도 9b는 대안적인 실시예들에 따른 웨이퍼(100)의 단면도를 도시한다. 이러한 실시예들에서, 스트레인 버퍼 층들(50)은, 반도체 영역들(46)이 STI 영역들(32)의 상단부 표면들(32A)과 접촉하는 곳으로부터 연장되고, 그리고 위쪽으로 연장된다. 그에 따라, 스트레인 버퍼 층들(50)이 계면들(48)을 따라서 생성되고, 각각의 반도체 핀들(44)의 하단부 부분들의 대향면들 상에서 형성된다. 스트레인 버퍼 층들(50)이 반도체 핀들(44) 위에 형성되고, 그리고 스트레인 버퍼 층들(50)은 반도체 핀들(44)의 상단부 부분들의 대향면들 상에는 형성되지 않는다. 일부 실시예들에서, 스트레인 버퍼 층들(50)의 측벽 부분들의 각각의 길이(La)가 반도체 핀들(44)의 높이(H1)의 약 25 퍼센트 보다 길고, 50 퍼센트 보다 길고, 또는 약 75 퍼센트 보다 길다.
도 9c는 또 다른 실시예들에 따른 웨이퍼(100)의 단면도를 도시한다. 이러한 실시예들에서, 스트레인 버퍼 층들(50)은 반도체 핀들(44)의 대향면들 상에 형성되고, 그리고 반도체 핀들(44)의 측벽 표면들 전체를 반도체 영역들(46)의 측벽 부분들로부터 분리한다. 스트레인 버퍼 층 부분들(50B)은 또한 반도체 핀들(44)의 부분들 위에 놓여져 연장되고, 중첩된다. 그러나, 반도체 핀들(44) 중 하나의 동일한 반도체 핀 위의 스트레인 버퍼 층들(50)의 부분들(50B)이 산화되지 않은 계면 영역들(48)에 의해서 분리된다.
도 9a, 9b, 및 9c에 도시된 구조물을 이용하여, 도 10a 및 10b에 도시된 바와 같은 FinFET(60)을 형성할 수 있을 것이다. 도 10을 참조하면, 게이트 유전체(62) 및 게이트 유전체(62)가 형성된다. 게이트 유전체(62)는 실리콘 산화물, 실리콘 질화물, 산질화물, 이들의 복수-층들, 및/또는 이들의 조합들과 같은 유전체 재료로 형성될 수 있을 것이다. 또한, 게이트 유전체(62)가 하이 k 유전체 재료들로 형성될 수 있을 것이다. 예시적인 하이 k 재료들이 약 4.0 초과, 또는 약 7.0 초과의 k 값들을 가질 수 있을 것이다. 게이트 전극(64)이 도핑된 폴리실리콘, 금속들, 금속 질화물들, 및 금속 실리사이드들, 등으로 형성될 수 있을 것이다. 게이트 유전체(62) 및 게이트 유전체(62)의 형성 후에, 소스 및 드레인 영역들(66)이 형성된다.
도 10a에 도시된 바와 같이, 채널 영역(65)이 반도체 영역들(46) 내에 형성되고 그리고 반도체 영역들(46)(도 10b)의 측벽 부분들 및 상단부 부분들을 포함할 수 있을 것이다. 채널 영역(65)은 스트레인 버퍼 층들(50)에 의해서 전체적으로 또는 부분적으로 하부의 반도체 핀들(44)로부터 분리될 수 있을 것이다. 도 10b는 FinFET(60)의 단면도를 도시하고, 상기 단면도는 도 10a의 교차 평면(10B-10B)으로부터 획득된다. 도 10b는, 게이트 유전체(62) 및 게이트 전극(64)이 반도체 핀들(44)의 각각의 대향면들 상의 측벽 부분들 및 반도체 핀들(44)의 상단부 표면과 중첩되는 상단부 부분들을 포함한다는 것을 보여준다.
도 10a 및 10은, FinFET(60)이 게이트-라스트 접근방식(gate-last approach)을 이용하여 형성되는 실시예들을 도시한다. 대안적인 실시예들에서, FinFET(60)은 게이트-퍼스트 접근 방식을 이용하여 형성될 수 있을 것이다. 결과적인 FinFET(60)은, 게이트 유전체(62)가 각각의 게이트 전극(64)의 측벽들 상에서 연장하지 않을 것이라는 점을 제외하고, 도 10a 및 10b에 도시된 것과 유사한 구조를 가진다.
비록 FinFET의 형성을 예로 들어 본원 개시 내용의 개념을 설명하였지만, 그러한 개념은 또한 도 11에 도시된 바와 같은 평면형 MOS 트랜지스터를 형성하기 위해서도 이용될 수 있을 것이다. 그러한 실시예들에서, 도 8에서, 평면형 반도체 층(46)이 반도체 층(42)의 상단부 표면 상에 형성되고, 상기 반도체 층(46) 및 반도체 층(42) 각각은 (동일한 방법들을 이용함으로써) 반도체 영역들(46 및 42)과 본질적으로 동일한 재료들로 형성된다. 도 9a, 9b, 및 9c에 도시된 것과 본질적으로 동일한 프로세스를 이용하여 산화 프로세스가 수행되고, 그리고 평면형 스트레인 버퍼 층(50)이 반도체 층들(42 및 46) 사이에 형성될 것이다. 일부 실시예들에서, 반도체 영역들(42 및 46)이 스트레인 버퍼 층(50)에 의해서 서로로부터 완전히 분리된다. 대안적인 실시예들에서, 산화 후에, 점선 영역(68) 내의 반도체 영역들(46 및 42)의 부분들이 산화되지 않고, 서로 접촉된다.
본원 개시 내용의 실시예들에 따라서, 불합치되는 격자 상수들을 가지는 반도체 영역들의 계면 영역들(도 8)이 산화되어 스트레인 버퍼 층들을 형성하며, 상기 계면 영역들은 격자 미스피트 결함들과 같은 결함들이 되는 것이 더 쉽다. 따라서, 그러한 결함들이 산화 중에 제거된다. 또한, 산화 영역들은 채널 영역들을 하부의 반도체 층들로부터 완전히 분리할 수 있을 것이다. 따라서, 누설 전류들이 감소된다.
일부 실시예들에 따라서, 디바이스가 기판, 상기 기판 내로 연장하는 절연 영역, 및 상기 절연 영역들의 상단부 표면들 보다 더 높은 반도체 핀을 포함한다. 반도체 핀은 제1 격자 상수를 가진다. 반도체 영역은 반도체 핀의 대향면들 상의 측벽 부분들, 및 반도체 핀 위의 상단부 부분을 포함한다. 반도체 영역은 상기 제1 격자 상수와 상이한 제2 격자 상수를 가진다. 스트레인 버퍼 층이 반도체 핀과 반도체 영역 사이에 위치되고 접촉된다. 스트레인 버퍼 층이 산화물을 포함한다.
다른 실시예들에 따라서, 디바이스는 제1 격자 상수를 가지는 제1 반도체 영역 및 상기 제1 반도체 영역 위의 제2 반도체 영역을 포함한다. 제2 반도체 영역은 제1 격자 상수와 상이한 제2 격자 상수를 가진다. 스트레인 버퍼 층은 제1 반도체 영역과 제2 반도체 영역 사이에 위치되고 접촉되며, 상기 스트레인 버퍼 층은 제1 반도체 영역의 산화물 및 제2 반도체 영역의 산화물을 포함한다. 게이트 유전체가 제2 반도체 영역의 위에 놓인다. 게이트 전극이 제1 반도체 영역 위에 놓인다.
또 다른 실시예들에 따라서, 방법은 제2 반도체 영역 상에서 제1 반도체 영역을 성장시키기 위해서 에피텍시를 수행하는 단계를 포함하고, 상기 제1 반도체 영역은 상기 제2 반도체 영역의 제2 격자 상수와 상이한 제1 격자 상수를 가진다. 그러한 방법은 제1 반도체 영역과 제2 반도체 영역 사이의 계면 영역 내에서 산화물을 형성하기 위한 산화 프로세스를 수행하는 단계를 더 포함한다. 산화 프로세스에서, 제1 반도체 영역과 제2 반도체 영역 사이의 계면 영역 내의 제1 반도체 영역과 제2 반도체 영역의 부분들이 산화되어 산화물 영역을 형성한다. 제1 반도체 영역의 부분이 산화 프로세스 이후에 잔류되고, 그리고 산화물 영역에 의해서 제2 반도체 영역으로부터 분리된다.
비록 실시예들 및 그들의 장점들이 구체적으로 설명되었지만, 첨부된 청구항들에 의해서 규정된 바와 같은 실시예들의 사상 및 범위로부터 벗어나지 않고도, 여러 가지 변화들, 치환들, 및 변경들이 본원에서 이루어질 수 있다는 것을 이해하여야 할 것이다. 또한, 본원의 범위는 명세서에 기술된 프로세스, 기계, 제조, 물질의 조성, 수단, 방법들 및 단계들의 특별한 실시예들로 제한되지 않을 것이다. 본원의 개시 내용으로부터, 본원에서 개시된 상응하는 실시예들과 실질적으로 동일한 결과를 달성하는 또는 실질적으로 동일한 기능을 수행하는, 기존의 또는 추후에 개발되는 프로세스, 기계들, 제조, 물질의 조성, 수단, 방법들, 또는 단계들이 본원 개시 내용에 따라서 이용될 수 있을 것임을 당업자는 용이하게 이해할 수 있을 것이다. 따라서, 첨부된 청구항들은 그러한 프로세스, 기계들, 제조, 물질의 조성, 수단, 방법들, 또는 단계들을 그 청구항들의 범위 내에 포함하도록 의도된 것이다. 또한, 각각의 청구항은 독립된 실시예를 구성하고, 그리고 여러 청구항들 및 실시예들의 조합이 본원 개시 내용의 범위 내에 포함된다.

Claims (10)

  1. 디바이스에 있어서,
    기판;
    상기 기판 내로 연장하는 절연 영역들;
    상기 절연 영역들의 상부 표면들 보다 높은 반도체 핀 - 상기 반도체 핀은 제1 격자 상수를 가짐 -;
    상기 제1 격자 상수와 상이한 제2 격자 상수를 가지는 반도체 영역으로서, 상기 반도체 영역은,
    측벽 부분들; 및
    상부 부분을 포함하고, 상기 반도체 영역의 측벽 부분들은 상기 반도체 핀의 대향면들 상에 형성되며, 상기 반도체 영역의 상부 부분은 상기 반도체 핀 위에 형성되는 것인, 상기 반도체 영역;
    상기 반도체 핀과 상기 반도체 영역 사이에 있고 상기 반도체 핀 및 상기 반도체 영역과 접촉하는 스트레인 버퍼 층 - 상기 스트레인 버퍼 층은 산화물을 포함함 - ;
    측벽 부분들 및 상부 부분을 포함하는 게이트 유전체로서, 상기 게이트 유전체의 측벽 부분들은 상기 반도체 영역의 대향면들 상에 형성되고, 상기 게이트 유전체의 상부 부분은 상기 반도체 영역 위에 형성되는 것인, 상기 게이트 유전체; 및
    상기 게이트 유전체 위의 게이트 전극
    을 포함하는, 디바이스.
  2. 제1항에 있어서,
    상기 스트레인 버퍼 층은 상기 반도체 영역의 측벽 부분들을 상기 반도체 핀의 측벽들로부터 분리시키는 부분들을 포함하는 것인, 디바이스.
  3. 제2항에 있어서,
    상기 스트레인 버퍼 층은 상기 반도체 영역의 상기 측벽 부분들을 상기 반도체 핀의 측벽들로부터 완전히 분리시키는 것인, 디바이스.
  4. 제1항에 있어서,
    상기 스트레인 버퍼 층은 측벽 부분들 및 상부 부분을 포함하고, 상기 스트레인 버퍼 층의 측벽 부분들은 상기 반도체 핀의 대향면들 상에 형성되며, 상기 스트레인 버퍼 층의 상부 부분은 상기 반도체 핀 위에 놓이도록 형성되고, 상기 스트레인 버퍼 층은 상기 반도체 영역을 상기 반도체 핀으로부터 완전히 분리시키는 것인, 디바이스.
  5. 제1항에 있어서,
    상기 제1 격자 상수는 상기 제2 격자 상수 보다 크거나 작은 것인, 디바이스.
  6. 제1항에 있어서,
    상기 반도체 영역은 핀 전계 효과 트랜지스터(Fin Field-Effect Transistor; FinFET)의 채널 영역을 형성하는 것인, 디바이스.
  7. 디바이스에 있어서,
    제1 격자 상수를 가지는 제1 반도체 영역;
    상기 제1 반도체 영역 위의 제2 반도체 영역 - 상기 제2 반도체 영역은 상기 제1 격자 상수와 상이한 제2 격자 상수를 가짐 - ;
    상기 제1 반도체 영역과 상기 제2 반도체 영역 사이에 있고 상기 제1 반도체 영역 및 상기 제2 반도체 영역과 접촉하는 스트레인 버퍼 층 - 상기 스트레인 버퍼 층은 상기 제1 반도체 영역의 산화물 및 상기 제2 반도체 영역의 산화물을 포함함 - ;
    상기 제2 반도체 영역 위에 놓이는 게이트 유전체; 및
    상기 제1 반도체 영역 위에 놓이는 게이트 전극
    을 포함하는, 디바이스.
  8. 제7항에 있어서,
    상기 스트레인 버퍼 층, 상기 게이트 유전체 및 상기 게이트 전극은 핀 전계 효과 트랜지스터(Fin Field-Effect Transistor; FinFET)를 형성하고, 상기 스트레인 버퍼 층은 상기 FinFET의 채널을 형성하는 것인, 디바이스.
  9. 제7항에 있어서, 상기 스트레인 버퍼 층, 상기 게이트 유전체 및 상기 게이트 전극은 평면형 트랜지스터를 형성하는 것인, 디바이스.
  10. 디바이스 제조 방법에 있어서,
    제2 반도체 영역 상에서 제1 반도체 영역 - 상기 제1 반도체 영역은 상기 제2 반도체 영역의 제2 격자 상수와 상이한 제1 격자 상수를 가짐 - 을 성장시키도록 에피텍시를 수행하는 단계;
    상기 제1 반도체 영역과 상기 제2 반도체 영역 사이의 경계 영역 내에서 산화물을 형성하도록 산화 프로세스를 수행하는 단계;
    상기 제1 반도체 영역의 잔존하는 부분들 위에 게이트 유전체를 형성하는 단계; 및
    상기 게이트 유전체 위에 게이트 전극을 형성하는 단계
    를 포함하고,
    상기 산화 프로세스에서, 상기 제1 반도체 영역과 상기 제2 반도체 영역 사이의 경계 영역 내의 상기 제1 반도체 영역의 부분들 및 상기 제2 반도체 영역의 부분들은 산화되어 산화물 영역을 형성하고, 상기 제1 반도체 영역의 부분은 잔존하며 상기 산화물 영역에 의해 상기 제2 반도체 영역으로부터 분리되는 것인, 디바이스 제조 방법.
KR1020130096447A 2013-04-26 2013-08-14 스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들 KR101617498B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/871,739 US8796666B1 (en) 2013-04-26 2013-04-26 MOS devices with strain buffer layer and methods of forming the same
US13/871,739 2013-04-26

Publications (2)

Publication Number Publication Date
KR20140128206A KR20140128206A (ko) 2014-11-05
KR101617498B1 true KR101617498B1 (ko) 2016-05-02

Family

ID=51229032

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130096447A KR101617498B1 (ko) 2013-04-26 2013-08-14 스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들

Country Status (3)

Country Link
US (1) US8796666B1 (ko)
KR (1) KR101617498B1 (ko)
CN (1) CN104124273B (ko)

Families Citing this family (533)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130137238A1 (en) * 2011-11-30 2013-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high mobility channels in iii-v family channel devices
US9362386B2 (en) 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US9093531B2 (en) * 2013-06-11 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9553012B2 (en) 2013-09-13 2017-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and the manufacturing method thereof
US9373706B2 (en) * 2014-01-24 2016-06-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a semiconductor material on a fin, and related semiconductor devices
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9818744B2 (en) 2014-09-04 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Leakage current suppression methods and related structures
US9391201B2 (en) 2014-11-25 2016-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure and manufacturing the same
US9349652B1 (en) 2014-12-12 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device with different threshold voltages
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9768301B2 (en) 2014-12-23 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US10134871B2 (en) 2014-12-23 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of high-K dielectric oxide by wet chemical treatment
US10141310B2 (en) 2014-12-23 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Short channel effect suppression
US9515071B2 (en) 2014-12-24 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric source/drain depths
US9647090B2 (en) 2014-12-30 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation for germanium-based semiconductor structure
US9425250B2 (en) 2014-12-30 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor with wurtzite channel
US9601626B2 (en) 2015-01-23 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structure with two channel layers and manufacturing method thereof
US9443729B1 (en) 2015-03-31 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming FinFET devices
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9680014B2 (en) 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof
US9570557B2 (en) 2015-04-29 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tilt implantation for STI formation in FinFET structures
US9773786B2 (en) 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US9461110B1 (en) 2015-04-30 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
US10269968B2 (en) 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9647071B2 (en) 2015-06-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. FINFET structures and methods of forming the same
US9449975B1 (en) 2015-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9472620B1 (en) 2015-09-04 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9680017B2 (en) 2015-09-16 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin FET and manufacturing method thereof
US10121858B2 (en) 2015-10-30 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Elongated semiconductor structure planarization
US9960273B2 (en) 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9564317B1 (en) 2015-12-02 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a nanowire
US9716146B2 (en) 2015-12-15 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9660033B1 (en) 2016-01-13 2017-05-23 Taiwan Semiconductor Manufactuing Company, Ltd. Multi-gate device and method of fabrication thereof
US9876098B2 (en) 2016-01-15 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a gate spacer
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US10453925B2 (en) 2016-01-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth methods and structures thereof
US9768244B1 (en) 2016-03-17 2017-09-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device
US10340383B2 (en) 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
US10164061B2 (en) 2016-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating non-volatile memory device array
US10734522B2 (en) 2016-06-15 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stacks
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US9620628B1 (en) 2016-07-07 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming contact feature
US10269938B2 (en) 2016-07-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a doped passivation layer
US10217741B2 (en) 2016-08-03 2019-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure and method of forming same through two-step etching processes
US9853150B1 (en) * 2016-08-15 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
US10079233B2 (en) 2016-09-28 2018-09-18 International Business Machines Corporation Semiconductor device and method of forming the semiconductor device
US10840350B2 (en) 2016-10-31 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Nanolaminate structure, semiconductor device and method of forming nanolaminate structure
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US11152362B2 (en) 2016-11-10 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US9847334B1 (en) 2016-11-18 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with channel layer
US10879240B2 (en) 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US10134870B2 (en) 2016-11-28 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method of manufacturing the same
US10062782B2 (en) 2016-11-29 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with multilayered channel structure
US10671786B2 (en) 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10466586B2 (en) 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
US10170367B2 (en) 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10520821B2 (en) 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process with enhanced etch selectivity
US10164066B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US11112698B2 (en) 2016-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist with gradient composition for improved uniformity
US10707328B2 (en) 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET
US9953875B1 (en) 2016-11-30 2018-04-24 Taiwan Semiconductor Manufacturing Company Contact resistance control in epitaxial structures of finFET
US11011634B2 (en) 2016-11-30 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated source/drain region structure in finFET device
US10345695B2 (en) 2016-11-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet alignment marks
US10310380B2 (en) 2016-12-07 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-brightness light source
US10649339B2 (en) 2016-12-13 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Resist material and method for forming semiconductor structure using resist layer
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10274818B2 (en) 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with sub-resolution assistant patterns and off-axis illumination
US10002796B1 (en) 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial growth process for semiconductor device
US10517179B2 (en) * 2016-12-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10520813B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist with high-efficiency electron transfer
US10281827B2 (en) 2016-12-15 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Noise reduction for overlay control
US10115585B2 (en) 2016-12-15 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Hardmask composition and methods thereof
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
US9899273B1 (en) 2016-12-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with dopants diffuse protection and method for forming the same
US10134902B2 (en) 2016-12-15 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. PMOS FinFET
US10115592B2 (en) 2016-12-15 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process with silicon mask layer
US10162277B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system with debris trapper on exhaust line
US10672619B2 (en) 2016-12-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10527928B2 (en) 2016-12-20 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using pattern classification for target placement
US10079178B1 (en) 2017-03-17 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd Formation method of semiconductor device structure using multilayer resist layer
US10083832B1 (en) 2017-03-24 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Under layer composition and method of manufacturing semiconductor device
US10459332B2 (en) 2017-03-28 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blank and fabrication method thereof, and method of fabricating photomask
US10497604B2 (en) 2017-03-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Photomask transportation stage in semiconductor fabrication and method for using the same
US10274817B2 (en) 2017-03-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mask and photolithography system
US10312108B2 (en) 2017-04-06 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using modified resist layer
US10163731B2 (en) 2017-04-12 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET semiconductor structure having hybrid substrate and method of fabricating the same
US10031412B1 (en) 2017-04-19 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle assembly in photolithography process and method for using the same
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US10429729B2 (en) 2017-04-28 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation modification methods and systems
US10340141B2 (en) 2017-04-28 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor device and structures resulting therefrom
US10506698B2 (en) 2017-04-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV source generation method and related system
US10741410B2 (en) 2017-04-28 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US10524345B2 (en) 2017-04-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Residual gain monitoring and reduction for EUV drive laser
US10535520B2 (en) 2017-04-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin patterning methods for increased process margins
US10394123B2 (en) 2017-05-17 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking layer material composition and methods thereof in semiconductor manufacturing
US11022886B2 (en) 2017-05-17 2021-06-01 Taiwan Semiconductor Manufacturing Co,, Ltd. Bottom-up material formation for planarization
US10050149B1 (en) 2017-05-18 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure for semiconductor device
US10672610B2 (en) 2017-05-19 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Grafting design for pattern post-treatment in semiconductor manufacturing
US10163648B2 (en) 2017-05-19 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor device fabrication having application of material with cross-linkable component
US10520820B2 (en) 2017-05-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative tone developer for extreme ultraviolet lithography
US10527941B2 (en) 2017-05-30 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photoresist and method
US10636910B2 (en) 2017-05-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method of forming the same
US10908494B2 (en) 2017-05-31 2021-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask and manufacturing method thereof
US10163628B1 (en) 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Lattice-mismatched semiconductor substrates with defect reduction
TW201904069A (zh) * 2017-06-08 2019-01-16 力祥半導體股份有限公司 功率電晶體裝置
US10627728B2 (en) 2017-06-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for creating vacuum in load lock chamber
US9991262B1 (en) 2017-06-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device on hybrid substrate and method of manufacturing the same
US10032640B1 (en) 2017-06-20 2018-07-24 Taiwan Semiconductor Manufacturing Co., Inc. Formation of semiconductor structure with a photoresist cross link and de-cross link process
US10685950B2 (en) 2017-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Photomask design for generating plasmonic effect
US10663633B2 (en) 2017-06-29 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Aperture design and methods thereof
US10520822B2 (en) 2017-06-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography techniques for reducing resist swelling
US10481483B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and method
US11014103B2 (en) 2017-07-26 2021-05-25 Taiwan Semiconductor Manufacturing Company Ltd. Substrate processing apparatus and substrate processing method
US10141430B1 (en) 2017-07-27 2018-11-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures with uniform threshold voltage distribution and method of making the same
US10955746B2 (en) 2017-07-28 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography method with reduced impacts of mask defects
DE102017126395B4 (de) 2017-07-31 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Masken für Mehrmasken-Mehrfachbelichtungs-Lithografie
US10620530B2 (en) 2017-07-31 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-mask multiple-exposure lithography and masks
US10429314B2 (en) 2017-07-31 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. EUV vessel inspection method and related system
US11003082B2 (en) 2017-08-01 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10515952B2 (en) 2017-08-04 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10833152B2 (en) 2017-08-15 2020-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10073347B1 (en) 2017-08-24 2018-09-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor method of protecting wafer from bevel contamination
US10691023B2 (en) 2017-08-24 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing lithography process with post treatment
US10504898B2 (en) 2017-08-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor structure and method for forming the same
US10861698B2 (en) 2017-08-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement
US10803227B2 (en) 2017-08-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with line-end extensions
US10395937B2 (en) 2017-08-29 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd Fin patterning for semiconductor devices
US10361134B2 (en) 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for lithographic process and lithographic system
US10276445B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
US10522680B2 (en) 2017-08-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet semiconductor device structure with capped source drain structures
US10861705B2 (en) 2017-08-31 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of line wiggling
US10276718B2 (en) 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a relaxation prevention anchor
US10497577B2 (en) 2017-08-31 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US10204867B1 (en) 2017-08-31 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor metrology target and manufacturing method thereof
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10475700B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Etching to reduce line wiggling
DE102017128070B4 (de) 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
US10573519B2 (en) 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US10777452B2 (en) 2017-09-14 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure having top and bottom vias with a barrier layer therebetween and a dielectric spacer at the bottom via
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography
US11222783B2 (en) 2017-09-19 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Using cumulative heat amount data to qualify hot plate used for postexposure baking
US11009796B2 (en) 2017-09-22 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10990013B2 (en) 2017-09-22 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10520829B2 (en) 2017-09-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using underlying layer information
US11004679B2 (en) 2017-09-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for supplying chemical liquid in semiconductor fabrication
US10468275B2 (en) 2017-09-27 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fabrication with electrochemical apparatus
US10283639B2 (en) 2017-09-28 2019-05-07 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US10495987B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10824083B2 (en) 2017-09-28 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Light source, EUV lithography system, and method for generating EUV radiation
US10763114B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating gate oxide of semiconductor device
US10495967B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
US10451979B2 (en) 2017-09-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for EUV lithography and method of measuring focus
US10969690B2 (en) 2017-09-29 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet control system for adjusting droplet illumination parameters
US10804367B2 (en) 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
US10962873B2 (en) 2017-09-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US10741391B2 (en) 2017-10-25 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure by patterning resist layer having inorganic material
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10535737B2 (en) 2017-10-27 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10522418B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and method for manufacturing the same
US11444173B2 (en) 2017-10-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with salicide layer and method for forming the same
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10461037B2 (en) 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
US10276693B1 (en) 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10629497B2 (en) 2017-11-02 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device structure and method for enlarging gap-fill window
US11003084B2 (en) 2017-11-03 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10712651B2 (en) 2017-11-08 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for collecting information used in image-error compensation
US11086211B2 (en) 2017-11-08 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Masks and methods of forming the same
US10331035B2 (en) 2017-11-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Light source for lithography exposure process
US11106127B2 (en) 2017-11-08 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of pellicle-mask structure with vent structure
US10962881B2 (en) 2017-11-13 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for lithography in semiconductor fabrication
US10883820B2 (en) 2017-11-13 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for metrology
US11300878B2 (en) 2017-11-13 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
US10342109B2 (en) 2017-11-14 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US10816892B2 (en) 2017-11-14 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing photo masks
US11029602B2 (en) 2017-11-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US10678142B2 (en) 2017-11-14 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction and photomasks
DE102018125109B4 (de) 2017-11-14 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Optische Nahbereichskorrektur
US10996553B2 (en) 2017-11-14 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced wafer neighboring effect and method of manufacturing the same
US10707318B2 (en) 2017-11-15 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10671052B2 (en) 2017-11-15 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Synchronized parallel tile computation for large area lithography simulation
US10680106B2 (en) 2017-11-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain epitaxial stacks
US11086215B2 (en) 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US10770354B2 (en) 2017-11-15 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming integrated circuit with low-k sidewall spacers for gate stacks
US11617255B2 (en) 2017-11-15 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Droplet generator and method of servicing extreme ultraviolet imaging tool
US11013097B2 (en) 2017-11-15 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10747128B2 (en) 2017-11-15 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Exposure method and exposure apparatus
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10714371B2 (en) 2017-11-16 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for lithography in semiconductor fabrication
US10477663B2 (en) 2017-11-16 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Light source for lithography exposure process
US10488766B2 (en) 2017-11-16 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system having invisible pellicle over mask
US10684545B2 (en) 2017-11-17 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure by patterning assist layer having polymer
US10866515B2 (en) 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process using photoresist material with photosensitive functional group
US10269648B1 (en) 2017-11-17 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a semiconductor device structure
US10867859B2 (en) 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having isolation structures with liners
US10338475B2 (en) 2017-11-20 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Light source for lithography exposure process
US10802394B2 (en) 2017-11-21 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for discharging static charges on reticle
US11588293B2 (en) 2017-11-21 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and systems for aligning master oscillator power amplifier systems
US10165664B1 (en) 2017-11-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for decontaminating windows of an EUV source module
US10636667B2 (en) 2017-11-21 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor devices and structures thereof
US10656539B2 (en) 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source for lithography process
US11075079B2 (en) 2017-11-21 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Directional deposition for semiconductor fabrication
US10340190B2 (en) 2017-11-24 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10345716B2 (en) 2017-11-24 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Metrology method in reticle transportation
US10274844B1 (en) 2017-11-24 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography apparatus and method for protecting a reticle
US10276449B1 (en) 2017-11-24 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FinFET) device structure
US10361279B2 (en) 2017-11-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing FinFET structure with doped region
US10962888B2 (en) 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
US10838296B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Mask optimization process
US10642158B2 (en) 2017-11-29 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning
US10529552B2 (en) 2017-11-29 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device and a coating material
US10504899B2 (en) 2017-11-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with various threshold voltages and method for manufacturing the same
US10868116B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Circuit structure and method for reducing electronic noises
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10658225B2 (en) 2018-01-19 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices and methods of forming the same
US10698317B2 (en) 2018-02-23 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Underlayer material for photoresist
US10468409B2 (en) 2018-03-14 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with oxidation-resist STI liner structure
US11056392B2 (en) 2018-03-29 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having gate stacks with protruding parts and method of forming the same
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10381481B1 (en) 2018-04-27 2019-08-13 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer photoresist
US10631392B2 (en) 2018-04-30 2020-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV collector contamination prevention
US11143951B2 (en) 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10866508B2 (en) 2018-05-18 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing photomask and semiconductor manufacturing method thereof
US10509311B1 (en) 2018-05-29 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for generating an electromagnetic radiation
US10845698B2 (en) 2018-05-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Mask, method of forming the same and method of manufacturing a semiconductor device using the same
US11600713B2 (en) 2018-05-30 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10510553B1 (en) 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US10529414B2 (en) 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell having SiGe PMOS fin lines
US10504775B1 (en) 2018-05-31 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming metal layer structures in semiconductor devices
US11127592B2 (en) 2018-05-31 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive groups in resist layer
US11016398B2 (en) 2018-06-14 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit overlay test patterns and method thereof
US10276554B1 (en) 2018-06-14 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated standard cell structure
US11287740B2 (en) 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US10665697B2 (en) 2018-06-15 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10867839B2 (en) 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
US10755970B2 (en) 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structures
US11016386B2 (en) 2018-06-15 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US10522662B1 (en) 2018-06-22 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with T-shaped fin and method for forming the same
US11143954B2 (en) 2018-06-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Mask patterns and method of manufacture
US10849214B2 (en) 2018-06-26 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of operating semiconductor apparatus and semiconductor apparatus
US11209728B2 (en) 2018-06-27 2021-12-28 Taiwan Semiconductor Manufacturing Company Ltd. Mask and method for fabricating the same
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10859928B2 (en) 2018-06-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EUV light source and apparatus for lithography
US10955752B2 (en) * 2018-06-28 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source apparatus for lithography
US11442364B2 (en) 2018-06-28 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Materials and methods for forming resist bottom layer
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US11355339B2 (en) * 2018-06-29 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing layers as oxidation blocking layers
US10930794B2 (en) 2018-06-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned spacers for multi-gate devices and method of fabrication thereof
US10719020B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Droplet generator and method of servicing extreme ultraviolet radiation source apparatus
US11249384B2 (en) 2018-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
US10998310B2 (en) 2018-07-09 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Fins with wide base in a FINFET
US10520833B1 (en) 2018-07-13 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system
US11205700B2 (en) 2018-07-16 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap spacer and related methods
US11276695B2 (en) 2018-07-16 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11271111B2 (en) 2018-07-26 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure with barrier in FinFET device and method for forming the same
US10687410B2 (en) 2018-07-27 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet radiation source and cleaning method thereof
US10512147B1 (en) 2018-07-27 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet radiation source and droplet catcher thereof
US10802405B2 (en) 2018-07-27 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source for lithography exposure process
US10867872B2 (en) 2018-07-27 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for manufacturing semiconductor structure
US10535667B1 (en) 2018-07-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array and semiconductor chip
US10672879B2 (en) 2018-07-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition
US11062898B2 (en) 2018-07-30 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Particle removal apparatus, particle removal system and particle removal method
US10663871B2 (en) 2018-07-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle stage and method for using the same
US10852191B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Light source system and polarization angle adjusting method
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US11036129B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method for forming the same
US10678148B2 (en) 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system and lithography method
US10925142B2 (en) 2018-07-31 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source for lithography exposure process
US10880982B2 (en) 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Light generation system using metal-nonmetal compound as precursor and related light generation method
US11158727B2 (en) 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for gate-all-around device with extended channel
US11049775B2 (en) 2018-07-31 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having FinFET with work function layers and method of manufacturing the same
US11211293B2 (en) 2018-07-31 2021-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and methods of forming the same
US11069692B2 (en) 2018-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with dielectric fins
US10679995B2 (en) * 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11158644B2 (en) 2018-07-31 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with field effect transistors of differing gate dielectric thickness on the same substrate and method of manufacturing the same
US10838304B2 (en) 2018-08-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Priming material for organometallic resist
US10768527B2 (en) 2018-08-13 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Resist solvents for photolithography applications
US11055464B2 (en) 2018-08-14 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Critical dimension uniformity
US10741558B2 (en) 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
US11106138B2 (en) 2018-08-14 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process and material for negative tone development
US10775700B2 (en) 2018-08-14 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system and method for exposing wafer
US11099478B2 (en) 2018-08-14 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Photomask having recessed region
US11137675B2 (en) 2018-08-14 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask and method for forming the same
US11550233B2 (en) 2018-08-14 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system and operation method thereof
US11037837B2 (en) 2018-08-15 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain and methods of forming same
US10877190B2 (en) 2018-08-17 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet radiation source
US11444174B2 (en) 2018-08-17 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with Fin end spacer dummy gate and method of manufacturing the same
US10871719B2 (en) 2018-08-17 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metal droplet catchers
US10797174B2 (en) 2018-08-17 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fin end spacer dummy gate and method of manufacturing the same
US11153959B2 (en) 2018-08-17 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US10802406B2 (en) 2018-08-17 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US10613444B2 (en) 2018-08-28 2020-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
US11307489B2 (en) 2018-08-30 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. EUV photomask and manufacturing method of the same
US11022885B2 (en) 2018-08-31 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive middle layer
US11262659B2 (en) 2018-08-31 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning extreme ultraviolet lithography collector
US11215924B2 (en) 2018-08-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist, developer, and method of forming photoresist pattern
US11212903B2 (en) 2018-08-31 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US10978301B2 (en) 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching
US11016383B2 (en) 2018-08-31 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
US10867108B2 (en) 2018-09-18 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical mode optimization for wafer inspection
US10714395B2 (en) 2018-09-18 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin isolation structure for FinFET and method of forming the same
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11226562B2 (en) 2018-09-20 2022-01-18 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10866505B2 (en) 2018-09-21 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask process correction
US10859915B2 (en) 2018-09-21 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Adhesion layer for multi-layer photoresist
US11437385B2 (en) 2018-09-24 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM cells with reduced fin pitch
US10809613B2 (en) 2018-09-25 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
US11217585B2 (en) 2018-09-25 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Forming dielectric dummy fins with different heights in different regions of a semiconductor device
US10867107B2 (en) 2018-09-25 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing photomask and semiconductor thereof
US11056393B2 (en) 2018-09-27 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for FinFET fabrication and structure thereof
US11450571B2 (en) 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11349008B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US10923565B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact air gap formation
US10804162B2 (en) 2018-09-27 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual channel gate all around transistor device and fabrication methods thereof
US10880980B2 (en) 2018-09-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. EUV light source and apparatus for EUV lithography
US10962892B2 (en) 2018-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process monitoring method
DE102019124781A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US10871721B2 (en) 2018-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blank for lithography and method of manufacturing the same
DE102019110706A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken
US10747119B2 (en) 2018-09-28 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for monitoring reflectivity of the collector for extreme ultraviolet radiation source
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
DE102019117897B4 (de) 2018-09-28 2024-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung zur logik- und speicher-co-optimierung sowie schaltung
US11119398B2 (en) 2018-09-28 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks
US11088262B2 (en) 2018-09-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Radical etching in gate formation
DE102019009170B3 (de) 2018-09-28 2023-06-22 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum durchführen eines lithografieprozesses und lithografieprozess-überwachungsverfahren
US11289583B2 (en) 2018-09-28 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. High aspect ratio gate structure formation
US11029324B2 (en) 2018-09-28 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Particle image velocimetry of extreme ultraviolet lithography systems
US10877378B2 (en) 2018-09-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Vessel for extreme ultraviolet radiation source
US11106126B2 (en) 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
US10763863B2 (en) 2018-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device for logic and memory co-optimization
US11094597B2 (en) 2018-09-28 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with fin structures
US11222958B2 (en) 2018-09-28 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor with external ferroelectric structure
US10962885B2 (en) 2018-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet (EUV) polarization splitter
US10790184B2 (en) 2018-09-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation with multi-step structure for FinFET device and method of forming the same
US11121036B2 (en) 2018-10-16 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11340525B2 (en) 2018-10-18 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle and method of manufacturing same
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
US11107904B2 (en) 2018-10-23 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer formation in multi-gate transistors
US11380682B2 (en) 2018-10-23 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with FinFET gate structures
US10691020B2 (en) 2018-10-25 2020-06-23 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for dispensing liquid material and method for fabricating semiconductor device
US11243461B2 (en) 2018-10-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Reflective mask and fabricating method thereof
US11133222B2 (en) 2018-10-26 2021-09-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US11244827B2 (en) 2018-10-26 2022-02-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing method and apparatus thereof
US10847426B2 (en) 2018-10-28 2020-11-24 Taiwan Semicondutor Manufacturing Company, Ltd. FinFET devices and methods of forming the same
US11452197B2 (en) 2018-10-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Shock wave visualization for extreme ultraviolet plasma optimization
US10916550B2 (en) 2018-10-30 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Memory devices with gate all around transistors
US11545370B2 (en) 2018-10-30 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pattern and manufacturing method of package
US11307500B2 (en) 2018-10-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package
US10811255B2 (en) 2018-10-30 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices
US11215929B2 (en) 2018-10-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US10867793B2 (en) 2018-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of fabricating the same
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US11605538B2 (en) 2018-10-31 2023-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Protective composition and method of forming photoresist pattern
US11153957B2 (en) 2018-10-31 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for generating an electromagnetic radiation
US11556058B2 (en) 2018-10-31 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Proximity effect correction in electron beam lithography
US11217484B2 (en) 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and related methods
US10527926B1 (en) 2018-10-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Pressurized tin collection bucket with in-line draining mechanism
US11079681B2 (en) 2018-11-21 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography method for positive tone development
US11257673B2 (en) 2018-11-26 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Dual spacer metal patterning
US11031291B2 (en) 2018-11-28 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
US11092899B2 (en) 2018-11-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mask data synthesis with wafer target adjustment
US11166361B2 (en) 2018-11-30 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for measuring contamination in EUV source
US10670970B1 (en) 2019-01-25 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system and method thereof
US11061318B2 (en) 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
US10811270B2 (en) 2019-03-15 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra narrow trench patterning using plasma etching
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
US10791616B1 (en) 2019-03-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus
US10867794B2 (en) 2019-03-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor devices and structures resulting therefrom
US11340524B2 (en) 2019-04-01 2022-05-24 Taiwan Semiconductor Manufacturing Company Ltd. Photomask, method of fabricating a photomask, and method of fabricating a semiconductor structure using a photomask
US11239339B2 (en) 2019-04-29 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11088255B2 (en) 2019-05-17 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US11467488B2 (en) 2019-06-14 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
US10971402B2 (en) 2019-06-17 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including interface layer and method of fabricating thereof
US11728344B2 (en) 2019-06-28 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid SRAM design with nano-structures
US11106140B2 (en) 2019-07-16 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
US11137672B2 (en) 2019-07-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask and method for forming the same
US10942459B2 (en) 2019-07-29 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography system and cleaning method thereof
US11067906B2 (en) 2019-07-29 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Droplet catcher system of EUV lithography apparatus and EUV lithography apparatus maintenance method
US11086237B2 (en) 2019-07-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography system
US11215918B2 (en) 2019-07-30 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
US11289376B2 (en) 2019-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming self-aligned interconnect structures
US11454881B2 (en) 2019-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle design for mask application
US11172566B2 (en) 2019-07-31 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Droplet generator, EUV lithography device and method of generating a series of droplets using a droplet generator
US11367616B2 (en) 2019-07-31 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning material layer
US11411112B2 (en) 2019-07-31 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure, method of forming the same, and semiconductor device having the same
US11067898B2 (en) 2019-07-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gamma ray generator, gamma ray lithography system and method of performing gamma ray lithography
US11651961B2 (en) 2019-08-02 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning process of a semiconductor structure with enhanced adhesion
US11032897B2 (en) 2019-08-22 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Refill and replacement method for droplet generator
US11245029B2 (en) 2019-08-22 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11163235B2 (en) 2019-08-22 2021-11-02 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus for forming a photoresist layer, method for forming a masking layer, and method for forming a photoresist layer
US11079671B2 (en) * 2019-08-23 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Fabricating method of photomask, photomask structure thereof, and semiconductor manufacturing method using the same
US11515199B2 (en) 2019-08-26 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures including standard cells and tap cells
US11133386B2 (en) 2019-08-27 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer fin structure
US11681221B2 (en) 2019-08-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photoresist with low-activation-energy ligands or high-developer-solubility ligands
US11315925B2 (en) 2019-08-28 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Uniform gate width for nanostructure devices
US11754928B2 (en) 2019-08-29 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography exposure method with debris removing mechanism
US11308254B2 (en) 2019-08-30 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for reducing layout distortion due to exposure non-uniformity
US11282942B2 (en) 2019-08-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with uniform threshold voltage distribution and method of forming the same
US11448956B2 (en) 2019-09-05 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV mask
US11545573B2 (en) 2019-09-10 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid nanostructure and fin structure device
US11342231B2 (en) 2019-09-17 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device with low threshold voltage
US11177344B2 (en) 2019-09-25 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device with air gap spacer and fabrication methods thereof
US11437372B2 (en) 2019-09-26 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structures
US11469238B2 (en) 2019-09-26 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Non-interleaving N-well and P-well pickup region design for IC devices
US11282748B2 (en) 2019-09-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11322409B2 (en) 2019-09-26 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices and method of fabricating the same
US11482610B2 (en) 2019-09-26 2022-10-25 Taiwan Semiconductor Manufacturing Co. Method of forming a gate structure
US11621224B2 (en) 2019-09-26 2023-04-04 Taiwan Semiconductor Manufacturing Co. Ltd. Contact features and methods of fabricating the same in semiconductor devices
US11099477B2 (en) 2019-09-27 2021-08-24 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method of repairing photomask
US11443980B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with metal pad extending into top metal layer
US11690209B2 (en) 2019-09-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-based well straps for improving memory macro performance
US11031292B2 (en) 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11347143B2 (en) 2019-09-30 2022-05-31 Taiwan Semiconductor Manufacturing Company Ltd. Cleaning method, method for forming semiconductor structure and system thereof
US11374104B2 (en) 2019-09-30 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing capacitance in field-effect transistors
US10937704B1 (en) 2019-10-01 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mixed workfunction metal for nanosheet device
US10866519B1 (en) 2019-10-01 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Reticle-masking structure, extreme ultraviolet apparatus, and method of forming the same
US11145650B2 (en) 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate cut dielectric feature and method of forming the same
US10969697B1 (en) 2019-10-18 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Overlay metrology tool and methods of performing overlay measurements
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11676821B2 (en) 2019-10-29 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning
DE102020123934A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selbstausgerichtete doppelstrukturierung
US11543753B2 (en) 2019-10-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Tunable illuminator for lithography systems
US11550220B2 (en) 2019-10-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Negative tone photoresist for EUV lithography
US11314169B2 (en) 2019-10-30 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Robust, high transmission pellicle for extreme ultraviolet lithography systems
US11694896B2 (en) 2019-10-31 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist developer and method of developing photoresist
US11934101B2 (en) 2019-11-27 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming photoresist pattern
US11574846B2 (en) 2019-12-15 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate formation of semiconductor devices
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11411033B2 (en) 2019-12-20 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor device and manufacturing method thereof
US11664213B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Bevel edge removal methods, tools, and systems
US11075195B2 (en) 2019-12-26 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated hybrid standard cell structure with gate-all-around device
US11531262B2 (en) 2019-12-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blanks and methods for depositing layers on mask blank
US11150559B2 (en) 2019-12-30 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Laser interference fringe control for higher EUV light source and EUV throughput
US11380548B2 (en) 2019-12-30 2022-07-05 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing semiconductor structure through multi-implantation to fin structures
US11294292B2 (en) 2019-12-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Particle removing assembly and method of cleaning mask for lithography
US11768431B2 (en) 2019-12-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fast surface particle and scratch detection for EUV mask backside
US11302692B2 (en) 2020-01-16 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices having gate dielectric layers of varying thicknesses and methods of forming the same
US11244899B2 (en) 2020-01-17 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Butted contacts and methods of fabricating the same in semiconductor devices
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US11563110B2 (en) 2020-01-30 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11392036B2 (en) 2020-01-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist and method
US11610822B2 (en) 2020-01-31 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structures for tuning threshold voltage
US11295056B2 (en) 2020-01-31 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Vertex-based OPC for opening patterning
US11297710B2 (en) 2020-02-11 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system with heated tin vane bucket having a heated cover
US11791336B2 (en) 2020-02-19 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Bent fin devices
US11502005B2 (en) 2020-02-19 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11264282B2 (en) 2020-02-25 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate formation process
US11703765B2 (en) 2020-02-27 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of manufacturing a semiconductor device
TW202136327A (zh) 2020-02-27 2021-10-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法
US11508738B2 (en) 2020-02-27 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM speed and margin optimization via spacer tuning
US11289585B2 (en) 2020-02-27 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of formation
US11799019B2 (en) 2020-02-27 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation feature and manufacturing method thereof
US11328963B2 (en) 2020-02-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11784046B2 (en) 2020-03-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
KR20210121464A (ko) 2020-03-30 2021-10-08 삼성전자주식회사 핀을 포함하는 반도체 소자 및 이의 제조 방법
US11705332B2 (en) 2020-03-30 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer surface treatment, cap layer, and method of forming photoresist pattern
US11682647B2 (en) 2020-04-01 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method for manufacturing the same
US11137691B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fixing blank mask defects by revising layouts
US11506986B2 (en) 2020-04-09 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal controlling method in lithography system
US11262658B2 (en) 2020-04-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask, photolithography system and manufacturing process
US11442356B2 (en) 2020-05-11 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with an amorphous capping layer
US11703754B2 (en) 2020-05-14 2023-07-18 Taiwan Semiconductor Manufacturing Company Ltd. Particle prevention method in reticle pod
US11527527B2 (en) 2020-05-21 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Tap cell, integrated circuit structure and forming method thereof
US11320744B2 (en) 2020-05-22 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling extreme ultraviolet light
US11942322B2 (en) 2020-05-22 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and pattern formation method
US11829062B2 (en) 2020-05-22 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. EUV photo masks and manufacturing method thereof
US11506969B2 (en) 2020-05-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11592737B2 (en) 2020-05-29 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11302580B2 (en) 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness
US11392022B2 (en) 2020-06-12 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US11650508B2 (en) 2020-06-12 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma position control for extreme ultraviolet lithography light sources
US11237483B2 (en) 2020-06-15 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling droplet in extreme ultraviolet light source
US11637099B2 (en) 2020-06-15 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Forming ESD devices using multi-gate compatible processes
US11714355B2 (en) 2020-06-18 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of forming photoresist pattern
US11500282B2 (en) 2020-06-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11740564B2 (en) 2020-06-18 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography apparatus and method using the same
US11619875B2 (en) 2020-06-29 2023-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11315924B2 (en) 2020-06-30 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for preventing unintentional merging of epitaxially grown source/drain
US11340531B2 (en) 2020-07-10 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Target control in extreme ultraviolet lithography systems using aberration of reflection image
US11610977B2 (en) 2020-07-28 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming nano-sheet-based devices having inner spacer structures with different widths
US11791401B2 (en) 2020-07-30 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11532718B2 (en) 2020-07-30 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having a gate dielectric comprising a multi-layer structure including an oxide layer with different thicknesses on side and top surfaces of the fins
US11296082B2 (en) 2020-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11348921B2 (en) 2020-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11520243B2 (en) 2020-08-31 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography system and method thereof
US11614684B2 (en) 2020-09-08 2023-03-28 Taiwan Semiconductor Manufacturing Company Ltd. Photomask inspection method and apparatus thereof
US11349002B2 (en) 2020-09-25 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure for for isolating epitaxially grown source/drain regions and method of fabrication thereof
US11150564B1 (en) 2020-09-29 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. EUV wafer defect improvement and method of collecting nonconductive particles
US11728401B2 (en) 2020-10-30 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof
US11637195B2 (en) 2020-11-02 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate patterning process including dielectric Fin formation
US11521971B2 (en) 2020-11-13 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Gate dielectric having a non-uniform thickness profile
US11784218B2 (en) 2021-01-08 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Gate air spacer protection during source/drain via hole etching
US11469229B2 (en) * 2021-01-15 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11626495B2 (en) 2021-02-26 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
US11275317B1 (en) 2021-02-26 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Droplet generator and method of servicing a photolithographic tool
US11610043B2 (en) 2021-03-05 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Machine learning based model builder and its applications for pattern transferring in semiconductor manufacturing
US11415879B1 (en) 2021-03-05 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle enclosure for lithography systems
US11935746B2 (en) 2021-03-10 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern formation through mask stress management and resulting structures
US11822231B2 (en) 2021-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for removing particles from pellicle and photomask
US11740549B2 (en) 2021-04-08 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US11749677B2 (en) 2021-04-14 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and methods of forming the same
US11605563B2 (en) 2021-04-16 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with non-conformal gate dielectric layers
US11862709B2 (en) 2021-04-28 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer structure and methods of forming such
US11575047B2 (en) 2021-05-12 2023-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device active region profile and method of forming the same
US11681234B2 (en) 2021-05-27 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Mask for attracting charged particles and method for using the same
US11550229B1 (en) 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices
US11561482B2 (en) 2021-06-18 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reducing hydrogen permeation from lithographic tool
US11653438B2 (en) 2021-06-18 2023-05-16 Taiwan Semiconductor Manufacturing Company Ltd. Droplet collecting system and method of using the same
US11916151B2 (en) 2021-06-25 2024-02-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having fin with all around gate
US11533799B1 (en) 2021-07-09 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. System and method for supplying target material in an EUV light source
US11887851B2 (en) 2021-07-29 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming and using mask
US11855226B2 (en) 2021-07-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Thin film transistor, semiconductor device and method of fabricating thin film transistor
US11670590B2 (en) 2021-08-12 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Chip structure with etch stop layer and method for forming the same
US11602037B1 (en) 2021-08-27 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for generating extreme ultraviolet radiation
US11605477B1 (en) 2021-08-27 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography apparatus
US11815802B2 (en) 2021-08-27 2023-11-14 Taiwan Semiconductor Manufacturing Company Ltd. Photomask repairing method and system thereof
US11520246B1 (en) 2021-08-30 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Highly efficient automatic particle cleaner method for EUV systems
US11892774B2 (en) 2021-08-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography
US11894276B2 (en) 2021-08-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having various gate oxide thicknesses and methods of forming the same
US11749571B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for high speed inspection of semiconductor substrates
US11747735B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. EUV vessel perimeter flow auto adjustment
US11852978B2 (en) 2022-03-07 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system with 3D sensing and tunning modules

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002082551A1 (en) * 2001-04-02 2002-10-17 Motorola, Inc. A semiconductor structure exhibiting reduced leakage current
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7655511B2 (en) * 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
JP5193583B2 (ja) * 2007-12-17 2013-05-08 株式会社東芝 フィン型トランジスタ
US8723236B2 (en) * 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same

Also Published As

Publication number Publication date
CN104124273B (zh) 2017-03-01
US8796666B1 (en) 2014-08-05
KR20140128206A (ko) 2014-11-05
CN104124273A (zh) 2014-10-29

Similar Documents

Publication Publication Date Title
KR101617498B1 (ko) 스트레인 버퍼 층을 가지는 금속 산화물 반도체 디바이스들 및 그 형성 방법들
US9087903B2 (en) Buffer layer omega gate
US11855219B2 (en) Passivated and faceted for fin field effect transistor
US10868186B2 (en) FinFETs with source/drain cladding
US9929269B2 (en) FinFET having an oxide region in the source/drain region
US9536772B2 (en) Fin structure of semiconductor device
US9870956B2 (en) FinFETs with nitride liners and methods of forming the same
US9627476B2 (en) Fin structure of semiconductor device
US9087870B2 (en) Integrated circuits including FINFET devices with shallow trench isolation that includes a thermal oxide layer and methods for making the same
US20180040720A1 (en) FINFET Structure and Method for Fabricating the Same
US9006079B2 (en) Methods for forming semiconductor fins with reduced widths
TW201714301A (zh) 半導體元件及其製作方法
JP2007281280A (ja) 半導体装置およびその製造方法
KR101824776B1 (ko) Ⅲ-ⅴ족 반도체 재료의 핀 피쳐에서 관통 전위 결함을 제거하는 방법
US8686534B2 (en) Trench isolation structure and method for forming the same
CN105633152B (zh) 半导体结构及其制作方法
CN105206576B (zh) 用于形成嵌入式锗硅源/漏结构的方法
US20230369134A1 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190411

Year of fee payment: 4