CN109148282A - 用于浅沟槽隔离的水性二氧化硅浆料组合物和其使用方法 - Google Patents

用于浅沟槽隔离的水性二氧化硅浆料组合物和其使用方法 Download PDF

Info

Publication number
CN109148282A
CN109148282A CN201810558055.2A CN201810558055A CN109148282A CN 109148282 A CN109148282 A CN 109148282A CN 201810558055 A CN201810558055 A CN 201810558055A CN 109148282 A CN109148282 A CN 109148282A
Authority
CN
China
Prior art keywords
composition
weight
cmp planarization
copolymer
ammonium salt
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810558055.2A
Other languages
English (en)
Other versions
CN109148282B (zh
Inventor
J·考兹休克
D·莫斯利
N·K·彭塔
M·万哈尼赫姆
K-A·K·雷迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of CN109148282A publication Critical patent/CN109148282A/zh
Application granted granted Critical
Publication of CN109148282B publication Critical patent/CN109148282B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • C08K3/36Silica
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G1/00Control arrangements or circuits, of interest only in connection with cathode-ray tube indicators; General aspects or details, e.g. selection emphasis on particular characters, dashed line or dotted line generation; Preprocessing of data
    • G09G1/04Deflection circuits ; Constructional details not otherwise provided for
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/50Improvements relating to the production of bulk chemicals
    • Y02P20/582Recycling of unreacted starting or intermediate materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Remote Sensing (AREA)
  • Theoretical Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Radar, Positioning & Navigation (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Silicon Compounds (AREA)

Abstract

本发明提供水性CMP抛光组合物,所述组合物按所述组合物的总重量计包含0.5到30重量%的多个含有阳离子氮原子的细长、弯曲或球状胶态二氧化硅颗粒的分散体,以及0.001到0.5重量%、优选10到500ppm的二烯丙基二甲基铵盐,如二烯丙基二甲基卤化铵的阳离子共聚物,其中所述组合物的pH为1到4.5。优选地,二烯丙基二甲基铵盐的所述阳离子共聚物包含二烯丙基二甲基氯化铵(DADMAC)和二氧化硫的共聚物。所述浆料组合物在具有氮化物和硅图案的图案晶片的CMP抛光中表现出良好的氧化物选择性。

Description

用于浅沟槽隔离的水性二氧化硅浆料组合物和其使用方法
本发明涉及水性化学机械平坦化(CMP)抛光组合物,所述组合物包含多个球形胶态二氧化硅颗粒或含有阳离子氮原子的细长、弯曲或球状胶态二氧化硅颗粒或其混合物的一种或多种分散体,以及二烯丙基二甲基铵盐(如二烯丙基二甲基氯化铵(DADMAC))和二氧化硫的共聚物,其中组合物的pH为1到4.5。
在前端(FEOL)半导体工艺中,浅沟槽隔离(STI)对集成电路制造中的栅极形成至关重要,如形成晶体管之前。在STI中,如原硅酸四乙酯(TEOS)或二氧化硅的电介质被过量地沉积在硅晶片中形成的开口中,例如通过氮化硅(SiN)屏障与集成电路的其余部分隔离的沟槽或隔离区。然后使用CMP工艺去除多余的电介质,产生其中电介质的预定图案镶嵌在硅晶片中的结构。用于STI的CMP需要从隔离区去除和平坦化二氧化硅覆盖层,从而产生与二氧化硅填充的沟槽共面的表面。在STI中,氮化硅膜表面必须清除二氧化硅或氧化硅,以使随后在后续处理中去除氮化物硬掩模。可接受的氧化物:氮化物去除速率比是必要的,以防止损伤下面的Si活性区并且提供过度抛光间距从而确保所有图案密度都清除氧化物。此外,必须避免任何沟槽中的氧化物凹陷,以防止成品栅极中的低阈值电压泄漏。
目前,与CMP抛光垫一起使用以抛光衬底的水性化学机械平坦化抛光(CMP抛光)组合物的使用者希望避免使用包含二氧化铈的CMP抛光组合物。二氧化铈浆料对二氧化硅表现出高于氮化硅的选择性并且避免氮化硅暴露时去除沟槽区中的氧化物,但成本高,存在去除速率(RR)和工艺稳定性问题,并且易于在抛光期间引起缺陷。二氧化硅浆料配方提供成本较低、无缺陷的解决方案,但迄今为止,氧化物凹陷控制不令人满意,并且氧化物:氮化物选择性不足以用于STI应用。
Grumbine等人的美国专利第9,303,188B2号公开一种用于抛光具有钨层的衬底的化学机械抛光组合物,所述组合物在液体载体中包含水基液体载剂、含带阳离子电荷的胶态二氧化硅研磨剂和聚阳离子胺化合物的溶液。所述组合物可包括选自多胺和含有胺官能团的聚合物(如二烯丙基二甲基氯化铵)的胺基聚合物。所述组合物不呈现出可接受的氧化物凹陷控制以及用于STI应用的氧化物:氮化物选择性不足。
本发明人致力于解决提供水性二氧化硅浆料的问题以及使用浆料的方法,所述水性二氧化硅浆料实现可接受的氧化物凹陷控制和氧化物:氮化物选择性以用于STI应用。
发明内容
1.根据本发明,水性化学机械平坦化抛光(CMP抛光)组合物包含多个含有阳离子氮原子的细长、弯曲或球状胶态二氧化硅颗粒的分散体,例如,对于平均颗粒的颗粒最长尺寸与垂直于最长尺寸的其直径的纵横比为1.8:1到3:1的那些,或其与球形胶态二氧化硅颗粒的混合物,以及0.001到0.5重量%或优选10到500ppm的二烯丙基二甲基铵盐(优选为卤盐)的阳离子共聚物,如二烯丙基二甲基卤化铵(DADMAC)和二氧化硫的共聚物,其中组合物的pH为1到4.5或优选2.5到4.3,并且另外其中按组合物的总重量计,呈固体的细长、弯曲或球状胶态二氧化硅颗粒的分散体的量在0.5到30重量%、或优选1到25重量%、或更优选1到20重量%的范围内。
2.根据以上第1项所阐述的水性CMP抛光组合物,其中按组合物中胶态二氧化硅颗粒的总固体重量计,细长、弯曲或球状胶态二氧化硅颗粒的分散体的量在80到99.9重量%范围内,或优选95到99.9重量%范围内。
3.根据以上第1项或第2项中任一项所阐述的水性CMP抛光组合物,其中胶态二氧化硅颗粒分散体中胶态二氧化硅颗粒的重均粒径(CPS)或其混合物中的这类粒径的加权平均值在10nm到200nm范围内,或优选在25nm到80nm范围内。
4.根据以上第1、2或3项中任一项所阐述的水性CMP抛光组合物,其中二烯丙基二甲基铵盐(优选为卤盐)和二氧化硫的阳离子共聚物包含45到55摩尔%、或优选48到52摩尔%的二烯丙基二甲基铵盐和45到55摩尔%、或优选48到52摩尔%的二氧化硫。
5.根据以上第1、2、3或4项中任一项所阐述的水性CMP抛光组合物,其中二烯丙基二甲基铵盐(优选为卤盐)和二氧化硫的阳离子共聚物的重均分子量为1,000到15,000,或优选为2,000到12,000。
6.根据本发明的另一方面,使用水性CMP抛光组合物的方法包含用以上第1项到第5项中任一项所阐述的CMP抛光垫和水性CMP抛光组合物抛光衬底。
7.根据以上第6项所阐述的本发明方法,其中衬底包含二氧化硅或原硅酸四乙酯(TEOS)和氮化硅,如SiN或Si3N4或其混合物,并且抛光导致氧化物:氮化物去除速率比为至少3:1,例如3:1到25:1,或优选8:1到18:1,例如至少8:1。
8.根据以上第6项或第7项中任一项所阐述的用于抛光衬底的本发明方法,其中抛光下压力在6.9kPa(1psi)到41.5kPa(6psi)或优选12kPa(1.8psi)到36kPa(5.2psi)范围内。
9.根据以上第6、7或8项中任一项所阐述的用于抛光衬底的本发明方法,其中CMP抛光组合物包含总计0.5到5重量%、或优选1到3重量%的细长、弯曲或球状胶态二氧化硅颗粒的分散体的总固体含量。CMP抛光组合物可作为浓缩物存储和运输,然后在抛光衬底时用水稀释。
除非另有指示,否则温度和压力的条件是环境温度和标准压力。所述的所有范围都具有包括性和可组合性。
除非另有指示,否则任何含有圆括号的术语都可选地指整个术语,即如同不存在圆括号并且没有圆括号的术语以及其组合都是可选的。
所有范围都具有包括性和可组合性。举例来说,术语“50到3000cPs或100或大于100cPs的范围”将包括50到100cPs、50到3000cPs和100到3000cPs中的每一个。
如本文所用,术语“ASTM”是指宾夕法尼亚州西康舍霍肯的ASTM国际标准组织(ASTM International,West Conshohocken,PA)的出版物。
如本文所用,术语“胶态稳定”意思是给定组合物不凝胶或沉淀,并且在给定时间和给定温度之后,在可见检测时保持透明。
如本文所用,术语“硬碱”是指金属氢氧化物,包括碱(碱土)金属氢氧化物,如NaOH、KOH或Ca(OH)2
如本文所用,术语“ISO”是指瑞士日内瓦的国际标准化组织(InternationalOrganization for Standardization,Geneva,CH)的出版物。
如本文所用,术语“粒径(CPS)”意思是如通过CPS仪器(荷兰(The Netherlands))盘式离心机系统测定的组合物的重均粒径。使用离心力在溶剂中将颗粒大小分离并且使用光学光散射进行定量。
如本文所用,术语“肖氏D硬度(Shore D hardness)”是如根据ASTM D2240-15(2015),“《橡胶性能、硬度计硬度的标准测试方法(Standard Test Method for RubberProperty,Durometer Hardness)》”所测量的给定材料的2种第二硬度。在装备有D探针的雷克斯混合硬度测试仪(Rex Hybrid hardness tester)(伊利诺伊州比弗洛格罗夫的雷克斯仪表公司(Rex Gauge Company,Inc.,Buffalo Grove,IL))上测量硬度。对于每个硬度测量,将六个样品堆叠并且混洗;并且在测试并且使用ASTM D2240-15(2015)中概述的方法论来改进硬度测试的可重复性之前,通过将每个测试垫放置在50%相对湿度下在23℃下五天来调节每个测试垫。在本发明中,抛光层或抛光垫的聚氨酯反应产物的肖氏D硬度包括反应产物的肖氏D硬度。
如本文所用,对于给定组合物,术语“二氧化硅颗粒固体”或“二氧化硅固体”意思是球形胶态二氧化硅颗粒的总量加上细长、弯曲或球状胶态二氧化硅颗粒的总量,包括处理那些颗粒的任何组分。
如本文所用,术语“固体”意思是除水或氨之外的任何材料,所述材料不管其物理状态如何在使用条件下不挥发。因此,在使用条件下不挥发的液体硅烷或添加剂被认为是“固体”。
如本文所用,术语“强酸”是指pKa为2或更低的质子酸,如无机酸,如硫酸或硝酸。
如本文所用,术语“使用条件”意思是使用给定组合物时的温度和压力,包括在使用期间或作为使用结果时温度和压力的增加。
如本文所用,术语“重量分数二氧化硅”意思是按组合物的总重量/100%计二氧化硅的总重量%。因此,30重量%的二氧化硅相当于0.3的重量分数。
如本文所用,术语“加权平均值”意思是来自不同组合物(例如球形胶态二氧化硅颗粒的分散体和细长胶态二氧化硅颗粒的分散体)的两种或更多种测量值(例如平均粒度或分子量)的平均值,所述平均值由各自乘以其固体重量分数产生,其中总固体重量分数合计为1(1.00)。
如本文中所使用,术语“重量%”表示重量百分比。
如本文所用,术语“细长、弯曲或球状胶态二氧化硅颗粒”是指胶态二氧化硅颗粒,如通过普通技术人员已知的任何方法(如透射电子显微镜(TEM))测定或如由颗粒分散体的制造商报道,所述胶态二氧化硅颗粒在平均颗粒中的最长尺寸与垂直于最长尺寸的直径的纵横比为1.8:1到3:1。
本发明人惊奇地发现,具有阳离子电荷的细长、弯曲或球状胶态二氧化硅颗粒分散体的水性CMP抛光组合物以及按组合物的总重量计高达0.5重量%的二烯丙基二甲基铵盐(如卤盐或铵盐)和二氧化硫的阳离子互聚物尤其适合于平坦化或抛光衬底,如已经过浅沟槽隔离(STI)处理的硅晶片。覆盖硅晶片上的压力响应表征揭示这些浆料以非普雷斯顿(non-Prestonian)方式抛光氧化硅:在低的下压力下氧化物去除速率可忽略不计,并且在高于“开启”压力的压力下随着下压力增加而增加。这种非普雷斯顿氧化物RR(y轴)与下压力(x轴)曲线的x轴截距不为零。本发明的水性CMP抛光组合物使得二氧化硅的CMP抛光具有令人满意的去除速率,并且在覆盖式和图案化晶片上对氧化硅比氮化硅提供可接受的选择性。最显著的是,与其它二氧化硅浆料相比,所述组合物能够改善沟槽氧化物损失和凹陷。
根据本发明,合适的胶态二氧化硅组合物可包含通过常规溶胶凝胶聚合或通过水玻璃的悬浮聚合制备的二氧化硅分散体,从而以分布方式或以混合方式产生多个细长、弯曲或球状胶态二氧化硅颗粒,其可包括球形胶态二氧化硅颗粒。
合适的细长、弯曲或球状二氧化硅颗粒通过水解缩合以已知方式由前体(如四乙氧基硅烷(TEOS)或四甲氧基硅烷(TMOS))形成的硅醇由悬浮聚合制得。制备细长、弯曲或球状二氧化硅颗粒的方法是已知的,并且可在例如Higuchi等人的美国专利第8,529,787号中发现。水解缩合包含使前体在水性悬浮液中在碱性催化剂(如烷基氢氧化铵、烷氧基烷基胺,如乙氧基丙胺(EOPA)、烷基胺或KOH,优选为四甲基氢氧化铵)存在下反应;水解缩合过程可将一个或多个阳离子氮原子并入细长、弯曲或球状二氧化硅颗粒中。优选地,细长、弯曲或球状胶态二氧化硅颗粒在4或更低的pH下是阳离子的。
合适的弯曲或球状胶态二氧化硅颗粒分散体可以商品名HL-2、HL-3、HL-4、PL-2、PL-3或BS-2和BS-3浆料购自日本大阪的扶桑化工有限公司(Fuso Chemical Co.,Ltd.,Osaka,JP(Fuso))。来自扶桑的HL和BS系列颗粒含有一个或多个氮原子,其在pH 4或更低时被赋予阳离子电荷。
为确保本发明的含水CMP抛光组合物的胶态稳定性,组合物的pH在1到4.5或优选2.5到4的范围内。所述组合物在所需pH范围之上往往失去其稳定性。
本发明的阳离子二烯丙基二甲基铵盐和二氧化硫共聚物有助于选择性和防止抛光中的凹陷。按组合物的总重量计,阳离子共聚物的量最高达0.5重量%。太多的阳离子共聚物可以钝化衬底的电介质或二氧化硅表面。
本发明的阳离子共聚物可在存在或不存在酸(如盐酸或乙醇酸)和自由基聚合引发剂(如过硫酸铵)下在极性溶剂(如水)中通过加成聚合制得。这样的聚合方法例如在Yusuke等人的美国专利第9,006,383B2中详细描述。
本发明的水性CMP抛光组合物可包括pH调节剂,如无机酸(例如硝酸)或有机酸(例如柠檬酸)。
本发明的水性CMP抛光组合物可包含其它阳离子添加剂,如多胺,按总固体计,其量可高达1重量%。
合适的添加剂还可包括例如季铵化合物和二季铵化合物,例如98重量%(Sachem,Austin,TX)的N,N,N,N',N',N'-六丁基-1,4-丁烷二铵二氢氧化物;和阳离子氨基硅烷,例如98%(Gelest Inc.,Morrisville,PA)的N-(2-氨基乙基)-3-氨基丙基三甲氧基硅烷,或98%(Gelest Inc.)的N,N-二乙基氨基甲基)三乙氧基硅烷等。
优选地,水性CMP抛光组合物基本上由二烯丙基二甲基铵盐的本发明共聚物和阳离子研磨剂组成,并且不包括将进一步与研磨剂组分或共聚物相互作用的材料。这类组合物优选不包括与二氧化硅相互作用的二季铵化合物;并且它们不包括与共聚物相互作用的阴离子化合物和非离子表面活性剂。水性CMP抛光组合物可以用水或与水混溶的另一种液体稀释。
理想地,本发明的CMP抛光在用本发明的CMP抛光组合物进行的STI处理中进行,优选地使得氮化硅基本上不被去除并且二氧化硅被适当地平坦化而无沟槽内的电介质或二氧化硅被过度腐蚀或凹陷。
在使用中,晶片衬底的STI处理涉及提供其上沉积有氮化硅层的硅衬底。在光刻之后,将沟槽蚀刻到包含氮化硅覆盖层的衬底上,并且在其上沉积过量的电介质,例如二氧化硅。然后对衬底进行平坦化直到氮化硅表面层暴露但基本上不被去除,使得留在沟槽中的电介质或氧化硅大致与氮化硅的边缘齐平。
实例:以下实例说明本发明的各种特征。
在以下实例中,除非另有指示,否则温度和压力的条件是环境温度或室温和标准压力。
以下材料(包括下表A中列出的材料)用于以下实例中:
表A:二氧化硅和其它研磨剂颗粒
1.法国Lamotte的Merck KgAA;3.扶桑化学,大阪,JP;4.在pH为3.0下测定电荷,并且用TMOS和含碱性催化剂的胺(如四甲基氢氧化铵)形成阳离子颗粒;5.从源头输送pH。
DiquatTM添加剂:N,N,N,N',N',N'-六丁基-1,4-丁烷二铵二氢氧化物,98重量%(Sachem,Austin,TX);
浆料G:在pH为2.39并且含有20重量%的浆料A固体,24重量%的浆料B固体,0.2重量%的DiquatTM添加剂和0.112重量%的HNO3下的24重量%的固体配制物。在POU(6%,4倍稀释)下,pH为~pH 3。
如制造商(PAS-A-1,Nitto Boseke Co.Ltd,Fukushima,JP)所报道,共聚物1是DADMAC和二氧化硫的1:1共聚物,其重均分子量(MW)(使用聚乙二醇标准物的GPC)为5,000;
聚合物2是重均分子量为(MW,GPC)为8,500的DADMAC的均聚物(Nitto BosekeCo.)。
浆料E:二氧化铈浆料,pH 5.2,聚丙烯酸分散剂,未稀释的0.75重量%二氧化铈固体,如所使用的1:3稀释。
浆料F:重量平均MW 1800/柠檬酸/pH 3.3的浆料C/67ppm聚(丙烯酸)(PAA)的2重量%固体组合物;并且,
浆料C在低于pH 4.5时带正电。
实例中使用的各种胶态二氧化硅颗粒列于上表A中。
在以下实例中使用以下缩写:
POU:使用点;RR:去除速率;
在下列实例中使用以下测试方法:
POU处的pH:使用点的pH(POU的pH)是在用水将指定浓缩物组合物稀释到指定固体含量之后的去除速率测试期间测量。
CMP(SP2xp)后缺陷计数:将四个TEOS晶片用作每种浆料的缺陷监测晶片。每种缺陷晶片以3psi、93/87rpm和150ml/min浆料流速抛光60s。抛光之后,在SurfscanTM SP2xp度量工具(KLA-Tencor,Milpitas,CA)上扫描晶片以获得CMP后缺陷晶片图,随后自动SEM检视100个随机缺陷。使用具有宽通道设置(即没有缺陷尺寸限制)的Klarity缺陷软件(KLA-Tencor,Milpitas,CA)来提取每个晶片的CMP后总缺陷计数。缺陷计数应尽可能低。
HF(氢氟酸)后缺陷计数:在CMP后缺陷分析后,使用M3307-2949VeecoTM HF清洁剂(Veeco,Horsham,PA)将晶片暴露于1.92重量%的HF溶液中足够的时间以去除的给定衬底。在Surfscan SP2xp(KLA-Tencor)上重新扫描晶片以获得HF后缺陷晶片图,随后自动SEM检视100个随机缺陷。
去除速率:在去除速率测试中,使用MirraTM(200mm)抛光机或具有IC1010TM或其它所指示CMP抛光垫的“Mirra RR”(加利福尼亚州圣克拉拉的应用材料公司(AppliedMaterials,Santa Clara,CA))抛光装置(密歇根州米德兰的陶氏化学公司(The DowChemical Company,Midland,MI)(陶氏))抛光STI图案晶片衬底,其具有含MIT掩模(SKW-3晶片,加利福尼亚州圣克拉拉的SKW,Inc.)的指定特征%(其对应于相对于其总面积晶片中的活性面积或高面积),使用下表1中定义的CMP抛光组合物,以20.7kPa(3psi)的下压力,浆液流率为150mL/min,压板速度为93rpm并且载剂速度为87rpm。在抛光期间,使用100%原位调节,用KinikTM AD3CS-211250-1FN调节盘(中国砂轮企业(Kinik Company),台湾)以3.17kg(7磅力)的压力调节垫。
多步骤CMP抛光-P1(第一步骤)和P2(后续步骤):进行CMP抛光,使得在第一步骤或P1过程中,去除覆盖层高密度等离子体氧化物(HDP)膜。使用VP6000TM聚氨酯CMP抛光垫(陶氏,肖氏D(2秒)硬度:53)和浆料E并且通过施加20.7kPa(3psi)的抛光下压力和93rpm的压板速度来抛光膜。当完成平坦化时,在晶片的中间晶粒上的50%图案密度(PD)特征上停止P1抛光。此时,约的HDP膜保留在50%的特征上。然而,在较小的特征上,如10%和20%的PD特征,HDP膜被完全去除并且底层氮化物膜被暴露。具有>50%PD的特征仍然在氮化物膜上具有显著的电介质膜。在移到P2之前,使用SP100清洁化学品(含有TMAH)在OnTrakDSS-200SynergyTM工具(Lam Research,Fremont,CA)上清洁图案化的晶片以从晶片去除二氧化铈颗粒。使用具有1010TM凹槽设计(陶氏)的ICTM聚氨酯抛光垫(陶氏,肖氏D(2秒)硬度:70)和所示浆料组合物,使用20.7kPa(3psi)的抛光下压力进行P2抛光,并且压板速度为93rpm。对于50%图案密度特征,抛光终点定义为清除HDP和氮化物膜暴露的时间。在每个步骤抛光事件的50%图案密度特征上监测沟槽氧化物损失。还测量了100%图案密度特征上的HDP氧化物去除。过度抛光定义为在氮化硅暴露在50%图案密度特征之后在100%特征上去除的HDP膜的量。选择性被计算为100%特征上的氮化硅去除速率与HDP氧化物去除速率的比。通过使用KLA-TencorTM FX200度量工具(加利福尼亚州米尔皮塔斯的KLATencor(KLATencor,Milpitas,CA)使用具有3mm边缘排除的49点螺旋扫描测量抛光之前和之后的膜厚度来测定所有电介质膜厚度和去除速率。进一步的抛光细节阐述于下表B中。
表B:抛光参数
抛光持续指定的时间间隔或指定的过度抛光量。在下面的表3、4和5中的每一个中,性能标准A是沟槽氧化物损失过度抛光量下可接受的沟槽氧化物损失小于优选在过度抛光量下小于 性能标准B是SiN损失的过度抛光量下可接受的SiN损失小于过度抛光量下优选小于并且性能标准A是凹陷过度抛光量下可接受的凹陷小于过度抛光量下优选小于
在另有指示的情况下,抛光衬底是用于覆盖式晶片研究的再循环四乙氧基硅酸酯(TEOS)晶片(TENR)。
表1.浆料配制品细节
*-表示比较实例。
实例1-缺陷计数:在下表2中,衬底是来自原硅酸四乙酯(TEOS)的氧化物晶片。使用所指示浆料进行抛光60秒。
表2:缺陷计数
*-表示比较实例。
如上表2所示,CMP抛光后的缺陷计数与用与缺少本发明的阳离子共聚物的二氧化铈浆料(浆料E)或浆料4相同的方式抛光的同一晶片的缺陷计数相比显著下降。
实例2-抛光图案晶片的性能:在下面的表3中,衬底是具有50%PD特征的STI晶片。使用所指示浆料以多个步骤进行抛光。
表3:使用和不使用阳离子共聚物的抛光
如上表3所示,本发明浆料组合物的沟槽氧化物损失A、SiN损失B和凹陷C相较于比较实例2A中的仅细长阳离子二氧化硅浆料C随着时间显著改善。
实例3:在特征晶片上的更多性能:在下表4中,衬底是具有50%PD特征的STI晶片。使用所指示浆料以多个步骤进行抛光。
表4:用阳离子共聚物的抛光
*-表示比较实例。
如上表4所示,在略微过度抛光的情况下,本发明浆料组合物的沟槽氧化物损失A、SiN损失B和凹陷C是可接受的。
实施例4:用各种垫抛光:在下表5中,使用浆料2用两种不同的垫抛光。衬底是具有50%PD特征的STI晶片。使用所指示浆料以多个步骤进行抛光。
表5:用各种CMP抛光垫抛光
如上表5所示,在略微过度抛光的情况下,用IC1010TM垫(陶氏),本发明的浆料组合物2的沟槽氧化物损失A、SiN损失B和凹陷C都是可接受的;在实例4B中用稍软的垫,凹陷C得到改善。
比较实例5:除了使用DADMAC均聚物添加剂(聚合物2)之外,进行如实例2、3和4中的抛光。
表6:比较聚合物2的性能
*-表示比较实例
如上表6所示,DADMAC的均聚物不能提供任何接近具有DADMAC共聚物的本发明组合物的抛光性能的地方。将结果与上表2、3和4中的结果进行比较。

Claims (10)

1.一种水性化学机械平坦化抛光(CMP抛光)组合物,所述组合物包含多个含有阳离子氮原子的细长、弯曲或球状胶态二氧化硅颗粒的分散体和0.001到0.5重量%的二烯丙基二甲基铵盐的阳离子共聚物,其中所述组合物的pH为1到4.5,并且另外,其中所述细长、弯曲或球状胶态二氧化硅颗粒的所述分散体的量在0.5到30重量%的范围内,所有重量均按所述组合物的总重量计。
2.根据权利要求1所述的水性CMP抛光组合物,其中所述细长、弯曲或球状胶态二氧化硅颗粒的分散体对于平均颗粒的最长尺寸与垂直于所述最长尺寸的直径的纵横比为1.8:1到3:1。
3.根据权利要求1所述的水性CMP抛光组合物,其中按所述组合物中所述胶态二氧化硅颗粒的总固体重量计,所述细长、弯曲或球状胶态二氧化硅颗粒的分散体的量在80到99.9重量%的范围内。
4.根据权利要求1所述的水性CMP抛光组合物,其中二烯丙基二甲基铵盐的所述阳离子共聚物的量在10到500ppm的范围内。
5.根据权利要求1所述的水性CMP抛光组合物,其中二烯丙基二甲基铵盐的所述阳离子共聚物包含二烯丙基二甲基氯化铵(DADMAC)和二氧化硫的共聚物。
6.根据权利要求5所述的水性CMP抛光组合物,其中二烯丙基二甲基铵盐和二氧化硫的所述阳离子共聚物包含45到55摩尔%的所述二烯丙基二甲基铵盐和45到55摩尔%的所述二氧化硫的共聚物。
7.根据权利要求5所述的水性CMP抛光组合物,其中二烯丙基二甲基铵盐和二氧化硫的所述阳离子共聚物的重均分子量为1,000到15,000。
8.根据权利要求1所述的水性CMP抛光组合物,其中所述组合物的pH为2.5到4.3。
9.一种使用根据权利要求1所述的水性CMP抛光组合物的方法,包含:用CMP抛光垫和水性CMP抛光组合物抛光衬底。
10.根据权利要求9所述的方法,其中所述衬底包含二氧化硅和氮化硅二者,并且所述抛光导致氧化物:氮化物去除速率比为3:1到25:1。
CN201810558055.2A 2017-06-16 2018-06-01 用于浅沟槽隔离的水性二氧化硅浆料组合物和其使用方法 Active CN109148282B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201715625075A 2017-06-16 2017-06-16
US15/625075 2017-06-16
US15/680730 2017-08-18
US15/680,730 US10221336B2 (en) 2017-06-16 2017-08-18 Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them

Publications (2)

Publication Number Publication Date
CN109148282A true CN109148282A (zh) 2019-01-04
CN109148282B CN109148282B (zh) 2023-06-23

Family

ID=64656093

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810558055.2A Active CN109148282B (zh) 2017-06-16 2018-06-01 用于浅沟槽隔离的水性二氧化硅浆料组合物和其使用方法

Country Status (5)

Country Link
US (1) US10221336B2 (zh)
JP (1) JP7160570B2 (zh)
KR (1) KR102649773B1 (zh)
CN (1) CN109148282B (zh)
TW (1) TWI760494B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10316218B2 (en) * 2017-08-30 2019-06-11 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them
WO2023191019A1 (ja) * 2022-03-31 2023-10-05 日揮触媒化成株式会社 多孔質シリカ粒子及びその製造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1160232A (ja) * 1997-08-11 1999-03-02 Mamoru Iso 繭型コロイダルシリカの製造方法
CN101802125A (zh) * 2007-09-21 2010-08-11 卡伯特微电子公司 使用经氨基硅烷处理的研磨剂颗粒的抛光组合物和方法
CN102017091A (zh) * 2008-04-23 2011-04-13 日立化成工业株式会社 研磨剂及使用该研磨剂的基板研磨方法
CN102046743A (zh) * 2005-12-06 2011-05-04 卡伯特微电子公司 具有高的氮化硅对氧化硅移除速率比的抛光组合物及方法
TW201602001A (zh) * 2014-07-15 2016-01-16 Speedfam Co Ltd 矽酸膠及含有其之半導體晶圓硏磨用組成物
CN106575614A (zh) * 2014-06-25 2017-04-19 嘉柏微电子材料股份公司 化学‑机械抛光组合物的制造方法
WO2017074800A1 (en) * 2015-10-28 2017-05-04 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110596A (ja) 2000-10-02 2002-04-12 Mitsubishi Electric Corp 半導体加工用研磨剤およびこれに用いる分散剤、並びに上記半導体加工用研磨剤を用いた半導体装置の製造方法
US20020104269A1 (en) 2001-01-26 2002-08-08 Applied Materials, Inc. Photochemically enhanced chemical polish
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US6866793B2 (en) 2002-09-26 2005-03-15 University Of Florida Research Foundation, Inc. High selectivity and high planarity dielectric polishing
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
JP5646996B2 (ja) 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
JP5602358B2 (ja) * 2007-11-30 2014-10-08 日揮触媒化成株式会社 非球状シリカゾル、その製造方法および研磨用組成物
KR101626179B1 (ko) 2008-09-26 2016-05-31 후소카가쿠코교 가부시키가이샤 굴곡 구조 및/또는 분지 구조를 갖는 실리카 이차 입자를 함유하는 콜로이달 실리카 및 그의 제조 방법
EP2329519B1 (en) 2008-09-26 2013-10-23 Rhodia Opérations Abrasive compositions for chemical mechanical polishing and methods for using same
US9006383B2 (en) 2010-05-26 2015-04-14 Nitto Boseki Co., Ltd. Method for producing copolymer of diallylamine and sulfur dioxide
JP5925454B2 (ja) * 2010-12-16 2016-05-25 花王株式会社 磁気ディスク基板用研磨液組成物
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303190B2 (en) * 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9293339B1 (en) 2015-09-24 2016-03-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing semiconductor substrate
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1160232A (ja) * 1997-08-11 1999-03-02 Mamoru Iso 繭型コロイダルシリカの製造方法
CN102046743A (zh) * 2005-12-06 2011-05-04 卡伯特微电子公司 具有高的氮化硅对氧化硅移除速率比的抛光组合物及方法
CN101802125A (zh) * 2007-09-21 2010-08-11 卡伯特微电子公司 使用经氨基硅烷处理的研磨剂颗粒的抛光组合物和方法
CN102017091A (zh) * 2008-04-23 2011-04-13 日立化成工业株式会社 研磨剂及使用该研磨剂的基板研磨方法
CN106575614A (zh) * 2014-06-25 2017-04-19 嘉柏微电子材料股份公司 化学‑机械抛光组合物的制造方法
TW201602001A (zh) * 2014-07-15 2016-01-16 Speedfam Co Ltd 矽酸膠及含有其之半導體晶圓硏磨用組成物
WO2017074800A1 (en) * 2015-10-28 2017-05-04 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin

Also Published As

Publication number Publication date
TW201905124A (zh) 2019-02-01
KR102649773B1 (ko) 2024-03-20
KR20180137406A (ko) 2018-12-27
US10221336B2 (en) 2019-03-05
TWI760494B (zh) 2022-04-11
JP7160570B2 (ja) 2022-10-25
CN109148282B (zh) 2023-06-23
US20180362805A1 (en) 2018-12-20
JP2019036712A (ja) 2019-03-07

Similar Documents

Publication Publication Date Title
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
KR102654089B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법
CN108687649B (zh) 含阳离子颗粒的浆料及其用于旋涂式碳膜的cmp的方法
JP7231365B2 (ja) 研磨における選択的窒化物除去のための水性アニオン性官能性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
JP2019143119A (ja) ポリシリコン研磨用の低ディッシングシリカ粒子の水性組成物
CN109148282A (zh) 用于浅沟槽隔离的水性二氧化硅浆料组合物和其使用方法
JP7274845B2 (ja) 浅溝分離に使用するための水性低砥粒シリカスラリー及びアミンカルボン酸組成物並びにその製造方法及び使用方法
JP7152217B2 (ja) シャロートレンチアイソレーションにおいて使用するための水性シリカスラリー組成物、及びそれらの使用方法
JP7231364B2 (ja) 研磨における選択的窒化物除去のための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant