CN108886018B - 在用于集成电路(ic)的互连结构中形成自对准垂直互连通道(via) - Google Patents

在用于集成电路(ic)的互连结构中形成自对准垂直互连通道(via) Download PDF

Info

Publication number
CN108886018B
CN108886018B CN201780018285.3A CN201780018285A CN108886018B CN 108886018 B CN108886018 B CN 108886018B CN 201780018285 A CN201780018285 A CN 201780018285A CN 108886018 B CN108886018 B CN 108886018B
Authority
CN
China
Prior art keywords
aligned
metal line
self
dielectric layer
adjacent
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780018285.3A
Other languages
English (en)
Other versions
CN108886018A (zh
Inventor
J·J·徐
J·J·朱
C·F·耶普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Publication of CN108886018A publication Critical patent/CN108886018A/zh
Application granted granted Critical
Publication of CN108886018B publication Critical patent/CN108886018B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

公开了在用于集成电路(IC)的互连结构中形成自对准垂直互连通道(via)。为了减少或避免via与下垫互连金属线的未对准,使在互连结构中的制造的via与下垫互连金属线自对准。就此而言,在介电层中形成下垫金属线。在层间电介质的顶表面下方在下垫金属线中形成凹槽。停止层被布置在层间电介质上方并位于下垫金属线的凹槽内。该停止层允许在下垫金属线的凹槽内向下形成(例如,蚀刻)via通道,以使via通道与下垫金属线自对准。随后在延伸至凹槽中的via通道中沉积导电材料,以形成互连至下垫金属线的自对准via。

Description

在用于集成电路(IC)的互连结构中形成自对准垂直互连通道(VIA)
优先权申请
本申请要求于2016年3月21日提交并题为“FORMING SELF-ALIGNED VERTICALINTERCONNECT ACCESSES(VIAs)IN INTERCONNECT STRUCTURES FOR INTEGRATED CIRCUITS(ICs)(在用于集成电路(IC)的互连结构中形成自对准垂直互连通道(VIA))”的美国临时专利申请S/N. 62/310,951的优先权,其内容通过引用被全部纳入于此。
本申请还要求于2016年8月5日提交并题为“FORMING SELF-ALIGNED VERTICALINTERCONNECT ACCESSES(VIAs)IN INTERCONNECT STRUCTURES FOR INTEGRATED CIRCUITS(ICs)(在用于集成电路(IC)的互连结构中形成自对准垂直互连通道(VIA))”的美国专利申请S/N.15/229,535 的优先权,其内容通过引用被全部纳入于此。
背景
I.公开领域
本公开的技术一般涉及在集成电路(IC)中形成的金属互连结构,尤其涉及在金属互连结构中形成垂直互连通道(via)以提供金属互连结构中的金属线之间的互连。
II.背景技术
集成电路(IC)的当前半导体制造可包括前段制程(FEOL)、中段制程 (MOL)和/或后段制程(BEOL)工艺。FEOL工艺可包括晶片制备、隔离、阱形成、栅极图案化、间隔物、扩展、以及源极/漏极注入、硅化物形成等等。 MOL和/或BEOL工艺可包括栅极触点形成和IC的不同层之间的互连。BEOL 工艺还包括用于将在FEOL和MOL工艺期间创建的半导体器件进行互连的一系列晶片处理步骤。半导体制造中的常规BEOL工艺采用铜(Cu)互连和低介电常数(低K)层间电介质(ILD)来减少信号延迟、串扰和功率耗散。这些 Cu互连可以用双镶嵌工艺来制造,并且包括以金属线结构形式提供的垂直互连通道(via)和沟槽结构。金属线结构在给定的互连级内分配信号,也被称为金属级或金属层。via是在相邻金属层之间传送信号的互连。
就此而言,图1A解说了可在半导体管芯102中提供的互连结构100的顶视图。图1B解说了图1A中的互连结构100沿A1-A1线的横截面侧视图。互连结构100采用via VX以在相应上金属层MLX+1和下金属层MLX中的相邻的上金属线MX+1和下金属线MX之间提供互连。符号“X+1”表示在该示例中,上金属层MLX+1和上金属线MX+1被直接布置在下金属层MLX和下金属线MX的上方并与之相邻。可以使用双镶嵌工艺制造via VX,其中首先沉积并使用光刻图案化ILD104和上金属层MLX+1。由于蚀刻和金属沉积,创建上金属线MX+1和via VX。因此,作为制造工艺的一部分,via VX与互连结构100的上金属层 MLX+1对准,如图1A和1B所示。via VX与下金属线MX偏离,这增加了via VX的via电阻。via VX与下金属线MX偏离还使得via VX位于与相邻下金属线 MX(N)相距via金属短路距离S。然而,下金属线MX、MX(N)之间的ILD 104提供下金属线MX(N)和via VX之间的隔离,以避免下金属线MX、MX(N)之间的电短路(即,via金属短路)。viaVX与下金属线MX的对准将基于制造工艺的变化而变化。
如果图1A和1B中的半导体管芯102中的金属节距P的尺寸缩小,则互连结构100的金属节距P也将因此减小。然而,随着互连结构100的金属节距 P减小,via Vx与下金属线MX的未对准可能增加,从而导致增加的via VX电阻。增加的via Vx电阻导致金属线MX、MX+1之间增加的信号延迟。进一步地,减小互连结构100的金属节距P会进一步减小via VX与相邻金属线MX(N)之间的via金属短路距离S。较短的via金属短路距离S可能导致ILD 104发生击穿,在下金属线MX、MX(N)之间产生电短路。这对于图1A和1B中的互连结构100 的亚30纳米(nm)金属节距P可能尤其如此。然而,期望并且需要继续缩小半导体器件中的器件大小,同时仍然提供具有较低互连电阻以及via与金属线之间的足够隔离以避免via金属短路的互连结构。
公开概述
本公开的各方面包括在用于集成电路(IC)的互连结构中形成自对准垂直互连通道(via)。这些互连结构包括被布置在层中的金属线,这些层通过via 互连以提供与在半导体管芯中下垫有源半导体区域中的半导体器件的互连。与下垫互连金属线的via未对准影响via电阻,从而导致via中的信号延迟。via 未对准还可导致至相邻金属线的via金属短路。为了减少或避免提供与下垫互连金属线的互连的via的未对准,本文所公开的方面提供了互连结构中所制造的via,以与下垫互连金属线自对准。就此而言,在本文所公开的某些方面中,下垫金属线被形成在层间电介质(ILD)层中。在层间介电层的顶表面下方在下垫金属线中形成凹槽。停止层被布置在层间介电层上方并位于下垫金属线的凹槽内。停止层允许在下垫金属线的凹槽内形成(例如,向下蚀刻)via通道,以使via通道与下垫金属线自对准。换言之,凹槽允许via通道被布置并与下垫金属线对准,以允许via在制造期间与下垫金属线自对准。随后在延伸至凹槽中的via通道中沉积导电材料,以形成互连至下垫金属线的自对准via。
在另一方面,可期望形成具有比下垫金属层中的凹槽更大宽度的via通道。例如,自对准via可以形成在via开口中,使得自对准via的第一via部分延伸至下垫金属线的相应凹槽区域中,以与下垫金属线自对准,而自对准via的第二via部分延伸至凹槽区域之外。这允许放宽via着陆工艺余量,同时提供via 通道与凹槽完全交叠,以使via与下垫金属线完全自对准。进一步地,在另一方面,凹槽也被形成在与via相邻的(诸)下垫金属线中,以增加(诸)相邻下垫金属线和via之间的距离,以降低via金属短路的风险。例如,如果via通道具有比其在下垫金属层中的凹槽更大的宽度,则凹槽上方的via的宽度将向相邻的(诸)下垫金属线延伸超过凹槽的宽度。通过在相邻的(诸)下垫金属线形成凹槽,via和(诸)相邻下垫金属线之间的距离被增大。这可允许互连结构的金属线节距被进一步减小,同时仍旧避免via金属短路。
就此而言,在一方面,提供了一种用于IC的互连结构。该互连结构包括下垫金属层。该下垫金属层包括被布置在具有顶表面的介电层中的多条下垫金属线。该下垫金属层还包括被布置在介电层中在介电层的顶表面下方向下凹槽距离至多条下垫金属线之中的下垫金属线的具有凹槽宽度的凹槽区域。互连结构还包括被布置在下垫金属层上方的上覆金属层,该上覆金属层包括多条上覆金属线。互连结构还包括被布置在下垫金属层和上覆金属层之间且将下垫金属线与多条上覆金属线之中的上覆金属线电互连的具有via开口宽度的自对准 via。自对准via包括自对准via的第一via部分,其延伸至下垫金属线的相应凹槽区域中以与下垫金属线自对准。自对准via还包括自对准via的第二via部分,其在凹槽区域之外延伸至via开口宽度。
在另一方面,提供了一种用于IC的互连结构。该互连结构包括用于在IC 中提供下垫电互连的装置。该互连结构包括用于在IC中提供上覆电互连的装置。该互连结构还包括用于介电地分离用于提供下垫电互连的装置和用于提供上覆电互连的装置的装置。该互连结构还包括用于在用于提供下垫电互连的装置中提供具有凹槽宽度的凹槽区域的装置。该互连结构还包括用于提供第一via 部分和第二via部分的自对准装置,该第一via部分延伸至用于在用于提供下垫电互连的装置和用于提供上覆电互连的装置之间提供凹槽区域的装置中,而该第二via部分在用于提供凹槽区域的装置之外延伸至大于凹槽宽度的via开口宽度。
在另一方面,提供了一种在用于IC的互连结构中形成自对准via的方法。该方法包括在具有顶表面的第一介电层中形成具有金属线节距的多条下垫金属线。该方法还包括在第一介电层的顶表面下方移除多条下垫金属线之中的下垫金属线的具有凹槽距离的一部分,以在第一介电层中形成具有与下垫金属线相邻的底表面的具有凹槽宽度的凹槽区域。该方法还包括在第一介电层的顶表面上和凹槽区域的底表面上布置停止层。该方法还包括在停止层上方和凹槽区域内布置第二介电层。该方法还包括在第二介电层中向下至凹槽区域的底表面以及至停止层形成与多条下垫金属线之中的下垫金属线对准的via开口,via开口所具有的via开口宽度大于凹槽宽度。该方法还包括用导电材料填充via开口和沟槽开口向下至凹槽区域的底表面,以在沟槽开口和via开口中形成与下垫金属线自对准的自对准via,使得自对准via的第一via部分延伸至下垫金属线的相应凹槽区域中以与下垫金属线自对准,并且自对准via的第二via部分在凹槽区域之外延伸至via开口宽度。
附图简述
图1A和1B分别是在用于集成电路(IC)的半导体管芯的互连结构中将下垫金属线互连到上覆金属线的未对准垂直互连通道(via)的顶视图和横截面侧视图;
图2A和2B分别是互连结构的顶视图和横截面侧视图,该互连结构包括形成在半导体管芯的第一介电层中的凹槽区域内的自对准via,以与下垫金属线对准并互连,并且将下垫金属线与上覆金属线互连;
图3A和3B是解说制造与图2A和2B中的互连结构的下垫金属线对准的自对准via,以将下垫金属线互连到上覆金属线的示例性工艺的流程图;
图4A和4B分别是在用于IC中的互连结构的半导体管芯的第一介电层中以期望的金属线节距图案化金属线的沟槽的制造工艺的顶视图和截面侧视图;
图5A和5B分别是填充图案化的沟槽以在图4A和4B中半导体管芯的第一介电层中形成下垫金属线的制造工艺的顶视图和横截面侧视图;
图6A和6B分别是在图5A和5B中的半导体管芯中的下垫金属线中形成凹槽区域的制造工艺的顶视图和横截面侧视图;
图7A和7B分别是在图6A和6B中的半导体管芯中第一介电层的顶表面上和下垫金属线中的凹槽区域内布置停止层的制造工艺的顶视图和横截面侧视图;
图8A和8B分别是在图7A和7B中将第二介电层布置在停止层上和将硬掩模布置在第二介电层上的制造工艺的顶视图和横截面侧视图;
图9A和9B分别是可任选地在图8A和8B中的硬掩模上布置底部抗反射涂层(BARC),并且布置光致抗蚀剂层,之后在光致抗蚀剂层中via开口的自对准via图案的制造工艺的顶视图和横截面侧视图;
图10A和10B分别是在图9A和9B中的光致抗蚀剂层中向下蚀刻via开口至凹槽区域的底表面的制造工艺的顶视图和横截面侧视图;
图11A和11B分别是在图10A和10B中半导体管芯中蚀刻停止层以及移除光致抗蚀剂层和BARC层,并形成与via开口对准的沟槽开口的制造工艺的顶视图和横截面侧视图;
图12A和12B分别是在图11A和11B中的半导体管芯中在via开口和沟槽开口中布置导电材料以形成自对准via的制造工艺的顶视图和横截面侧视图,其中下垫金属线和上覆金属线与将上覆金属线与下垫金属线互连的自对准 via对准;以及
图13是可包括IC的示例性基于处理器的系统的框图,IC包括互连结构,该互连结构包括被布置在多个金属层中的金属线以及包括与下垫金属线对准并将下垫金属线互连到上覆金属线以在IC中形成互连(包括但不限于图2A和 2B中的互连结构)的自对准via。
详细描述
现在参照附图,描述了本公开的若干示例性方面。措辞“示例性”在本文中用于表示“用作示例、实例、或解说”。本文中描述为“示例性”的任何方面不必然被解释为优于或胜过其他方面。
本公开的各方面包括在用于集成电路(IC)的互连结构中形成自对准垂直互连通道(via)。这些互连结构包括被布置在层中的金属线,这些层通过via 互连以提供与在半导体管芯中下垫有源半导体区域中的半导体器件的互连。与下垫互连金属线的via未对准影响via电阻,从而导致via中的信号延迟。via 未对准还可导致至相邻金属线的via金属短路。为了减少或避免提供与下垫互连金属线的互连的via的未对准,本文所公开的方面提供了互连结构中所制造的via,以与下垫互连金属线自对准。就此而言,在本文所公开的某些方面中,下垫金属线被形成在层间电介质(ILD)层中。在层间介电层的顶表面下方在下垫金属线中形成凹槽。停止层被布置在层间介电层上方并位于下垫金属线的凹槽内。停止层允许在下垫金属线的凹槽内形成(例如,向下蚀刻)via通道,以使via通道与下垫金属线自对准。换言之,凹槽允许via通道被布置并与下垫金属线对准,以允许via在制造期间与下垫金属线自对准。随后在延伸至凹槽中的via通道中沉积导电材料,以形成互连至下垫金属线的自对准via。
在另一方面,可期望形成具有比下垫金属层中的凹槽更大宽度的via通道。例如,自对准via可以形成在via开口中,使得自对准via的第一via部分延伸至下垫金属线的相应凹槽区域中,以与下垫金属线自对准,而自对准via的第二via部分延伸至凹槽区域之外。这允许放宽via着陆工艺余量,同时提供via 通道与凹槽完全交叠,以使via与下垫金属线完全自对准。进一步地,在另一方面,凹槽也被形成在与via相邻的(诸)下垫金属线中,以增加(诸)相邻下垫金属线和via之间的距离,以降低via金属短路的风险。例如,如果via通道具有比其在下垫金属层中的凹槽更大的宽度,则凹槽上方的via的宽度将向相邻的(诸)下垫金属线延伸超过凹槽的宽度。通过在相邻的(诸)下垫金属线形成凹槽,via和(诸)相邻下垫金属线之间的距离被增大。这可允许互连结构的金属线节距被进一步减小,同时仍旧避免via金属短路。
就此而言,图2A和2B分别是包括用于为IC提供互连的自对准via的示例性互连结构200的顶视图和横截面侧视图。该互连结构200在可被包括在IC 204中的半导体管芯202(图2A中示出)中被提供。该互连结构200通常在基板206和作为前端制程(FEOL)工艺制造的有源半导体区域上被提供。作为示例,基板206可以是块状基板或绝缘体上覆半导体(SOI)基板。作为非限制性示例,基板206可由半导体材料(诸如,硅(Si)或硅锗(SiGe))形成。
互连结构200包括由自对准via(VX)212(1)、212(2)互连的一系列下垫金属线(MX)208(1)、208(2)(“下垫金属线208(1)、208(2)”)和上覆金属线(MX+1) 210(1)、210(2)(“上覆金属线210(1)、210(2)”)。via 212(1)、212(2)是提供互连结构200的层之间的电连接的电互连结构。作为非限制性示例,via 212(1)、 212(2)可以是穿硅via(TSV)。下垫金属线(MX)208(1)、208(2)和上覆金属线(MX+1)210(1)、210(2)是在互连结构200中提供电互连以提供在IC 204中元件耦合的装置。提供下垫金属线208(1)和相邻下垫金属线208(2)以及上覆金属线210(1)、210(2)彼此具有金属节距P。在互连结构200中,金属节距P可以小于五十六(56)纳米(nm),作为示例诸如二十八(28)nm。作为缩放至 IC 204中下一代节点的技术的结果,金属节距P可以被减小。在该示例中,在上垫金属线210(1)、210(2)下方提供下垫金属线208(1)和相邻下垫金属线 208(2)。通过“上覆”,其意味着上覆金属线210(1)、210(2)被布置在包括下垫金属线208(1)和相邻下垫金属线208(2)的金属层上方的金属层中。这在图2B中更详细地示出,图2B是图2A中的沿着沿上覆金属线210(1)的A2-A2线的互连结构200的横截面侧视图。如图2B所示,下垫金属线208(1)和相邻下垫金属线208(2)在第一下垫金属层MLX中被提供。上覆金属线210(1)、210(2)在被布置在第一下垫金属层MLX上方的第二上覆金属层MLX+1中被提供。注意,尽管图2A和2B中的互连结构200仅示出了两(2)个金属层MLX、MLX+1,但是互连结构200可具有包含被布置在上覆金属层MLX+1上方和/或在下垫金属层 MLX下方的金属线的附加金属层。
在互连结构200中提供自对准via 212(1)、212(2),以将下垫金属线208(1) 和相邻下垫金属线208(2)与相应的上覆金属线210(1)、210(2)互连。如下文将更详细讨论的,制造互连结构200,使得自对准via 212(1)、212(2)与它们各自的下垫金属线208(1)、208(2)和上覆金属线210(1)、210(2)都自对准。通过自对准via 212(1)、212(2)与它们各自的上覆金属线210(1)、210(2)对准,互连结构 200的电阻被减小以减少信号延迟,这与提供与它们各自的上覆金属线210(1)、 210(2)未对准的via相反。然而,如下文更详细地讨论的,自对准via 212(1)、 212(2)还与它们各自的下垫金属线208(1)、208(2)自对准。通过还将自对准via 212(1)、212(2)与它们各自的下垫金属线208(1)、208(2)自对准,互连结构200 的电阻被减小以减少信号延迟,这与提供与它们各自的下垫金属线208(1)、 208(2)未对准的via相反。此外,通过将自对准via 212(1)、212(2)与它们各自的下垫金属线208(1)、208(2)自对准,减少了未对准问题导致自对准via 212(1)、 212(2)被制造得与相邻下垫金属线208(1)、208(2)的距离足够短以导致via金属短路的风险。
图2B中的互连结构200的横截面图解说了关于如何将自对准via 212(1) 制造成与其相应的下垫金属线208(1)和上覆金属线210(1)自对准的更多细节。对图2B中的自对准via 212(1)的讨论也适用于自对准via 212(2)。为了将自对准via 212(1)与下垫金属线208(1)自对准,在下垫金属层MLX中在相应的第一介电层218(1)中的凹槽区域216(1)内向下形成自对准via 212(1)。作为非限制性示例,该示例中的第一介电层218(1)是层间电介质(ILD)层,其是例如具有低于约3.0的介电常数(k值)的低k介电层。如下面将更详细描述的,凹槽区域216(1)先前通过在第一介电层218(1)的顶表面220下方移除下垫金属线 208(1)的具有凹槽距离D1的一部分而形成。随后将宽度为W1的停止层222布置在第一介电层218(1)的顶表面220上。停止层222还被布置在凹槽区域216(1) 的底表面226(1)上的凹槽区域216(1)中,以在凹槽区域216(1)中提供与下垫金属线208相邻的停止。例如,停止层222可以是在凹槽区域216(1)中提供蚀刻停止的蚀刻停止层。第二介电层218(2)被布置在上覆金属层MLX+1中的停止层 222上方。随后将via开口宽度W2的via开口224(1)向下移除(例如,蚀刻) 通过第二介电层218(2)至停止层222并向下至凹槽区域216(1)的底表面226(1) 以将via开口224(1)自对准至下垫金属线208(1)。以此方式,随后可将导电材料布置在与下垫金属线208(1)对准的via开口224(1)中,以提供与下垫金属线 208(1)自对准并互连的自对准via 212(1)。
继续参照图2B,自对准via 212(1)还与上覆金属线210(1)自对准。如下文将更详细讨论的,通过在via开口224(1)上方的上覆金属线210(1)中提供沟槽 228(1)以使得沟槽228(1)与via开口224(1)对准来形成自对准via 212(1)与上覆金属线210(1)的对准。此后,自对准via 212(1)由被布置在沟槽228(1)和via开口224(1)中的导电材料形成,使得自对准via 212(1)也将与上覆金属线210(1) 自对准。
因此,在via开口224(1)中形成的与下垫金属线208(1)和上覆金属线210(1) 两者对准的自对准via 212(1)也与下垫金属线208(1)和上覆金属线210(1)两者自对准并互连。提供能够与下垫金属线208(1)自对准的自对准via 212(1)作为其制造工艺的一部分可能变得越来越重要,以避免via电阻的不可接受的增加和由此导致的信号延迟,以及via金属短路的风险。提供凹槽区域216(1)以对准via 开口224(1)从而自对准自对准via 212(1)允许自对准via 212(1)与下垫金属线 208(1)的更精确地控制和对准。via与金属线的对准对于小金属节距P可能特别关键,例如诸如五(5)nm及以下,其中互连金属线被放置得更靠近相邻金属线,在该情况下via Vx的未对准可导致via金属短路。
下垫金属线208(1)和相邻下垫金属线208(2)以及上覆金属线210(1)、210(2) 可以由任何期望的导电材料制成,包括但不限于铜(Cu)、钨(W)、钴(Co)、钌(Ru)、铝(Al)或其混合物或合金。然而,使用非铜(Cu)金属(例如,诸如钴(Co)、钌(Ru)和铝(Al))可能是有利的,因为它们是更容易回蚀的材料,并且能够更好地填充高纵横比的via开口224(1)和沟槽228(1)作为制造工艺的一部分,该制造工艺形成自对准via 212(1)、212(2)和它们各自的上覆金属线210(1)、210(2)与自对准via 212(1)、212(2)互连并对准的一部分。
此外,如图2B所示,在该示例中,via开口224(1)的via开口宽度W2可以形成为大于凹槽区域216(1)、216(2)的凹槽宽度W3。以此方式,可提供自对准via 212(1)以延伸超过凹槽区域216(1)的凹槽宽度W3且延伸至凹槽区域 216(1)的凹槽宽度W3之外。自对准via 212(1)的第一via部分230(1)延伸至下垫金属线208(1)的凹槽区域216(1)中,以将下垫金属线208(1)电互连至上覆金属线210(1)。自对准via 212(1)的第二via部分230(2)延伸至凹槽区域216(1)之外。该结构可提供自对准via 212(1)与下垫金属线208(1)的改良的对准,因为对于给定制造工艺中可能出现的变化情况下将自对准via 212(1)完全布置在凹槽区域216(1)中以提供与下垫金属线208(1)的顶表面的接触提供了更大的容限。因此,可放宽将自对准via 212(1)布置在凹槽区域216(1)中的制造工艺。然而,将via 开口224(1)延伸至超过凹槽区域216(1)的凹槽宽度W3的via开口宽度W2可使形成凹槽区域216(1)中的自对准via 212(1)置于更接近相邻下垫金属线208(2)。这可能会冒着在自对准via 212(1)和相邻下垫金属线208(2)之间创生via金属短路的风险。
就此而言,如图2B中进一步所示,在该示例中,相邻凹槽区域216(2)形成在与下垫金属线208(1)相邻的相邻下垫金属线208(2)中,以减少在自对准via 212(1)和相邻下垫金属线208(2)之间via金属短路的风险。如图2B所示,在相邻下垫金属线208(2)中提供相邻凹槽区域216(2)在自对准via 212(1)和相邻下垫金属线208(2)之间提供比在相邻下垫金属线208(2)中没有形成相邻凹槽区域 216(2)的情况更大的距离D2。例如,在该示例中相邻凹槽区域216(2)的凹槽宽度W3与凹槽区域216(1)的凹槽宽度W3相同,但这并不要求这样。这对于缩小互连结构(诸如互连结构200)中的金属节距P而言可能特别重要,例如超越五(5)nm技术节点,其中互连金属线位于更靠近相邻金属线,在该情况下via 的轻微未对准会导致via金属短路。这可允许互连结构200的金属节距被进一步减小,而没有via金属短路的风险。自对准via 212(1)与相邻下垫金属线208(2) 之间的横向距离S1不再是自对准via 212(1)与相邻下垫金属线208(2)之间对于 via金属短路的控制距离。在相邻下垫金属线208(2)中提供相邻凹槽区域216(2) 还可允许在制造工艺期间放宽via开口224(1)的着陆对准余量。图3A和3B描述了制造互连结构200和自对准via 212(1)的示例性制造工艺300,该自对准via 212(1)与在图2A和2B中的互连结构200的相应的下垫金属线208(1)和上覆金属线210(1)之间自对准并互连。图4A-12B中分别解说了图3A和3B中的制造工艺300中的工艺步骤。图4A-12B将被参考作为如下所述的图3A和3B中的制造工艺300中的示例性制造步骤。
就此而言,制造图2A和2B中的互连结构200的第一步骤是在具有顶表面 220的第一介电层218(1)中形成具有金属线节距P的多条下垫金属线208(1)和相邻下垫金属线208(2)(图3A中的框302)。形成多条下垫金属线208(1)、208(2) 的第一示例性步骤是在用于形成下垫金属线208(1)和相邻下垫金属线208(2)的第一介电层218(1)中形成沟槽402(1)、402(2)的图案化400,如图4A和4B所示。图4A是用于解说了被布置在基板206上的第一介电层218(1)的互连结构 200的半导体管芯406(1)的顶视图。在半导体管芯406(1)的第一介电层218(1) 中以用于形成下垫金属线208(1)和相邻下垫金属线208(2)的金属节距P形成沟槽402(1)、402(2)的图案化400用于。图4B是以用于形成下垫金属线208(1) 和相邻下垫金属线208(2)的金属节距P在第一介电层218(1)中形成的沟槽 402(1)、402(2)的图案化400的沿着图4A中的线A4-A4的横截面侧视图。例如,在第一介电层218(1)中可用自对准四重图案化(SAQP)工艺形成沟槽402(1)、 402(2)的图案化400。作为另一示例,也可用极紫外(UV)(EUV)光刻单次曝光形成多个沟槽402(1)、402(2)。
在图4A和4B中的半导体管芯406(1)中形成多条下垫金属线208(1)、208(2) 的下一示例性制造步骤是在相应的沟槽402(1)、402(2)中形成下垫金属线208(1) 和相邻下垫金属线208(2)(图3A中的框302)。这在图5A和5B中示出。图 5A是图4A和4B中的半导体管芯406(1)的下一阶段半导体管芯406(2)的顶视图,其中具有金属节距P的沟槽402(1)、402(2)填充有导电材料408以在被布置在互连结构200的基板206上的第一介电层218(1)中形成下垫金属线208(1) 和相邻下垫金属线208(2)。图5B是在形成于第一介电层218(1)中沟槽402(1)、 402(2)中形成的导电材料408的下垫金属线208(1)和相邻下垫金属线208(2)的沿着图5A中的线A5-A5的横截面侧视图。例如,形成在沟槽402(1)、402(2) 中的下垫金属线208(1)和相邻下垫金属线208(2)可用双镶嵌填充工艺形成。下垫金属线208(1)和相邻下垫金属线208(2)可以由任何期望的导电材料408制成,包括但不限于铜(Cu)、钨(W)、钴(Co)、钌(Ru)、铝(Al)或其混合物或合金。然而,如上所述,使用非铜(Cu)金属(作为示例,诸如,钴(Co)、钌(Ru)和铝(Al))可以是有利的,因为它们是更容易回蚀的材料,并且能够更好地填充高纵横比的via开口224(1)和沟槽228(1)。导电材料408的超过第一介电层218(1)的顶表面220残留的部分可以被向下抛光至顶表面220,诸如通过化学机械抛光或平坦化(CMP)工艺。
图6A是互连结构200的下一阶段半导体管芯406(3)的顶视图,以解说在图5A和5B中的半导体管芯406(2)中的下垫金属线208(1)和相邻下垫金属线 208(2)中形成凹槽区域216(1)和相邻凹槽区域216(2)的制造工艺步骤(图3A中的方框304)。图6B是沿着图6A中的横截面A6-A6线的下一阶段半导体管芯 406(3)的横截面侧视图。通过在第一介电层218(1)的顶表面220下方移除下垫金属线208(1)、208(2)的具有凹槽距离D1的一部分来形成凹槽区域216(1)和相邻凹槽区域216(2)。例如,可采用蚀刻工艺来在第一介电层218(1)的顶表面220 下方移除下垫金属线208(1)、208(2)的具有凹槽距离D1的一部分。凹槽区域 216(1)以及相邻凹槽区域216(2)被布置在相应的下垫金属线208(1)、208(2)中凹槽距离D1处,以形成与残留的下垫金属线208(1)、208(2)的顶表面相邻或由残留的下垫金属线208(1)、208(2)的顶表面形成的相应的底表面226(1)、226(2)。如上所述,在下垫金属线208(1)和相邻下垫金属线208(2)中形成凹槽区域216(1) 和相邻凹槽区域216(2)允许将相应的via开口224(1)和相邻via开口224(2)见图 2B)向下蚀刻至凹槽区域216(1)和相邻凹槽区域216(2)中,以将via开口224(1) 和相邻via开口224(2)与相应的下垫金属线208(1)、208(2)对准。如下文将讨论的,这允许自对准via 212(1)、212(2)被形成在via开口224(1)和相邻via开口224(2)中,并因此与相应的下垫金属线208(1)、208(2)自对准。
在图6A和6B的示例中,作为非限制性示例,凹槽区域216(1)和相邻凹槽区域216(2)形成距离D1,该距离D1是下垫金属线208(1)和相邻下垫金属线 208(2)的金属节距P的至少大约二分之一(1/2)。如上文关于图2B所讨论的,在下垫金属线208(1)、208(2)中提供凹槽区域216(1)和相邻凹槽区域216(2)可在相应的自对准via 212(1)、212(2)和相邻下垫金属线208(2)、208(1)之间提供比在相邻下垫金属线208(1)、208(2)中没有创建凹槽区域216(1)、216(2)的情况更大的距离。这可允许互连结构200的金属节距P进一步减小,而没有在稍后形成的自对准via 212(1)、212(2)和相应的相邻下垫金属线208(1)、208(2)之间的via金属短路风险。
为了在稍后的制造工艺步骤期间提供稍后向下蚀刻至第一介电层218(1) 和相应的凹槽区域216(1)、216(2)的底表面226(1)、226(2)的via开口224(1)、 224(2)(图2B),布置停止层222,如图7A和7B中所示。图7A是互连结构 200的下一阶段半导体管芯406(4)的顶视图,其解说了将停止层222布置在第一介电层218(1)的顶表面220上并布置在相应的凹槽区域216(1)、216(2)的底表面226(1)、226(2)上的下一制造工艺步骤(图3A中的框306)。例如,可以沉积工艺将停止层222沉积在第一介电层218(1)的顶表面220上并且沉积至相应凹槽区域216(1)、216(2)的底表面226(1)、226(2)上。图7B是下一阶段半导体管芯406(4)的沿着A7-A7线的横截面侧视图。停止层222也可被布置在凹槽区域216(1)和相邻凹槽区域216(2)的侧面上。停止层222是宽度为W1的薄层。作为示例,停止层222的宽度W1可以是两(2)nm或更小。停止层222可以由半导体材料(例如,诸如氮化铝(AlN))形成。
接者,在图3A和3B中的示例性制造工艺300中,第二介电层218(2)被布置在第一介电层218(1)上方和停止层222上方(图3A中的框308)。这在图 8A和8B中的下一阶段半导体管芯406(5)中示出。图8A是在图7A和7B中的半导体管芯406(4)上执行附加制造步骤之后的互连结构200的下一阶段半导体管芯406(5)的顶视图。图8B是图8A中的下一阶段半导体管芯406(5)的沿着图8A中的A8-A8线的横截面侧视图。如图8A和8B所示,也可任选性地在第二介电层218(2)上形成可以是金属硬掩模的硬掩模(HM)800。随后可在后续制造步骤中对硬掩模800进行图案化和蚀刻,以形成互连结构200的上覆金属线210(1)、210(2)(参见图2A)。
图9A是在准备提供via开口224(1)(图2B)的图8A和8B中的半导体管芯406(5)上执行下一制造工艺的互连结构200的下一阶段半导体管芯406(6)的顶视图。图9B是图9A中的半导体管芯406(6)的沿着图9A中的A9-A9线的横截面侧视图。如先前所讨论的,via开口224(1)(图2B)促成至互连结构200 的上覆金属线210(1)和下垫金属线208(1)的自对准via212(1)的制造。如图9A 和9B所示,可任选地在第二介电层218(2)上方提供光致抗蚀剂层(PR)900,其被曝光并用于形成via开口224(1)和相邻via开口224(2)。
就此而言,在该示例中首先形成via开口宽度W2的开口902(1)、902(2),以用于形成与相应下垫金属线208(1)、208(2)对准的via开口224(1)、224(2)的后续步骤(图3B中的框310)。例如,如图9B所示,光致抗蚀剂层900可被布置在硬掩模800上方,以形成via开口宽度W2的开口902(1)、902(2)。例如,可以沉积工艺将光致抗蚀剂层900沉积在硬掩模800上方,以形成via开口宽度W2的开口902(1)、902(2)。在该示例中,由于在曝光工艺中曝光光致抗蚀剂层900从而形成开口902(1)、902(2)。如下文更详细讨论的,开口902(1)、902(2) 被形成用于形成与相应的下垫金属线208(1)、208(2)对准的via开口224(1)和相邻via开口224(2)的后续步骤。如图9B所示,作为示例尤其关于下垫金属线 208(1),在其中将形成自对准via 212(1)(图2B)的凹槽区域216(1)上对准via 开口224(1),从而与下垫金属线208(1)对准。
此外,因为在该示例中硬掩模800被图案化并因此不是平坦的,所以在光致抗蚀剂层900被曝光之前,可任选地将底部抗反射涂层(BARC)层904(1)、 904(2)布置在硬掩模800上方,如图9A和9B所示。在第二介电层218(2)上布置(例如,沉积)BARC层904(1),以提供用于布置光致抗蚀剂层900并执行光刻处理步骤以形成开口902(1)、902(2)的基本上平坦的表面。如图9B所示,在该示例中BARC层904(1)被布置成使得相应的外涂层部分906在硬掩模800 上方延伸距离D3。随后将光致抗蚀剂层900布置在BARC层904(1)、904(2)上,从而后续被曝光以形成如上所述的开口902(1)、902(2),以用于形成via开口 224(1)和相邻via开口224(2)的后续步骤。
图10A是在针对自对准via 212(1)、212(2)(图2B)提供via开口224(1) 和相邻via224(2)的图9A和9B中的半导体管芯406(6)上执行的下一制造工艺的互连结构200的下一阶段半导体管芯406(7)的顶视图。图10B是图10A中的半导体管芯406(7)的沿着图10A中的A10-A10线的横截面侧视图。如图10B所解说,via开口宽度W2的via开口224(1)被形成与多条下垫金属线208(1)、208(2) 之中的下垫金属线208(1)对准(且在光致抗蚀剂层900中,如果提供)(图3B 中的框312)。在该示例中,向下蚀刻via开口224(1)通过BARC层904(1)、第二介电层218(2),并向下至在下垫金属线208(1)上的凹槽区域216(1)的底表面226(1)以及向下至停止层222(在图3B中的框312)。以此方式,via开口 224(1)与下垫金属线208(1)对准,使得形成在via开口224(1)中的自对准via 212(1)将与下垫金属线208(1)自对准,以避免或减少与下垫金属线208(1)的via 未对准。
图11A是在图10A和10B中的半导体管芯406(7)上执行的下一制造工艺的互连结构200的下一阶段半导体管芯406(8)的顶视图,其中光致抗蚀剂层900 和BARC层904(1)、904(2)被移除且沟槽开口1100(1)、1100(2)与via开口224(1) 和相邻via开口224(2)对准,以促成自对准via 212(1)、212(2)(图2B)的制造。图11B是图11A中的半导体管芯406(8)的沿着图11A中的A11-A11线的横截面侧视图。如图11B所解说,via开口宽度W2的via开口224(1)先前通过光致抗蚀剂层900中的开口902(1)而形成(图3B中的框312)。然而,期望制备 via开口224(1)以接纳导电材料,从而形成与下垫金属线208(1)互连的自对准 via 212(1)。在该示例中,从凹槽区域216(1)移除停止层222,使得被布置在via 开口224(1)中的导电材料被互连到下垫金属线208(1)。如果提供,则在围绕via 开口224(1)形成沟槽开口1100(1)之前移除光致抗蚀剂层900和/或BARC层 904(1)。随后在该示例中在via开口224(1)周围形成沟槽开口1100(1)并蚀刻沟槽开口1100(1)(图3B中的框314)。如果提供,则可使用硬掩模800在via 开口224(1)周围形成沟槽开口1100(1)。使用硬掩模800将沟槽开口1100(1)与上覆金属线210(1)对准。
图12A是在图11A和11B中的半导体管芯406(8)上执行的下一制造工艺的互连结构200的下一阶段半导体管芯406(9)的顶视图,以促成与相应的上覆金属线210(1)、210(2)和下垫金属线208(1)、208(2)自对准的自对准via 212(1)、 212(2)。图12B是图12A中的半导体管芯406(9)的沿着图12A中的A12-A12线的横截面侧视图。如图12B所示,via开口224(1)和沟槽开口1100(1)用导电材料1200向下填充至凹槽区域216(1)的底表面226(1)以形成自对准via 212(1)(图 3B中的框316)。以此方式,通过将导电材料1200布置在via开口224(1)和已经与下垫金属线208(1)对准的凹槽区域216(1)中,所制造的自对准via 212(1) 就与下垫金属线208(1)自对准。自对准via 212(1)被互连到上覆金属线210(1)。此外,通过由填充在沟槽开口1100(1)中的导电材料1200形成的自对准via 212(1),形成上覆金属线210(1)。作为示例,双镶嵌工艺可用于执行沟槽开口 1100(1)和via开口224(1)的填充工艺以形成自对准via 212(1)。用于填充图12A 和12B中的半导体管芯406(9)中的沟槽开口1100(1)和via开口224(1)以形成自对准via 212(1)和上覆金属线210(1)的导电材料1200可作为任何导电材料 1200(包括金属材料,诸如铜(Cu))来提供。然而,如上所述,使用非铜(Cu) 金属(作为示例,诸如,钴(Co)、钌(Ru)和铝(Al))可以是有利的,因为它们是更容易回蚀的材料,并且能够更好地填充高纵横比的via开口224(1) 和沟槽开口1100(1)。
此外,如图12B所示,在该示例中,via开口224(1)的via开口宽度W2被形成为大于凹槽区域216(1)的凹槽宽度W3,从而允许自对准via 212(1)延伸超过凹槽区域216(1)的凹槽宽度W3并延伸至凹槽区域216(1)的凹槽宽度W3之外。自对准via 212(1)的第一via部分230(1)延伸至下垫金属线208(1)的凹槽区域216(1)中,以将下垫金属线208(1)电互连至上覆金属线210(1)。自对准via 212(1)的第二via部分230(2)延伸至凹槽区域216(1)之外。该结构可提供自对准 via 212(1)与下垫金属线208(1)的改良的对准,因为对于给定制造工艺中可能出现的变化情况下将自对准via 212(1)完全布置在凹槽区域216(1)中以提供与下垫金属线208(1)的顶表面的接触提供了更大的容限。因此,可放宽将自对准via 212(1)布置在凹槽区域216(1)中的制造工艺。然而,将via开口224(1)延伸至超过凹槽区域216(1)的凹槽宽度W3的via开口宽度W2可使形成凹槽区域216(1) 中的自对准via 212(1)置于更接近相邻下垫金属线208(2)。这可能会冒着在自对准via 212(1)和相邻的下垫金属线208(2)之间创生via金属短路的风险。
因此,如图12B中进一步所示,在该示例中,相邻凹槽区域216(2)形成在与下垫金属线208(1)相邻的相邻下垫金属线208(2)中,以减少在自对准via 212(1)和相邻下垫金属线208(2)之间via金属短路的风险。在相邻下垫金属线 208(2)中提供相邻凹槽区域216(2)在自对准via 212(1)和相邻下垫金属线208(2) 之间提供比在相邻下垫金属线208(2)中没有形成相邻凹槽区域216(2)的情况更大的距离D2。例如,在该示例中相邻凹槽区域216(2)的凹槽宽度W3与凹槽区域216(1)的凹槽宽度W3相同,但这并不要求这样。这对于缩小互连结构(诸如互连结构200)中的金属节距P而言可能特别重要,例如超越五(5)nm技术节点,其中互连金属线位于更靠近相邻金属线,在该情况下via的轻微未对准会导致via金属短路。这可允许互连结构200的金属节距被进一步减小,而没有via金属短路的风险。自对准via 212(1)与相邻下垫金属线208(2)之间的横向距离S1不再是自对准via 212(1)与相邻下垫金属线208(2)之间对于via金属短路的控制距离。在相邻下垫金属线208(2)中提供相邻凹槽区域216(2)还可允许在制造工艺期间放宽via开口224(1)的着陆对准余量。这是因为自对准via 212(1)与相邻下垫金属线208(2)之间的横向距离S1不再是自对准via 212(1)与相邻下垫金属线208(2)之间对于via金属短路的控制距离。
用于自对准via 212(1)的制造步骤的上述示例也同样适用于自对准via 212(2)或在互连结构200中制造的任何其他自对准via。
包括包含与第一金属层中下垫金属线自对准的via以在上金属层中的下垫金属线与上覆金属线之间形成互连的互连结构的IC可被提供或集成到任何基于处理器的设备中。不构成限定的示例包括:机顶盒、娱乐单元、导航设备、通信设备、固定位置数据单元、移动位置数据单元、移动电话、蜂窝电话、智能电话、平板设备、平板手机、计算机、便携式计算机、台式计算机、个人数字助理(PDA)、监视器、计算机监视器、电视机、调谐器、无线电、卫星无线电、音乐播放器、数字音乐播放器、便携式音乐播放器、数字视频播放器、视频播放器、数字视频碟(DVD)播放器、便携式数字视频播放器、以及汽车。
就此而言,图13解说了可包括IC 1302的基于处理器的系统1300的示例,该IC1302可包括包含与第一金属层中下垫金属线自对准的via以在上金属层中的下垫金属线与上覆金属线之间形成互连的互连结构。可在基于处理器的系统1300中的任何组件中提供IC1302。在该示例中,基于处理器的系统1300 包括处理器1304,其包括一个或多个CPU 1306(0)-1306(N)。处理器1304可具有可由CPU 1306(0)-1306(N)可访问的共享高速缓冲存储器1308,以便快速访问临时存储的数据。
处理器1304被耦合到系统总线1310,且可相互耦合被包括在基于处理器的系统1300中的主设备和从设备。如所熟知地,处理器1304通过在系统总线 1310上交换地址、控制和数据信息来与这些其它设备通信。尽管未在图13中解说,但可提供多个系统总线1310,其中每个系统总线1310构成不同的织构。例如,处理器1304可向作为从设备的示例的存储器系统1312传达总线事务请求。存储器系统1312可以包括控制对存储器阵列1316的访问的存储器控制器1314。
其他主设备和从设备可被连接到系统总线1310。如图13中所解说的,这些设备可以包括存储器系统1312、一个或多个输入设备1320、一个或多个输出设备1322、一个或多个网络接口设备1324、以及一个或多个显示控制器1326。 (诸)输入设备1320可以包括任何类型的输入设备,包括但不限于输入键、开关、语音处理器等。(诸)输出设备1322可以包括任何类型的输出设备,包括但不限于音频、视频、其他视觉指示器等。(诸)网络接口设备1324可以是被配置成允许往来于网络1328的数据交换的任何设备。网络1328可以是任何类型的网络,包括但不限于有线或无线网络、私有或公共网络、局域网 (LAN)、无线局域网(WLAN)、广域网(WAN)、蓝牙TM网络、和因特网。 (诸)网络接口设备1324可以被配置成支持所期望的任何类型的通信协议。
处理器1304还可被配置成通过系统总线1310访问(诸)显示控制器1326 以控制发送给一个或多个显示器1330的信息。(诸)显示器控制器1326经由一个或多个视频处理器1332向(诸)显示器1330发送要显示的信息,该视频处理器1332将要显示的信息处理成适用于(诸)显示器1330的格式。(诸) 显示器1330可包括任何类型的显示器,包括但不限于阴极射线管(CRT)、液晶显示器(LCD)、等离子显示器等。
本领域技术人员将进一步领会,结合本文所公开的诸方面描述的各种解说性逻辑块、模块、电路和算法可被实现为电子硬件、存储在存储器中或另一计算机可读介质中并由处理器或其它处理设备执行的指令、或这两者的组合。作为示例,本文描述的主设备和从设备可用在任何电路、硬件组件、集成电路 (IC)、或IC芯片中。本文中所公开的存储器可以是任何类型和大小的存储器,且可配置成存储所需的任何类型的信息。为清楚地解说这种可互换性,各种解说性组件、框、模块、电路和步骤在上文已经以其功能性的形式一般性地作了描述。此类功能性如何被实现取决于具体应用、设计选择、和/或加诸于整体系统上的设计约束。技术人员可针对每种特定应用以不同方式来实现所描述的功能性,但此类实现决策不应被解读为致使脱离本公开的范围。
结合本文中所公开的各方面描述的各种解说性逻辑块、模块、以及电路可用设计成执行本文中描述的功能的处理器、数字信号处理器(DSP)、专用集成电路(ASIC)、现场可编程门阵列(FPGA)或其他可编程逻辑器件、分立的门或晶体管逻辑、分立的硬件组件、或其任何组合来实现或执行。处理器可以是微处理器,但在替换方案中,处理器可以是任何处理器、控制器、微控制器或状态机。处理器还可以被实现为计算设备的组合,例如,DSP与微处理器的组合、多个微处理器、与DSP核心协同的一个或多个微处理器、或任何其他此类配置。
本文所公开的各方面可被体现为硬件和存储在硬件中的指令,并且可驻留在例如随机存取存储器(RAM)、闪存、只读存储器(ROM)、电可编程ROM (EPROM)、电可擦可编程ROM(EEPROM)、寄存器、硬盘、可移动盘、 CD-ROM、或本领域中所知的任何其它形式的计算机可读介质中。示例性存储介质被耦合到处理器,以使得处理器能从/向该存储介质读取信息和写入信息。替换地,存储介质可以被整合到处理器。处理器和存储介质可驻留在ASIC中。ASIC可驻留在远程站中。在替换方案中,处理器和存储介质可作为分立组件驻留在远程站、基站或服务器中。
还注意到,本文任何示例性方面中描述的操作步骤是为了提供示例和讨论而被描述的。所描述的操作可按除了所解说的顺序之外的众多不同顺序来执行。此外,在单个操作步骤中描述的操作实际上可在数个不同步骤中执行。另外,示例性方面中讨论的一个或多个操作步骤可被组合。应理解,如对本领域技术人员显而易见地,在流程图中解说的操作步骤可进行众多不同的修改。本领域技术人员还将理解,可使用各种不同技术和技艺中的任何一种来表示信息和信号。例如,贯穿上面说明始终可能被述及的数据、指令、命令、信息、信号、比特、码元和码片可由电压、电流、电磁波、磁场或磁粒子、光场或光粒子、或其任何组合来表示。
提供对本公开的先前描述是为使得本领域任何技术人员皆能够制作或使用本公开。对本公开的各种修改对本领域技术人员而言将容易是显而易见的,并且本文中所定义的普适原理可被应用到其他变型而不会脱离本公开的精神或范围。由此,本公开并非旨在被限定于本文中所描述的示例和设计,而是应被授予与本文中所公开的原理和新颖特征一致的最广义的范围。

Claims (27)

1.一种用于集成电路IC的互连结构,包括:
下垫金属层,包括:
被布置在具有顶表面的介电层中的多条下垫金属线,所述多条下垫金属线包括在所述多条下垫金属线之中的下垫金属线和在所述多条下垫金属线之中与所述下垫金属线相邻的相邻下垫金属线;
具有凹槽宽度的凹槽区域,其被布置在所述介电层中在所述介电层的所述顶表面下方向下凹槽距离至所述多条下垫金属线之中的所述下垫金属线;
相邻凹槽区域,其被布置在所述介电层中在所述介电层的所述顶表面下方向下所述凹槽距离至所述相邻下垫金属线;以及
被布置在所述相邻凹槽区域中的蚀刻停止层;
被布置在所述下垫金属层上方的上覆金属层,所述上覆金属层包括多条上覆金属线;
第二介电层,其被布置在所述下垫金属层和所述上覆金属层之间;以及
具有垂直互连通道开口宽度的自对准垂直互连通道,其被布置在所述下垫金属层和所述上覆金属层之间通过所述第二介电层且将所述下垫金属线与所述多条上覆金属线之中的上覆金属线电互连,其中所述自对准垂直互连通道包括:
所述自对准垂直互连通道的第一垂直互连通道部分,其延伸至所述下垫金属线的所述凹槽区域中以与所述下垫金属线自对准;以及
所述自对准垂直互连通道的第二垂直互连通道部分,其在所述凹槽区域之外延伸至所述垂直互连通道开口宽度。
2.如权利要求1所述的互连结构,其中所述自对准垂直互连通道的第二垂直互连通道部分具有大于所述凹槽区域的凹槽宽度的宽度。
3.如权利要求1所述的互连结构,其中所述相邻凹槽区域通过在所述介电层的顶表面下方移除所述相邻下垫金属线的具有所述凹槽距离的一部分而形成。
4.如权利要求1所述的互连结构,其中所述相邻下垫金属线的所述凹槽距离是所述多条下垫金属线的金属节距的至少二分之一(1/2)。
5.如权利要求1所述的互连结构,其中所述凹槽区域通过在所述介电层的顶表面下方移除所述下垫金属线的具有所述凹槽距离的一部分而形成。
6.如权利要求1所述的互连结构,其中所述下垫金属线的所述凹槽距离是所述多条下垫金属线的金属节距的至少二分之一(1/2)。
7.如权利要求1所述的互连结构,其中所述多条下垫金属线由从包括钴(Co)、钌(Ru)和铝(Al)的组中选择的金属材料形成。
8.如权利要求1所述的互连结构,其中所述多条下垫金属线的金属节距小于或等于五十六(56)纳米(nm)。
9.如权利要求1所述的互连结构,其中所述多条下垫金属线的金属节距小于或等于二十八(28)纳米(nm)。
10.如权利要求1所述的互连结构,其中所述多条下垫金属线的金属节距小于或等于五(5)纳米(nm)。
11.如权利要求1所述的互连结构,其中所述蚀刻停止层包括氮化铝(AlN)。
12.如权利要求1所述的互连结构,其中所述蚀刻停止层的厚度小于或等于二(2)纳米(nm)。
13.如权利要求1所述的互连结构,其中所述互连结构被集成到所述IC中。
14.如权利要求1所述的互连结构,其中所述互连结构被集成到从由以下各项构成的组中选择的设备中:机顶盒;娱乐单元;导航设备;通信设备;固定位置数据单元;移动位置数据单元;移动电话;蜂窝电话;智能电话;平板设备;平板手机;计算机;便携式计算机;台式计算机;个人数字助理(PDA);监视器;计算机监视器;电视机;调谐器;无线电;卫星无线电;音乐播放器;数字音乐播放器;便携式音乐播放器;数字视频播放器;视频播放器;数字视频碟(DVD)播放器;便携式数字视频播放器;以及汽车。
15.一种在用于集成电路IC的互连结构中形成自对准垂直互连通道的方法,包括:
在具有顶表面的第一介电层中形成具有金属线节距的多条下垫金属线;
在所述第一介电层的所述顶表面下方移除所述多条下垫金属线之中的下垫金属线的具有凹槽距离的一部分,以在所述第一介电层中形成具有与所述下垫金属线相邻的底表面的具有凹槽宽度的凹槽区域;
在所述第一介电层的所述顶表面上和所述凹槽区域的所述底表面上布置蚀刻停止层;
在所述蚀刻停止层上方和所述凹槽区域内布置第二介电层;
在所述第二介电层中向下至所述凹槽区域的所述底表面以及至所述停止层形成与在所述多条下垫金属线之中的所述下垫金属线对准的垂直互连通道开口,所述垂直互连通道开口所具有的垂直互连通道开口宽度大于所述凹槽宽度;
形成与所述垂直互连通道开口对准的沟槽开口;以及
用导电材料填充所述垂直互连通道开口和所述沟槽开口向下至所述凹槽区域的所述底表面,以在所述沟槽开口和所述垂直互连通道开口中形成与所述下垫金属线自对准的自对准垂直互连通道,使得所述自对准垂直互连通道的第一垂直互连通道部分延伸至所述下垫金属线的所述凹槽区域中以与所述下垫金属线自对准,并且所述自对准垂直互连通道的第二垂直互连通道部分在所述凹槽区域之外延伸至所述垂直互连通道开口宽度,
其中填充所述垂直互连通道开口和所述沟槽开口进一步包括在所述沟槽开口中形成多条上覆金属线之中的上覆金属线,使得所述自对准垂直互连通道将所述上覆金属线与所述下垫金属线互连。
16.如权利要求15所述的方法,其中形成所述垂直互连通道开口包括将所述垂直互连通道开口向下蚀刻通过所述第二介电层至所述凹槽区域的所述底表面以及至所述蚀刻停止层。
17.如权利要求15所述的方法,其中形成与所述垂直互连通道开口对准的所述沟槽开口包括蚀刻与所述垂直互连通道开口对准的所述沟槽开口。
18.如权利要求15所述的方法,进一步包括在所述第二介电层中形成所述垂直互连通道开口之前在所述第二介电层上形成光致抗蚀剂层。
19.如权利要求18所述的方法,进一步包括在形成与所述垂直互连通道开口对准的所述沟槽开口之前,移除所述光致抗蚀剂层。
20.如权利要求18所述的方法,进一步包括在所述第二介电层上形成所述光致抗蚀剂层之前,在所述第二介电层上布置底部抗反射涂层BARC层。
21.如权利要求20所述的方法,进一步包括在形成与所述垂直互连通道开口对准的所述沟槽开口之前,移除所述BARC层。
22.如权利要求15所述的方法,进一步包括在形成所述垂直互连通道开口之后,移除所述凹槽区域中的所述蚀刻停止层。
23.如权利要求15所述的方法,进一步包括经由双镶嵌工艺填充所述垂直互连通道开口和所述沟槽开口。
24.如权利要求15所述的方法,其中布置所述第二介电层进一步包括将所述第二介电层布置到所述凹槽区域的所述底表面。
25.如权利要求15所述的方法,进一步包括:
在所述第一介电层的所述顶表面下方移除所述多条下垫金属线之中与所述下垫金属线相邻的相邻下垫金属线的具有相邻凹槽距离的一部分,以在所述第一介电层中形成具有与所述相邻下垫金属线相邻的底表面的具有相邻凹槽宽度的相邻凹槽区域。
26.如权利要求25所述的方法,进一步包括将所述蚀刻停止层布置在所述相邻凹槽区域的所述底表面上。
27.如权利要求25所述的方法,进一步包括将所述第二介电层布置在所述相邻凹槽区域内。
CN201780018285.3A 2016-03-21 2017-03-17 在用于集成电路(ic)的互连结构中形成自对准垂直互连通道(via) Active CN108886018B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662310951P 2016-03-21 2016-03-21
US62/310,951 2016-03-21
US15/229,535 2016-08-05
US15/229,535 US10354912B2 (en) 2016-03-21 2016-08-05 Forming self-aligned vertical interconnect accesses (VIAs) in interconnect structures for integrated circuits (ICs)
PCT/US2017/022868 WO2017165206A1 (en) 2016-03-21 2017-03-17 Forming self-aligned vertical interconnect accesses (vias) in interconnect structures for integrated circuits (ics)

Publications (2)

Publication Number Publication Date
CN108886018A CN108886018A (zh) 2018-11-23
CN108886018B true CN108886018B (zh) 2023-04-11

Family

ID=59847750

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780018285.3A Active CN108886018B (zh) 2016-03-21 2017-03-17 在用于集成电路(ic)的互连结构中形成自对准垂直互连通道(via)

Country Status (8)

Country Link
US (1) US10354912B2 (zh)
EP (1) EP3433877B1 (zh)
JP (1) JP2019509640A (zh)
KR (1) KR20180124045A (zh)
CN (1) CN108886018B (zh)
BR (1) BR112018068923A2 (zh)
TW (1) TW201801275A (zh)
WO (1) WO2017165206A1 (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10879120B2 (en) * 2016-11-28 2020-12-29 Taiwan Semiconductor Manufacturing Self aligned via and method for fabricating the same
US10615117B2 (en) * 2016-12-29 2020-04-07 Intel Corporation Self-aligned via
EP3499557A1 (en) * 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10790191B2 (en) * 2018-05-08 2020-09-29 Micromaterials Llc Selective removal process to create high aspect ratio fully self-aligned via
US10622301B2 (en) 2018-08-17 2020-04-14 International Business Machines Corporation Method of forming a straight via profile with precise critical dimension control
US10727124B2 (en) 2018-10-29 2020-07-28 International Business Machines Corporation Structure and method for forming fully-aligned trench with an up-via integration scheme
US11502001B2 (en) * 2018-10-31 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned vias
KR20200122189A (ko) 2019-04-17 2020-10-27 삼성전자주식회사 집적회로 소자의 제조 방법
US11543362B2 (en) * 2019-05-02 2023-01-03 Asmpt Singapore Pte. Ltd. Method for measuring the heights of wire interconnections
US11232986B2 (en) 2019-10-11 2022-01-25 Samsung Electronics Co., Ltd. Integrated circuit devices including enlarged via and fully aligned metal wire and methods of forming the same
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
US11244860B2 (en) 2019-10-22 2022-02-08 International Business Machines Corporation Double patterning interconnect integration scheme with SAV
US11264276B2 (en) 2019-10-22 2022-03-01 International Business Machines Corporation Interconnect integration scheme with fully self-aligned vias
US11152261B2 (en) 2019-10-26 2021-10-19 International Business Machines Corporation Self-aligned top via formation at line ends
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
CN112838048A (zh) 2019-11-22 2021-05-25 联华电子股份有限公司 互连结构以及其制作方法
EP3836198B1 (en) 2019-12-12 2022-08-24 Imec VZW A method for forming a via hole self-aligned with a metal block on a substrate
US11257677B2 (en) 2020-01-24 2022-02-22 Applied Materials, Inc. Methods and devices for subtractive self-alignment
US11488864B2 (en) 2020-10-02 2022-11-01 Samsung Electronics Co., Ltd. Self-aligned supervia and metal direct etching process to manufacture self-aligned supervia
US11916013B2 (en) 2021-09-02 2024-02-27 International Business Machines Corporation Via interconnects including super vias
US11978668B2 (en) 2021-09-09 2024-05-07 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101740544A (zh) * 2008-11-07 2010-06-16 台湾积体电路制造股份有限公司 半导体测试垫结构

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194316B1 (en) * 1998-08-10 2001-02-27 Vacuum Metallurgical Co., Ltd. Method for forming CU-thin film
WO2001051597A2 (en) * 2000-01-12 2001-07-19 Her Majesty In Right Of Canada As Represented By The Minister Of Agriculture Method for commercial preparation of preferred isomeric forms of ester free conjugated fatty acids in polyether alcohol containing solvents
FR2814449B1 (fr) * 2000-09-25 2003-02-07 Christian Salesse Dispositif de deplacement d'une charge
US7252875B2 (en) * 2002-12-16 2007-08-07 International Business Machines Corporation Diffusion barrier with low dielectric constant and semiconductor device containing same
US6838300B2 (en) * 2003-02-04 2005-01-04 Texas Instruments Incorporated Chemical treatment of low-k dielectric films
US20060175708A1 (en) * 2005-02-10 2006-08-10 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
US7741224B2 (en) * 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US8466068B2 (en) * 2007-12-31 2013-06-18 Sandisk 3D Llc Methods and apparatus for forming memory lines and vias in three dimensional memory arrays using dual damascene process and imprint lithography
DE102010027875A1 (de) * 2010-04-16 2011-10-20 Osram Opto Semiconductors Gmbh Optoelektronisches Bauelement und Verfahren zum Herstellen eines optoelektronischen Bauelements
FR2969375A1 (fr) 2010-12-17 2012-06-22 St Microelectronics Crolles 2 Structure d'interconnexion pour circuit intégré
US8664113B2 (en) 2011-04-28 2014-03-04 GlobalFoundries, Inc. Multilayer interconnect structure and method for integrated circuits
US20130013438A1 (en) * 2011-07-05 2013-01-10 Li-Hui Chen Grouping Method for Group-buying Based on Wireless Communication Protocol
KR20130060065A (ko) * 2011-11-29 2013-06-07 에스케이하이닉스 주식회사 비휘발성 메모리 장치 및 이의 제조 방법
CN104025263B (zh) 2011-12-30 2018-07-03 英特尔公司 自封闭的非对称互连结构
US8669661B2 (en) 2012-02-10 2014-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Metal line and via formation using hard masks
US8759807B2 (en) * 2012-03-22 2014-06-24 Micron Technology, Inc. Memory cells
US9054109B2 (en) 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications
US8803321B2 (en) 2012-06-07 2014-08-12 International Business Machines Corporation Dual damascene dual alignment interconnect scheme
US9152870B2 (en) * 2013-03-15 2015-10-06 Sri International Computer vision as a service
US9312204B2 (en) 2013-09-27 2016-04-12 Intel Corporation Methods of forming parallel wires of different metal materials through double patterning and fill techniques
US9583429B2 (en) 2013-11-14 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101740544A (zh) * 2008-11-07 2010-06-16 台湾积体电路制造股份有限公司 半导体测试垫结构

Also Published As

Publication number Publication date
TW201801275A (zh) 2018-01-01
JP2019509640A (ja) 2019-04-04
US10354912B2 (en) 2019-07-16
US20170271202A1 (en) 2017-09-21
BR112018068923A2 (pt) 2019-01-22
EP3433877B1 (en) 2022-07-06
EP3433877A1 (en) 2019-01-30
WO2017165206A1 (en) 2017-09-28
CN108886018A (zh) 2018-11-23
KR20180124045A (ko) 2018-11-20

Similar Documents

Publication Publication Date Title
CN108886018B (zh) 在用于集成电路(ic)的互连结构中形成自对准垂直互连通道(via)
US9607893B1 (en) Method of forming self-aligned metal lines and vias
TWI742018B (zh) 用於半導體晶粒的互連結構的金屬化層、用於製造所述金屬化層的方法、包含所述金屬化層的積體電路結構及包含所述積體電路結構的計算裝置
US20230154760A1 (en) Reduction of Line Wiggling
CN106716631B (zh) 采用使用延长通孔的金属线局部互连的中段制程(mol)制造的集成电路(ic)及相关方法
TW201804592A (zh) 用於後段製程(beol)間隔物為基內連之以光桶來圖案化的削減栓塞與突片
US10714389B2 (en) Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
TWI708353B (zh) 形成互連及形成半導體結構的方法
US10685874B1 (en) Self-aligned cuts in an interconnect structure
TWI751366B (zh) 導電通孔及金屬線端部的製造及由其製成的結構
US20160079167A1 (en) Tie-off structures for middle-of-line (mol) manufactured integrated circuits, and related methods
US10651122B1 (en) Integrated circuit (IC) interconnect structure having a metal layer with asymmetric metal line-dielectric structures supporting self-aligned vertical interconnect accesses (VIAS)
TW201711084A (zh) 用作互連之虛擬閘極及其製法
US9620371B2 (en) Semiconductor structures having low resistance paths throughout a wafer
US20190393036A1 (en) Metal and spacer patterning for pitch division with multiple line widths and spaces
US20210057230A1 (en) Carbon-based dielectric materials for semiconductor structure fabrication and the resulting structures
US11158536B2 (en) Patterning line cuts before line patterning using sacrificial fill material
EP4260365A1 (en) Self-aligned top via
US10204861B2 (en) Structure with local contact for shorting a gate electrode to a source/drain region
JPH11186274A (ja) デュアル・ダマスク技術
CN111584459B (zh) 采用使用延长通孔的金属线局部互连的中段制程mol制造的集成电路ic及相关方法
WO2020112388A1 (en) Metal interconnect structure by subtractive process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant