TWI751366B - 導電通孔及金屬線端部的製造及由其製成的結構 - Google Patents

導電通孔及金屬線端部的製造及由其製成的結構 Download PDF

Info

Publication number
TWI751366B
TWI751366B TW107127798A TW107127798A TWI751366B TW I751366 B TWI751366 B TW I751366B TW 107127798 A TW107127798 A TW 107127798A TW 107127798 A TW107127798 A TW 107127798A TW I751366 B TWI751366 B TW I751366B
Authority
TW
Taiwan
Prior art keywords
gate
ild
layer
sacrificial
diffusion
Prior art date
Application number
TW107127798A
Other languages
English (en)
Other versions
TW201924004A (zh
Inventor
露絲 布萊恩
克提斯 華德
查理斯 沃蘭斯
墨西特 哈朗
朴炫壽
雷肯 帕特爾
德巴希斯 巴蘇
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201924004A publication Critical patent/TW201924004A/zh
Application granted granted Critical
Publication of TWI751366B publication Critical patent/TWI751366B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

敘述了導電通孔及金屬線端部的製造。於一例子,互連結構包含第一層間介電質(ILD),在硬遮罩層上,其中ILD包含第一ILD開口及第二ILD開口。互連結構更包含蝕刻停止層(ESL),在ILD層上,其中ESL包含與第一ILD開口對準以形成第一通孔開口的第一ESL開口,且其中ESL層包含與第二ILD開口對準的第二ESL開口。互連結構更包含第一通孔,在第一通孔開口中,第二ILD層,在第一ESL上,及金屬線,在第二ILD層中,其中金屬線與第一通孔接觸,且其中金屬線包含第一金屬開口,且其中金屬線包含與第二ILD開口及ESL開口對準以形成第二通孔開口的第二金屬開口。互連結構更包含金屬線端部,在第一金屬開口中,且更包含第二通孔,在金屬線中,其中第二通孔在第二通孔開口中。

Description

導電通孔及金屬線端部的製造及由其製成的結構
本發明的實施方式係於半導體結構及製程的領域,且特別是,導電通孔及金屬線端部的製造,及由其製成的結構。
近幾十年來,積體電路中的特徵縮小已成為不斷成長的半導體工業的背後的驅動力。縮得越來越小的特徵致能在半導體晶片的有限的基礎上的功能單元的增加的密度。例如,縮小的電晶體尺寸允許在晶片上整合增加的數量的記憶體或邏輯裝置,致使產品的製造有增加的容量。唯,對於不斷更多的容量的驅動並非沒有問題。對於各裝置的效能的最佳化的需求變得越來越重要。
積體電路普遍包含導電微電子結構,其於所屬技術領域中稱為通孔,以電連接通孔上的金屬線或其它互連至通孔下的金屬線或其它互連。積體電路亦可包含非導電空間或在金屬線之間的中斷部,其於所屬技術領域中被稱為金屬線端部。通孔及金屬線端部典型地由微影製程形成。代表性地,例如,對於通孔,光阻層可被旋塗於介電質層上,光阻層可被曝露於穿過圖案化的遮罩的圖案化的光化輻射,且之後此暴露的層可被顯影以形成在光阻層中的開口。接著,藉由使用於光阻層中的開口作為蝕刻遮罩,用於通孔的開口可被蝕刻於介電質層中。此開口稱作通孔開口。最後,通孔開口可以一或更多金屬或其它導電材料填充以形成通孔。
過去,通孔的尺寸及間隔已逐漸地減小,且對於至少一些類型的積體電路(例如,先進的微處理器、晶片組組件、圖形晶片等),預期在未來通孔的尺寸及間隔會持續逐漸地減小。通孔尺寸的一度量為通孔開口的臨界尺寸。通孔的間隔的一度量為通孔間距。通孔間距代表最接近的相鄰通孔之間的中心對中心的距離。
當以非常小的間距由如微影製程而圖案化非常小的通孔,許多挑戰自然出現,特別是當間距約為70至90奈米(nm)或更小時及/或當通孔開口的臨界尺寸約35nm或更小時。一此挑戰為,通孔及上覆的互連之間的重疊及通孔與下伏陸塊互連之間的重疊,一般而言需要被控制為通孔間距的四分之一的尺度的高寬容度。當通孔間距隨時間縮得更小,重疊寬容度傾向於以更大於微影設備可以跟上的速度而隨著它們而縮小。
另一此挑戰為通孔開口的臨界尺寸一般傾向於以比微影掃描器的解析度能力的縮小速度更快地縮小。縮小技術存在以縮小通孔開口的臨界尺寸。唯,縮小的量傾向於為最小通孔間距以及由縮小製程的能力所限制,以有足夠的光學接近修正(OPC)中性,且不顯著地妥協線寬粗糙度(LWR)及/或臨界尺寸一致性(CDU)。
再另一此挑戰為當通孔開口的臨界尺寸減小時光阻的LWR及/或CDU特性一般需要進步以維持相同的臨界尺寸預算的整體區部。唯,目前多數光阻的LWR及/或CDU特性的進步不如通孔開口的臨界尺寸減小的快速。
另一此挑戰為極小的通孔間距一般傾向於為低於即使為極紫外線(EUV)微影掃描器的解析度能力。因此,通常會使用一些不同微影遮罩,其傾向於增加成本。於一些點,若間距持續減小,即使有多遮罩可能仍不能使用EUV掃描器印出用於這些極小間距的通孔開口。
另外的此挑戰為關於邊緣定位錯誤。互連結構典型地需要在臨界層之間的單蝕刻停止層。於圖案化製程,用於從於上的層的通孔的蝕刻典型地需要破壞穿過蝕刻停止層而著陸於通孔下方的金屬上。由縮小化導致的微影限制(例如,解析度及配位)可造成太大的通孔圖案化,其可不想要地著陸於二相鄰的金屬線上,其可被稱為邊緣定位錯誤。
因此,在用於導電通孔及金屬線端部的製造的背端部金屬化製造技術的區域中需要有改進。
敘述了導電通孔及金屬線端部的製造及由其製成的結構。於之後的敘述,提出了許多細節,例如特定的積體及材料機制,以提供對於本發明的實施方式的透徹理解。顯然地,對於所屬技術領域中具有通常知識者而言,本發明的實施方式可被實現而無這些特定的細節。於其它例子,可知的技術,例如積體電路設計佈局,不以細節的方式敘述,以避免非必要地混淆本發明的實施方式。此外,可以理解的是,於圖所示的多樣的實施方式僅為描述性的表示而非必需為實際尺寸。
特定的詞語亦可用於以下的敘述,僅為了參照的目的,且因此無意為限制性的。例如,詞語例如「上(或較上)」、「下(或較下)」、「之上」及「之下」及「下方」表示圖式中的方向,其作為參照。詞語例如「前」、「背」、「頂」、「底」、「後」及「側」敘述組件的部分的定向及/或位置,其於一致但任意的參照的框架中,其由參照文字及敘述討論的組件的關聯的圖式成為清楚的。一些詞語可包含於上特別提及的字、其衍生物、及相似重要性的字。
一或更多實施方式為關於導電通孔及金屬線端部的製造。如於下更詳細敘述的,伴隨交替的下伏層,針孔灰化圖案化製程可用以圖案化單鑲嵌閘極通孔、金屬線端部、及雙鑲嵌擴散通孔,其亦可稱為溝槽通孔。導電通孔、或通孔,尤其定義,著陸於先前層金屬圖案上,而金屬線端部、或線端部,形成非導電空間於金屬線中。如此,於此所述的實施方式致能更多堅固的互連製造機制,因為對於微影設備的限制被減緩。此互連製造機制可用以節省許多對準/曝光,且可用以減少若使用傳統方式圖案化此特徵所需的總製程操作及製程時間,例如,獨立的包含交替的下伏層的圖案化及金屬線、通孔、及線端部的形成。其它利益可包含良率的進步、對於短路於錯的線(由於,例如,邊緣定位錯誤)的防止。
圖1A至1N描述積體電路層的部分的截面圖,其代表於涉及用於BEOL互連製造的導電通孔及金屬線端部形成的製程中的多樣操作,根據本發明的實施方式。於所述的操作的圖,對於一些操作頂視圖所示的交叉柵可示於左手側,前截面圖示於頂部的右手側,且側截面圖示於底部的右手側。這些圖可於此稱為頂視圖及截面圖。此外,圖可包含具有參考標號的元件或多個元件於一圖中,其可於後續的圖中不再重覆,於此情況先前的參考標號用於任意後續的未包含先前所述的參考標號的圖中的元件的情況。
參照圖1A,包含第一交叉柵結構102的互連結構100形成作為製造導電通孔及金屬線端部的起始點。第一交叉柵結構102可包含第一犧牲交叉柵104在第一膜堆疊110上。第一犧牲交叉柵104可包含第一交叉柵材料106,例如但不限於,金屬,例如但不限於,鋁、鈦、鋯或鉭;多樣的氧化物、氮化物或碳化物,例如但不限於,氧化鋁、氧化鈦、氧化鋯、氧化鉭、氮化鈦、氮化鉭、氮化矽、碳化矽或二氧化矽;或半導體,例如但不限於,非晶矽。例如,用於犧牲交叉柵104的材料可至少部分基於蝕刻選擇性選擇。
第一膜堆疊110可包含第一犧牲層112,其可包含第一犧牲材料;在可包含第一ILD材料的第一層間介電質(ILD)層114上;在可包含硬遮罩材料的硬遮罩層116上。雖然所示為單層,第一犧牲層112可包含多於一層,且各層可包含與另一層相同的材料或與另一層不同的材料。此外或替代的,第一犧牲層112的部分可為或成為犧牲交叉柵104的部分。第一犧牲層112可包含材料,例如但不限於,金屬,例如但不限於,鋁、鈦、鋯或鉭;多樣的氧化物、氮化物或碳化物,例如但不限於,氧化鋁、氧化鈦、氧化鋯、氧化鉭、氮化鈦、氮化鉭、氮化矽、碳化矽或二氧化矽;或半導體,例如但不限於,非晶矽。例如,第一犧牲層112的材料可至少部分基於蝕刻選擇性選擇。
硬遮罩層116可包含相鄰於第二通孔導部116B的第一通孔導部116A。第一通孔導部116A的硬遮罩材料為與第二通孔導部116B及硬遮罩層116的硬遮罩材料不同的硬遮罩材料。代表性的,第二通孔導部116A的硬遮罩材料可包含介電質材料,其與第一通孔導部116A及硬遮罩層116的材料有足夠的化學上的差異,以允許第二通孔導部116B的硬遮罩材料的蝕刻相對於第一通孔導部116A及硬遮罩層116的選擇性。代表性的介電質材料可包含,但不限於,多樣的氧化物、氮化物及碳化物,例如但不限於,氧化矽、氧化鈦、氧化鉿、氧化鋁、氮氧化物、氧化鋯、矽酸鉿、氧化鑭、氮化矽、氮化硼、非晶碳、碳化矽及其它相似的介電質材料。例如,當硬遮罩層116可使用氧化矽形成、且第一通孔導部116A可包含氮化矽,第二通孔導部116B可包含碳化矽。
所成的結構100因此可包含硬遮罩層116,其具有一硬遮罩材料的第一通孔導部116A,與另一不同的硬遮罩材料的第二通孔導部116B交替。此外,第一通孔導部116A及第二通孔導部116B的各者可由第三硬遮罩材料(亦即,硬遮罩層116的材料)分開,其與通孔導部116A及116B的各者的硬遮罩材料不同。於此態樣,可形成硬遮罩層116,具有至少三不同的硬遮罩材料,其有不同的蝕刻選擇性,全部在單硬遮罩層中。至少三不同的硬遮罩材料可交替於整個硬遮罩層116,使得在硬遮罩層116中沒有二相同的硬遮罩材料彼此接觸。雖然敘述了三不同的材料用於硬遮罩層116中,硬遮罩層116可包含更多或更少的材料。
於一實施方式,第一通孔導部116A或第二通孔導部116B可為閘極通孔導部,例如用於金屬氧化物半導體場效電晶體(MOSFET)裝置的閘極接觸物的導部。於一實施方式,第一通孔導部116B或第二通孔導部116B可為擴散通孔導部,例如用於擴散接觸物(例如,MOSFET裝置的源極或汲極接觸物)的導部。雖然通孔導部116A及116B可被敘述為對於MOSFET裝置,於此的實施方式不限於MOSFET裝置。於此的實施方式可與任意其它類型的裝置一起使用,例如但不限於,雙極接面電晶體(BJT)裝置。於此實施方式,第一通孔導部116A或第二通孔導部116B可為基極接觸物、射極接觸物或集極接觸物通孔導部。圖1A更描述半導體基板120,其可包含閘極接觸物122及擴散接觸物124,其可為,例如,MOSFET裝置的源極或汲極。
第一犧牲交叉柵104可包含複數潛在閘極通孔位置130,其可包含閘極通孔佔位材料132。潛在閘極通孔位置130可為在第一交叉柵結構102中的所有可能的閘極通孔可形成的位置。第一犧牲交叉柵102可更包含活化的閘極通孔位置140,其可為可包含閘極通孔開口142的潛在閘極通孔位置130的子集,閘極通孔佔位材料132已從閘極通孔開口142移除。第一交叉柵材料106可圍繞潛在閘極通孔位置130及活化的閘極通孔位置140。
於一實施方式,閘極通孔佔位材料132可由各向同性蝕刻製程在潛在閘極通孔位置130移除。於此一實施方式,閘極通孔佔位材料132可為有機聚合物,例如,碳硬遮罩(CHM),且各向同性蝕刻(其可被稱為「針孔灰化」)可為各向同性電漿灰化(氧電漿)或濕清潔製程。因此,各向同性蝕刻製程可與孔縮小製程一起使用,有針孔圖案化於,例如,遮罩層(例如,相對薄的低溫氧化物遮罩層)形成於下伏結構上。遮罩層中的開口可實質小於暴露的犧牲佔位材料。相對小於暴露的佔位材料的開口的形成可提供對於邊緣定位錯誤的耐受性的顯著的提升。製程有效地「縮小」通孔位置至「針孔」的大小,相對於實際通孔位置的選擇及圖案化而言。於一實施方式,遮罩層可以開口圖案化,由首先以微影製程(例如,正調微影製程)形成且圖案化遮罩層上的感光材料,且之後由蝕刻製程圖案化遮罩層。此製程可被稱為針孔灰化製程。
潛在閘極通孔位置140的子集可包含任意數量的潛在閘極通孔位置,從一潛在閘極通孔位置到潛在閘極通孔位置130的全部。此外,交叉以形成潛在閘極通孔位置130的第一犧牲交叉柵104中的正交線可以恆定間距間隔且具有恆定寬度。於其它實施方式,間距不需為恆定,寬度亦不需為恆定。可以理解的是,犧牲交叉柵104可以一些方式製造,包含,例如但不限於,半間距方法、四分之一間距方法、193 nm浸漬微影(193i)、極紫外光(EUV)及/或電子束直寫(EBDW)微影、定向自組裝(DSA)、定向選擇性生長等。
用於形成圖1A的交叉柵結構以及以下的圖1E及1H的交叉柵結構的製程操作,可涉及沉積層以產生交叉的線以形成複數潛在通孔或插塞位置,且蝕刻穿過層以辨別潛在的通孔或插塞位置的子集。層可包含蓋在線的正上,最終蝕刻可發生為穿過蓋以辨別佔位材料從其間移除的潛在通孔或插塞位置的子集,且創造密封物以保護潛在通孔或插塞位置的子集中的不辨別的位置不受蝕刻電漿。此外,敘述或圖所示的圖1A以及於下的圖1E及1I的交叉柵結構,可不包含對於形成犧牲交叉柵可能是需要的多樣的層或製程操作。唯,為了清晰,此層或製程操作可不被包含,因為它們不影響整體的概念。相似地,層、特徵或其它態樣可被隱藏或可為於此的實施方式的背景技術。唯,為了清晰,此層、特徵或其它態樣可不被包含、敘述或描述,因為它們不影響整體的概念。
參照圖1B,第一膜堆疊材料可從活化的閘極通孔位置140的底部移除。於一實施方式,可移除第一膜堆疊材料穿過至閘極通孔導部,例如,第二通孔導部116B,其中閘極通孔導部在閘極接觸物(例如,閘極接觸物122)之上或旁。於一實施方式,可移除第一膜堆疊材料穿過至閘極接觸物,例如第一通孔導部116A或第二通孔導部116B下方或旁的閘極接觸物122。於一實施方式,從活化的第一通孔位置140的底部移除第一堆疊材料可包含施加各向異性蝕刻技術。於一實施方式,施加各向異性蝕刻技術可包含使用乾蝕刻製程。乾蝕刻製程可為各向異性,以可由活化的第一通孔位置140中達到的材料可被選擇性的蝕刻於特定的方向的方式,例如,往硬遮罩層116。這致能,例如,定義可包含直或相對直的邊緣的特徵的能力,以避免,例如,與相鄰的特徵產生電短路。
參照圖1C,活化的閘極通孔位置140可以第一導電材料150填充以形成閘極通孔160。於一實施方式,第一導電材料可由材料構成,例如但不限於,鋁、鈦、鋯、鉿、釩、釕、鈷、鎳、鈀、鉑、銅、鎢或金、銀、或其合金。於一實施方式,閘極通孔可由單鑲嵌金屬化製程形成。於單鑲嵌金屬化製程,金屬特徵(例如,金屬線及通孔)可各形成於分開的製程操作。
於一實施方式,活化的閘極通孔位置140可以過多的導電材料150填充,且可執行後續的研磨操作及平坦化操作。於過多的導電材料150在沉積後被平坦化的情況,可使用化學機械研磨(CMP)製程。於另一實施方式,唯,活化的通孔位置140被填充而無金屬過填充,且研磨操作被省略。於一實施方式,導電材料150可為單材料層、或可從許多層形成,包含導電襯墊層及填充層。任意適合的沉積製程,例如電鍍、化學氣相沉積、物理氣相沉積、或旋塗,可用以由導電材料150填充活化的閘極通孔位置140。
參照圖1D,可移除第一犧牲交叉柵104,以暴露第一ILD層114的表面170及第一ILD層114中的閘極通孔160的表面162,其中第一犧牲交叉柵104的移除可包含在第一ILD層114之上的第一膜堆疊110的殘留物的移除。第一ILD層114的表面170及閘極通孔160的表面162在第一犧牲交叉柵104的移除後可被平坦化。於一實施方式,移除第一犧牲交叉柵104可包含施加各向同性蝕刻技術。於一實施方式,施加各向同性蝕刻技術可包含使用濕蝕刻。蝕刻製程可為各向同性,以材料可被蝕刻於多於一方向的方式,以移除材料被暴露處。
參照圖1E,第二交叉柵結構202可形成於第一ILD層114的表面170上。第二交叉柵結構202可包含第二犧牲交叉柵204於第二膜堆疊210上。第二犧牲交叉柵204可包含第一部分204A及第二部分204B。第二犧牲交叉柵204可包含第二交叉柵材料206,例如但不限於,鋁、鈦、鋯或鉭;多樣的氧化物、氮化物或碳化物,例如但不限於,氧化鋁、氧化鈦、氧化鋯、氧化鉭、氮化鈦、氮化鉭、氮化矽、碳化矽或二氧化矽;或半導體,例如但不限於,非晶矽。例如,用於犧牲交叉柵204的材料可至少部分基於蝕刻選擇性選擇。
第二犧牲交叉柵204可包含複數潛在插塞位置230,其可包含插塞佔位材料232。潛在插塞位置230可在所有可能的插塞(且因此金屬線端部)可形成於第二交叉柵結構202中的位置。第二犧牲交叉柵204可更包含潛在插塞位置230的子集,其為可包含插塞佔位材料232已從中移除的插塞開口242的活化的插塞位置240。第二交叉柵材料206可圍繞潛在插塞位置230及活化的插塞位置240。
插塞位置240的子集可包含任意數量的潛在插塞位置,從一潛在插塞位置到潛在插塞位置230的全部。此外,交叉以形成潛在插塞位置240的第二犧牲交叉柵204中的正交線可以恆定間距間隔且具有恆定寬度。於其它實施方式,間距不需為恆定,寬度亦不需為恆定。可以理解的是,第二犧牲交叉柵204可以一些方式製造,包含,但不限於,半間距方法、四分之一間距方法、193 nm浸漬微影(193i)、極紫外光(EUV)及/或電子束直寫(EBDW)微影、定向自組裝(DSA)、定向選擇性生長等。
第二膜堆疊210可包含第二犧牲層212,其可包含第二犧牲層材料;在可包含第二ILD層材料的第二ILD層214上;在可包含蝕刻停止層(ESL)材料(其於此亦可稱為ES材料)的ESL 216上。ESL材料可包含介電質材料,其可包含,但不限於,多樣的氧化物、氮化物及碳化物,例如,氧化矽、氧化鈦、氧化鉿、氧化鋁、氮氧化物、氧化鋯、氧化鉿、氧化鑭、氮化矽、氮化硼、非晶碳、碳化矽及其它相似的介電質材料。雖然所示為單層,第二犧牲層212可包含多於一層,且各層可包含與另一層相同的材料或與另一層不同的材料。此外或替代的,第二犧牲層212的部分可為或成為第二犧牲交叉柵204的部分。第二犧牲層212可包含材料,例如但不限於,金屬,例如但不限於,鋁、鈦、鋯或鉭;多樣的氧化物、氮化物或碳化物,例如但不限於,氧化鋁、氧化鈦、氧化鋯、氧化鉭、氮化鈦、氮化鉭、氮化矽、碳化矽或二氧化矽;或半導體,例如但不限於,非晶矽。例如,第二犧牲層212的材料可至少部分基於蝕刻選擇性選擇。
參照圖1F,活化的插塞位置240可以插塞佔位材料250填充以形成插塞260。插塞佔位材料250可為適合用於形成插塞的任意材料,例如,氧化物。於一實施方式,活化的插塞位置240可以過多的插塞佔位材料250填充,且可執行後續的研磨操作及平坦化操作。插塞佔位材料250在沉積後被平坦化的情況,可使用CMP製程。於另一實施方式,唯,活化的插塞位置240被填充而無金屬過填充,且研磨操作被省略。於一實施方式,插塞佔位材料250可為單材料層、或可從許多層形成。任意適合的沉積製程,例如電鍍、化學氣相沉積、物理氣相沉積、或旋塗,可用以由插塞佔位材料250填充活化的插塞位置240。
參照圖1G,可移除第二犧牲交叉柵204的第二部分204B,以形成在插塞260下方的金屬線端部270在第二犧牲交叉柵204的第一部分204A中,其中金屬線端部270可包含第二ILD層214的ILD材料214X,且更形成ILD線280於ESL 216的表面290上,其中ILD線280亦包含ILD材料214X。此外,第二犧牲層材料212X可在插塞佔位材料250及第二ILD層材料214X之間,在金屬線端部270及ILD線280的兩者上。第二犧牲交叉柵204的第一部分的移除可轉換插塞260的圖案至第二ILD層214。於一實施方式,移除第二犧牲交叉柵204的部分可包含施加各向同性蝕刻技術。於一實施方式,施加各向同性蝕刻技術可使用濕蝕刻製程。於一實施方式,插塞260及金屬線端部270可包含側壁,且襯墊例如可由原子層沉積而沉積,以保護側壁免於因為後續製程的傷害。
參照圖1H,第三交叉柵結構302可形成於ESL 216上。第三交叉柵結構302可包含第三犧牲交叉柵304。第三犧牲交叉柵304可包含第三交叉柵材料306,例如但不限於,金屬,例如但不限於,鋁、鈦、鋯或鉭;多樣的氧化物、氮化物或碳化物,例如但不限於,氧化鋁、氧化鈦、氧化鋯、氧化鉭、氮化鈦、氮化鉭、氮化矽、碳化矽或二氧化矽;或半導體,例如但不限於,非晶矽。例如,用於犧牲交叉柵304的材料可至少部分基於蝕刻選擇性選擇。
第三犧牲交叉柵304可包含複數潛在擴散通孔位置330,其可包含擴散通孔佔位材料332。潛在擴散通孔位置330可為所有可能的擴散通孔可形成於第三交叉柵結構302中的位置。第三犧牲交叉柵304可更包含潛在擴散通孔位置330的子集,其為可包含擴散通孔佔位材料332已移除的擴散通孔開口342的活化的擴散通孔位置340。第三交叉柵材料306可圍繞潛在擴散通孔位置330及活化的擴散通孔位置340。
潛在擴散通孔位置340的子集可包含任意數量的潛在擴散通孔位置,從一潛在擴散通孔位置到潛在擴散通孔位置330的全部。此外,交叉以形成潛在擴散通孔位置340的第三犧牲交叉柵304中的正交線可以恆定間距間隔且具有恆定寬度。於其它實施方式,間距不需為恆定,寬度亦不需為恆定。可以理解的是,第三犧牲交叉柵304可以一些方式製造,包含,但不限於,半間距方法、四分之一間距方法、193 nm浸漬微影(193i)、極紫外光(EUV)及/或電子束直寫(EBDW)微影、定向自組裝(DSA)、定向選擇性生長等。
參照圖1I,可從活化的擴散通孔位置340的底部移除ESL材料及ILD材料,穿過至硬遮罩層116的擴散通孔導部,例如,第一通孔導部116A。於一實施方式,從活化的第二通孔位置340的底部移除ESL材料及ILD材料穿過至硬遮罩層116的擴散通孔導部可包含施加各向異性蝕刻技術。於一實施方式,施加各向異性蝕刻技術可包含使用乾蝕刻製程。於另一實施方式,從活化的第二通孔位置340的底部移除ESL材料及ILD材料,穿過至硬遮罩層116的第一通孔導部116B可包含施加各向同性蝕刻技術。於一實施方式,施加各向同性蝕刻技術可使用濕蝕刻製程。
參照圖1J,擴散通孔佔位材料350可沉積於活化的擴散通孔位置340中。擴散通孔佔位材料可為,例如,CHM。
參照圖1K,移除第三犧牲交叉柵304以形成溝槽360於在ESL 216的表面290上的ILD線280之間。於一實施方式,移除第三犧牲交叉柵304可包含施加各向同性蝕刻技術。於一實施方式,施加各向同性蝕刻技術可使用濕蝕刻製程。
參照圖1L,在活化的擴散通孔位置340下方的擴散通孔導部(其可為,例如第一通孔導部116A)可被移除。於一實施方式,移除活化的擴散通孔位置340下方的擴散通孔導部可包含施加各向異性蝕刻技術。在ILD線280之間的ESL 216的移除可造成ILD線280中的第二ILD材料214X下方的ESL 216的ESL材料216X成為ILD線280的部分且成為金屬線端部270的部分。
參照圖1M,活化的擴散通孔位置340及溝槽360可以第二導電金屬370填充以分別形成擴散通孔380及金屬線390,其中閘極通孔160的表面162與金屬線390接觸。於一實施方式,擴散通孔380及金屬線390可由雙鑲嵌金屬化製程形成。於雙鑲嵌金屬化製程,金屬特徵,例如,通孔,或金屬線,可分開形成,如於單鑲嵌金屬化製程。唯,金屬特徵可同時以金屬填充,而不是於一操作填充一金屬特徵且於另一操作填充另一金屬特徵。同時填充金屬特徵可造成製程操作的數量的減少,且可致能通孔及金屬線自對準,作為形成對於金屬線390的溝槽的交叉柵圖案可再被使用作為產生擴散通孔380的模板。同時填充金屬特徵亦可減少因為金屬阻障/種沉積的電阻,其會需要以避免電遷移及為了播種金屬的沉積。金屬阻障/種層典型地比可用以形成通孔或金屬線的金屬(例如銅)有更大的電阻。
於一實施方式,活化的擴散通孔340可以過多的第二導電材料370填充,且可執行後續的研磨操作及平坦化操作。於過多的第二導電材料370在沉積後被平坦化的情況,可使用化學機械研磨(CMP)製程。於另一實施方式,唯,活化的通孔位置340被填充而無金屬過填充,且研磨操作被省略。於一實施方式,第二導電材料370可為單材料層、或可從許多層形成,包含導電襯墊層及填充層。任意適合的沉積製程,例如電鍍、化學氣相沉積、物理氣相沉積、或旋塗,可用以由第二導電材料370填充活化的閘極通孔位置340。
參照圖1N,殘留的第二犧牲層材料212X可被移除,以暴露ILD線280的表面282、擴散通孔380的表面382、及金屬線390的表面392,其中移除殘留的第二犧牲層212可包含插塞260的移除。在殘留在ILD線280上的第二犧牲層的移除後,ILD線280的表面282、擴散通孔380的表面382、及金屬線390的表面392可平坦化。於一實施方式,化學機械研磨(CMP)製程可用以移除殘留在ILD線280上的第二犧牲層212。
雖然於此的實施方式可以複數的通孔、金屬線端部、金屬線或ILD線的方式敘述,於此的實施方式可包含單通孔、單金屬線端部、單金屬線或單ILD線。雖然於的此實施方式可以單通孔、金屬線端部、金屬線或ILD線的方式敘述,於此的實施方式可包含任意數量的通孔、金屬線端部、金屬線或ILD線。雖然於此的實施方式可敘述為包含特定數量的通孔、金屬線端部、金屬線或ILD線,於此的實施方式可包含任意數量的通孔、金屬線端部、金屬線或ILD線。
雖然於此所述的實施方式可以MOSFET裝置的閘極通孔及閘極接觸物的方式敘述,或以用於擴散接觸物(例如,MOSFET裝置的源極或汲極)的擴散通孔的方式敘述,於此的實施方式不限於MOSFET裝置。於此的實施方式可與任意其它類型的裝置一起使用,其可與互連結構(例如,互連結構100)一起使用。此其它類型的裝置可包含,例如但不限於,BJT裝置。於此實施方式,於此所述的通孔可為基極接觸通孔、射極接觸通孔、或集極接觸通孔。此外,於一實施方式,通孔可一般稱為第一通孔、第二通孔等。
圖2描述用於積體電路的互連結構的截面圖,根據本發明的實施方式。於一實施方式,互連結構200可包含ILD層204A於硬遮罩層202A上,其中ILD層204A可包含第一ILD層開口(未顯示)及第二ILD層開口(未顯示)。ESL 206A可在ILD層204A上。ESL層206A可包含第一ILD材料206B,且ESL 206A可包含第一ESL開口(未顯示),其對準第一ILD開口以形成閘極通孔開口(未顯示)。ESL 206A可更包含第二ILD開口(未顯示),其對準第二ILD開口。
互連結構200可更包含閘極通孔208A於第一通孔開口中,其中閘極通孔208A可包含第一金屬填充208B,如所述。互連結構200可更包含第二ILD層(未顯示)在ESL 206A上,其中第二ILD層可包含第二ILD材料(未顯示)。互連結構200可更包含金屬線212A於第二ILD層中,其中金屬線212A與閘極通孔接觸,且其中金屬線212A可包含第一金屬開口(未顯示),且其中金屬線212A可包含第二金屬開口(未顯示),其對準第二ILD開口及ESL開口以形成第二通孔開口(未顯示)。
互連結構200可更包含金屬線端部220A於第一金屬開口中,以由第一金屬開口電隔離金屬線212A的第一部分212C與金屬線212A的第二部分212D,其中金屬線端部220A可包含第三介電質材料220B及ES材料220C於第一ESL 206A及第二介電質材料220B之間。互連結構200可更包含擴散通孔230A於第二通孔開口中,其中擴散通孔可包含第二金屬填充212B。
於一實施方式,第一通孔為閘極通孔,且第二通孔為擴散通孔。於一實施方式,ESL 206A可包含金屬氧化物。於一實施方式,第一ILD層204A及第二ILD層212A可包含相同的介電質材料。於一實施方式,第二ILD層212A及金屬線端部220A可包含相同的介電質材料。於一實施方式,ES材料可包含金屬氧化物。於一實施方式,第一金屬填充212B及第二金屬填充220B可包含,例如但不限於,鋁、鈦、鋯、鉿、釩、釕、銅、鎳、鈀、鉑、銅、鎢、金或銀、或其合金。於一實施方式,硬遮罩層202A可包含相鄰於第二材料202C的第一材料202B,其中若第二材料202C被蝕刻,則第一材料202B能夠維持,且若第一材料202B被蝕刻,則第二材料202C能夠維持。
圖3描述積體電路結構的截面圖。積體電路結構300可包含第一ILD層304A在硬遮罩層302A上,其中硬遮罩層可包含相鄰於第二材料302C的第一材料302B,其中若第二材料302C被移除,則第一材料302B能夠維持,且其中若第一材料302B被移除,則第二材料302C能夠維持,例如由蝕刻移除。積體電路結構300可更包含ESL 306A在第一ILD層304A上。積體電路結構300可更包含閘極通孔308A通過穿過第一對準開口(未顯示)於第一ILD層304A中及ESL 306A中。積體電路結構300可更包含第二ILD層(未顯示)在ESL 306A上。
積體電路結構300可更包含金屬線312A在第二ILD層中,其中金屬線312A與閘極通孔308A接觸。積體電路結構300可更包含金屬線端部320A在金屬線312A中,以電隔離金屬線的第一部分312C與金屬線312A的第二部分312D。積體電路結構300可更包含擴散通孔330A通過穿過第二對齊的開口(未顯示)於金屬線312A中、於ESL 306A中及於第一ILD層304A中。
積體電路結構300可更包含半導體裝置330A。半導體裝置330A可包含半導體基板332A、閘極接觸物340A在半導體基板332A上,其中閘極通孔308A與閘極接觸物340A接觸,其藉由金屬層302A中的第一材料302B的部分的移除。半導體裝置330A可更包含擴散接觸物350A在半導體基板330A上,其中擴散通孔330A與擴散接觸物350A接觸,其藉由金屬層中的第二材料302C的部分的移除。
例如關聯圖1N或2所述的所成的結構可之後被用作用於形成後續通孔及金屬線端部的基礎。或是,圖1N或2的結構可代表積體電路中的最終金屬互連層。可以理解的是,以上的製程操作可以不同的順序實現,不是所有操作必須被執行且/或額外的製程操作可被執行。雖然用於BEOL互連結構的導電通孔及金屬線端部的製造的上述的製程(例如,圖1A至1N)已對於選擇的操作詳細敘述,可以理解的是,對於製造的額外的或中介的操作可包含標準微電子製造製程,例如微影、蝕刻、薄膜沉積、平坦化(例如,化學機械研磨(CMP)、擴散、度量、犧牲層的使用、蝕刻停止層的使用、平坦化停止層的使用及/或任意其它微電子組件製造的關聯動作。對於上述可使用基於間隔物的間距分隔的製程流程,定向自組裝(DSA)製程的應用可被使用以取代製程流程的一或更多位置。於任何情況,所成的結構致能直接位於下伏的金屬線的中心上的通孔的製造,其可稱為自對準通孔。亦即,通孔可寬於、窄於、或與下伏的金屬線有相同厚度,例如,因為不完美的選擇性蝕刻製程。唯,於一實施方式,通孔的中心可直接對準(匹配)金屬線的中心。如此,於一實施方式,因為傳統的微影/雙鑲嵌圖案化的偏位(若不是如此在傳統上是必須忍受的)對於於此所述的所成的結構不是問題。
上述的實施方式可被實現以致能強自對準及減緩邊緣定位問題,若不是如此在傳統圖案化上是重大問題。據此,相鄰金屬特徵具有不同蝕刻停止材料,使得甚至當通孔圖案跨到相鄰的金屬線,選擇性的通孔蝕刻驅使通孔著陸於通孔下方的正確金屬線上。實施方式可被實現以致能針孔灰化圖案化製程、單鑲嵌金屬化製程、及雙鑲嵌金屬化製程與有色下伏層的整合。此可由以下得證,例如,使用單及雙鑲嵌金屬化製程製造的通孔及金屬線端部與雙層ILD堆疊及相對於傳統的互連結構的額外的蝕刻停止層一起使用。這致能,例如,使用各向同性蝕刻製程的預形成通孔及插塞選擇被整合於硬遮罩層上,有交替的通孔導部,且結合單鑲嵌金屬化製程及雙鑲嵌金屬化製程。實施方式因此可被實現以致能針孔灰化圖案化製程及圖案化閘極通孔及擴散通孔的整合,且不需要圖案化通孔於分開的蝕刻製程中。實施方式可被實現以致能堅固的互連可靠性及低通孔/接觸物電阻。
於一實施方式,如於整份本說明書中所使用的,層間介電質(ILD)材料可由介電質或絕緣材料的層構成或可包含介電質或絕緣材料的層組成。適合的介電質材料的例子可包含,但不限於,矽的氧化物(例如,二氧化矽(SiO2 ))、矽的氮化物(例如,氮化矽(Si3 N4 ))、氧摻雜的矽、矽的氟化的氧化物、矽的碳摻雜氧化物、所屬技術領域中可知的多樣的低介電常數(low-k)介電質材料、及其組合。ILD材料可由傳統的技術形成,例如,化學氣相沉積(CVD)、物理氣相沉積(PVD)或其它沉積技術。
於一實施方式,如亦用於整份本說明書的,金屬線或互連線材料(及通孔材料)由一或更多金屬或其它導電結構構成。一般例子為銅線及結構的使用,其可有或沒有包含阻障層於銅及圍繞的ILD材料之間。如於此所用的,詞語「金屬」可包含合金、堆疊及複數金屬的其它組合。例如,金屬互連線可包含阻障層、不同金屬或合金的堆疊等。因此,互連線可為單材料層、或可從許多層形成,包含導電襯墊層及填充層。任意適合的沉積製程,例如電鍍、化學氣相沉積、或物理氣相沉積,可用以形成互連線。於一實施方式,互連線由阻障層及導電填充材料構成。於一實施方式,阻障層為鉭或氮化鉭層,或其組合。於一實施方式,導電填充材料為材料,例如但不限於,銅、鋁、鈦、鋯、鉿、釩、釕、鈷、鎳、鈀、鉑、鎢、銀或金,或其合金。互連線亦有時於所屬技術領域稱為跡線、導線、線、金屬、金屬線或單純稱為互連線。
於一實施方式,如亦用於整份本說明書的,硬遮罩材料(且於一些例子為蝕刻停止層)由與互連介電質材料不同的材料構成。於一實施方式,不同硬遮罩材料可用於不同區,以提供對於彼此及對於下伏的介電質及金屬層的不同的生長或蝕刻選擇性。於一些實施方式,硬遮罩層可包含矽的氮化物(例如,氮化矽)的層或矽的氧化物的層、或兩者、或其組合。其它適合的材料可包含基於碳的材料,例如碳化矽。於另一實施方式,硬遮罩材料可包含金屬物種。例如,硬遮罩或其它上覆材料可包含鈦的氮化物或另一金屬(例如,氮化鈦)的層。其它材料的潛在的較少量,例如氧,可包含於這些層的一或更多中。或是,可使用其它於所屬技術領域中可知的硬遮罩層,依特定的實施例而定。硬遮罩層可由CVD、PVD或由其它沉積技術形成。
可以理解的是,關聯圖1A至1N、2及3敘述的層及材料典型地形成於下伏的半導體基板或結構上或之上,例如積體電路的下伏裝置層。於一實施方式,下伏的半導體基板代表用以製造積體電路的一般工件物體。半導體基板通常包含晶圓或矽的其它片或另一半導體材料。適合的半導體基板可包含,但不限於,單晶矽、多晶矽、絕緣覆矽(SOI)、及化合物半導體,例如,砷化鎵(GaAs)或其它III-V半導體基板,以及由其它半導體材料形成的相似的基板。半導體基板,依製造的階段而定,通常包含電晶體、積體電路及類似。基板亦可包含半導體材料、金屬、介電質、摻雜物、及一般出現於半導體基板中的其它材料。此外,圖1N所述的結構(即,從圖1A開始)可被製造於下伏的較低階互連層上。
於此揭示的實施方式可用以製造廣泛多樣的不同類型的積體電路及/或微電子裝置。此積體電路的例子包含,但不限於,處理器、晶片組組件、圖形處理器、數位訊號處理器、微控制器及類似。於其它實施方式,半導體記憶體可被製造。此外,積體電路或其它微電子裝置可用於廣泛多樣的所屬技術領域可知的電子裝置。例如,於電腦系統(例如,桌上電腦、膝上電腦、伺服器)、行動電話、個人電子等。積體電路可耦合於匯流排及系統中的其它組件。例如,處理器可由一或更多匯流排耦合於記憶體、晶片組等。處理器、記憶體及晶片組的各者,可能使用於此所述的方式製造。
圖4描述根據本發明的一實施例的電腦裝置400。電腦裝置400裝載板402。板402可包含一些組件,包含但不限於處理器404及至少一通訊晶片406。處理器404與板402實體且電耦合。於一些實施中例,至少一通訊晶片406亦與板402實體且電耦合。於更多實施例中,通訊晶片406為處理器404的部分。
依其應用,電腦裝置400可包含其它可能有或可能沒有與板402實體及電耦合的組件。這些其它組件,包含但不限於,揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位訊號處理、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制、電池、音訊編解碼器、影片編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速度計、陀螺儀、喇叭、相機及大量儲存裝置(例如硬碟、光碟(CD)、數位多用碟片(DVD)等)。
通訊晶片406致能用於從且至電腦裝置400的資料的傳輸的無線通訊。詞語「無線」及其所衍生的可用於敘述電路、裝置、系統、方法、技術、通訊頻道等,經由非固態介質,可藉由調整的電磁輻射的使用而通訊資料。此詞語並非暗示相關裝置沒有包含任何線,雖然於一些實施方式中它們可能沒有線。通訊晶片406可實現任意一些的無線標準或協定,包含但不限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙(Bluetooth)、其衍生物,以及任何指定用於3G、4G、5G以及更多的其它無線協定。電腦裝置400可包含複數通訊晶片406。例如,第一通訊晶片406可專用於較短範圍的無線通訊,例如Wi-Fi及Bluetooth,且第二通訊晶片406可專用於較長的範圍的無線通訊,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其它。
電腦裝置400的處理器404包含封裝於處理器404中的積體電路晶粒。於本發明的一些實施例,處理器的積體電路晶粒包含一或更多結構,例如導電通孔及金屬線端部,根據本發明的實施方式的實施例建構。詞語「處理器」可表示,從暫存器及/或記憶體處理電資料以將電資料轉換成可儲存於暫存器及/或記憶體中的其它電資料的任意裝置或裝置的部分。
通訊晶片406亦包含積體電路晶粒,封裝在通訊晶片406中。根據本發明的另一實施例,通訊晶片的積體電路晶粒包含一或更多結構,例如導電通孔及金屬線端部,其根據本發明的實施方式的實施例建構。
根據本發明的更多實施例,裝載於電腦裝置400內的另一組件可包含積體電路晶粒,其包含一或更多結構,例如導電通孔及金屬線端部,其根據本發明的實施方式的實施例建構。
於多樣的實施方式中,電腦裝置400可為膝上電腦、小筆電、筆記型電腦、超極筆電、智慧手機、平板電腦、個人數位助理(PDA)、超極移動個人電腦、行動電話、桌上電腦、伺服器、印表機、掃描器、螢幕、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或數位影片錄影機。於更多實施例,電腦裝置400可為處理資料的任意其它的電子裝置。
圖5描述中介物500,其包含本發明的一或更多實施方式。中介物500係用於橋接第一基板502至第二基板504的中介基板。第一基板502可為,例如,積體電路晶粒。第二基板504可為,例如,記憶體模組、電腦主機板或其它積體電路晶粒。一般而言,中介物500的目的是擴展連接至更廣的間距或重路由連接至不同的連接。例如,中介物500可耦合積體電路晶粒至球柵陣列(BGA)506,其可接續耦合至第二基板504。於一些實施方式,第一及第二基板502/504係附接至中介物500的相對側。於其它實施方式,第一及第二基板502/504附接至中介物500的相同側。且於更多實施方式,三或更多基板由中介物500的方式互連。
中介物500可由,環氧樹脂、玻璃纖維加強環氧樹脂、陶瓷材料或例如聚醯亞胺的聚合物材料,形成。於更多實施例,中介物可由替代的剛性或撓性材料形成,其可包含與上述用於半導體基板的相同材料,例如矽、鍺及其它III-V族及IV族材料。
中介物可包含金屬互連508及通孔510,包含但不限於矽穿孔(TSV)512。中介物500可更包含嵌入裝置514,包含被動及主動裝置的兩者。此裝置包含,但不限於,電容、解耦合電容、電阻、電感、熔絲、二極體、變壓器、感測器及靜電放電(ESD)裝置。更複雜的裝置,例如射頻(RF)裝置、功率放大器、電源管理裝置、天線、陣列、感測器及微機電系統(MEMS)裝置亦可形成於中介物500上。根據本發明的實施方式,此處所揭示的設備或製程可用於中介物500的製造。
因此,本發明的實施方式包含導電通孔及金屬線端部的製造,及由其製成的結構。
一些非限制性的例子提供於下。 例子
例子1可包含一種互連結構,包含:第一層間介電質(ILD),在硬遮罩層上,其中該ILD包含第一ILD開口及第二ILD開口;蝕刻停止層(ESL),在該ILD層上,其中該ESL包含與該第一ILD開口對準以形成第一通孔開口的第一ESL開口,且其中該ESL層包含與該第二ILD開口對準的第二ESL開口;第一通孔,在該第一通孔開口中;第二ILD層,在該第一ESL上;金屬線,在該第二ILD層中,其中該金屬線與該第一通孔接觸,且其中該金屬線包含第一金屬開口,且其中該金屬線包含與該第二ILD開口及該ESL開口對準以形成第二通孔開口的第二金屬開口;金屬線端部,在該第一金屬開口中;及第二通孔,在該金屬線中,其中該第二通孔在該第二通孔開口中。
例子2可包含例子1的互連結構或於此的一些其它例子,其中該第一通孔為閘極通孔且其中該第二通孔為擴散通孔。
例子3可包含例子1、2的互連結構或於此的一些其它例子,其中該第一ILD層包含第一介電質材料且該第二ILD層包含第二介電質材料。
例子4可包含例子3的互連結構或於此的一些其它例子,其中該第一ILD層及該第二ILD層包含相同的介電質材料。
例子5可包含例子1、2的互連結構或於此的一些其它例子,其中該第二ILD層及該金屬線端部包含相同介電質材料。
例子6可包含例子1、2的互連結構或於此的一些其它例子,其中該硬遮罩層包含相鄰於第二材料的第一材料,其中若該第二材料被蝕刻該第一材料能夠維持。
例子7可包含例子6的互連結構或於此的一些其它例子,更包含:半導體裝置,其中該半導體裝置包含,半導體基板;閘極接觸物,在該半導體基板上,其中該第一通孔為藉由該硬遮罩層中的該第一材料的部分的移除而與該閘極接觸物接觸的閘極通孔;及擴散接觸物,在該半導體基板上,其中該第二通孔為藉由該硬遮罩層中的該第二材料的部分的移除而與該擴散接觸物接觸的擴散通孔。
例子8可包含一種製造用於積體電路的互連結構的方法,該方法包含:形成第一交叉柵結構,該第一交叉柵結構包含第一犧牲交叉柵在第一膜堆疊上,其中該第一犧牲交叉柵結構包含含有閘極通孔佔位材料的複數潛在閘極位置,且其中該潛在閘極通孔位置的子集為包含閘極通孔開口的活化的閘極通孔位置,該閘極通孔佔位材料已從該閘極通孔開口移除;從該活化的閘極通孔位置的底部移除第一膜堆疊材料,穿過至閘極接觸物;以第一導電材料填充該活化的閘極通孔位置以形成閘極通孔;移除該第一犧牲交叉柵,以暴露該第一膜堆疊的第一ILD層的表面及該第一ILD層中的該閘極通孔的表面,其中該第一犧牲交叉柵的移除包含在該第一ILD層之上的該第一膜堆疊的殘留物的移除;形成第二交叉柵結構在該第一ILD層的該表面上,該第二交叉柵結構包含第二犧牲交叉柵在第二膜堆疊上,其中該第二犧牲交叉柵包含第一部分、第二部分、及包含插塞佔位材料的複數潛在插塞位置,且其中該潛在插塞位置的子集為包含插塞開口的活化的插塞位置,該插塞佔位材料已從該插塞開口移除;以插塞佔位材料填充該活化的插塞位置以形成插塞;移除該第二犧牲交叉柵的該第二部分,以形成在該插塞下方的金屬線端部在該第二犧牲交叉柵的該第一部分中,其中該金屬線端部包含該第二膜堆疊的ILD層的ILD材料,且更形成ILD線於該第二膜堆疊的蝕刻停止層(ESL)的該表面上,其中該ILD線包含該ILD材料;形成包含第三犧牲交叉柵的第三交叉柵結構在該ESL上,其中該第三犧牲交叉柵包含含有擴散通孔佔位材料的複數潛在擴散通孔位置,且其中該潛在擴散通孔位置的子集為包含開口的活化的擴散通孔位置,該擴散通孔佔位材料已從該開口移除;從該活化的擴散通孔位置的底部移除ESL材料及第一ILD材料,穿過至該第一膜堆疊中的硬遮罩層中的擴散通孔導部;移除該第三犧牲交叉柵,以形成溝槽於在該ESL的該表面上的該ILD線之間;移除該擴散通孔導部;以第二導電材料填充該活化的擴散通孔位置以形成擴散通孔;以該第二導電材料填充該溝槽以形成金屬線,其中該閘極通孔的該表面與該金屬線接觸;及移除該第二膜堆疊的犧牲層的犧牲材料,以暴露該金屬線的表面、該ILD線、該金屬線端部、及該擴散通孔,且其中該第二膜堆疊的該犧牲層的該犧牲材料的移除包含該插塞的移除。
例子9可包含例子8的方法或於此的一些其它例子,其中從該活化的閘極通孔位置的該底部移除該第一膜堆疊材料包含施加各向異性蝕刻技術。
例子10可包含例子9的方法或於此的一些其它例子,其中施加該各向異性蝕刻技術包含使用乾蝕刻製程。
例子11可包含例子8、9的方法或於此的一些其它例子,其中以該第一導電材料填充該活化的閘極通孔位置以形成該閘極通孔包含:以過多的第一導電材料填充該活化的閘極通孔位置;及研磨該過多的第一導電材料,以移除該過多的第一導電材料。
例子12可包含例子8、9的方法或於此的一些其它例子,更包含從該活化的閘極通孔位置的該底部移除該第一膜堆疊材料,穿過至該第一膜堆疊中的硬遮罩層中的閘極通孔導部,其中該閘極通孔導部在該閘極接觸物之上。
例子13可包含例子8、9的方法或於此的一些其它例子,其中該插塞及該金屬線端部包含側壁,且其中該方法更包含以原子層沉積而沉積襯墊以保護該側壁。
例子14可包含例子8、9的方法或於此的一些其它例子,其中移除該第三犧牲交叉柵包含施加各向同性蝕刻技術。
例子15可包含例子8、9的方法或於此的一些其它例子,更包含沉積擴散通孔佔位材料於該活化的擴散通孔位置中。
例子16可包含例子8、9的方法或於此的一些其它例子,其中以該第二導電材料填充該活化的擴散通孔位置以形成該擴散通孔包含以過多的第二導電材料填充該活化的擴散通孔位置;及研磨該過多的第二導電材料。
例子17可包含一種製造用於積體電路的互連結構的方法,該方法包含:形成第一交叉柵結構,該第一交叉柵結構包含犧牲交叉柵在第一膜堆疊上,其中該第一交叉柵結構包含含有閘極通孔佔位材料的複數潛在閘極通孔位置,且其中該潛在閘極通孔位置的子集為包含閘極通孔開口的活化的閘極通孔位置,該閘極通孔佔位材料已從該閘極通孔開口移除;從該活化的閘極通孔位置的底部移除第一膜堆疊材料,穿過至閘極接觸物;形成閘極通孔於該第一膜堆疊的第一ILD層中,其中該閘極通孔包含於該活化的閘極通孔位置中的第一導電材料;暴露該第一ILD層的表面;形成第二交叉柵結構在該第一ILD層上,該第二交叉柵結構包含第二犧牲交叉柵在第二膜堆疊上,其中該第二犧牲交叉柵包含第一部分、第二部分、及包含插塞佔位材料的複數潛在插塞位置,且其中該潛在插塞位置的子集為包含開口的活化的插塞位置,該插塞佔位材料已從該開口移除;形成插塞,該插塞包含插塞材料於該活化的插塞位置中;由移除該第二犧牲交叉柵的該第一部分,形成在該插塞下方的金屬線端部在該第二膜堆疊的蝕刻停止層(ESL)的表面上,其中該金屬線端部包含該第二膜堆疊的ILD層的ILD材料,由移除該第二犧牲交叉柵的該第一部分,形成ILD線在該ESL的該表面上,且其中該ILD線包含該ILD材料;形成包含第三犧牲交叉柵的第三交叉柵結構在該ESL上,其中該第三犧牲交叉柵包含含有擴散通孔佔位材料的複數潛在擴散通孔位置,且其中該潛在擴散通孔位置的子集為包含開口的活化的擴散通孔位置,該擴散通孔佔位材料已從該開口移除;從該活化的擴散通孔位置的底部移除ESL材料及該ILD材料,穿過至該擴散接觸物;沉積擴散通孔佔位材料於該活化的通孔位置中;形成溝槽於該ESL的該表面上的該ILD線之間;形成擴散通孔,該擴散通孔包含第二導電材料在該活化的擴散通孔位置中;形成金屬線,該金屬線包含該溝槽中的該第二導電材料,其中該閘極通孔的該表面與該金屬線接觸;及移除該第二膜堆疊的犧牲層的犧牲材料,以平坦化該金屬線的表面、該ILD線、該金屬線端部、及該擴散通孔,其中該第二膜堆疊的該犧牲層的該犧牲材料的移除包含該插塞的移除。
例子18可包含例子17的方法或於此的一些其它例子,其中暴露該第一ILD層的該表面包含移除該第一犧牲交叉柵,其中該第一犧牲交叉柵的移除包含在該第一ILD層之上的該第一膜堆疊的殘留物的移除。
例子19可包含例子17、18的方法或於此的一些其它例子,更包含從該活化的擴散通孔位置的該底部移除該ESL材料及該ILD材料,穿過至該第一膜堆疊中的硬遮罩層中的擴散通孔導部,其中該擴散通孔導部在該擴散接觸物之上。
例子20可包含例子17、18的方法或於此的一些其它例子,其中形成該溝槽於在該ESL的該表面上的該ILD線之間包含移除該第三犧牲交叉柵。
多樣的實施方式可包含上述的實施方式的任意適合的組合,包含上述以連結型式(及)敘述的實施方式的替代(或)的實施方式(例如,「及」可為「及/或」)。此外,一些實施方式可包含具有儲存於其上的指令的一或更多製造的物件(例如,非暫態電腦可讀媒體),此指令當被執行時,造成任意上述的實施方式的動作。此外,一些實施方式可包含具有用於實現上述實施方式的多樣的操作的任意適合的手段的設備或系統。
以上的說明實施例的敘述,包含於摘要中的敘述,無意窮盡或限制本發明的實施方式至所揭示的精確的形式。雖然於此為了說明的目地敘述了特定的實施例及範例,只要所屬技術領域中具有通常知識者可認定,於本發明的範疇中的多樣的等效修改是可能的。
鑒於上述的詳細說明的這些修改可對本發明的實施方式作出。於之後的申請專利範圍中所用的詞語不應被解釋為限制本發明的多樣的實施方式至說明書及申請專利範圍所揭示的特定的實施例。而是,範疇應以之後的申請專利範圍完整地決定,其應依據申請專利範圍詮釋的既定原則來解釋。
100‧‧‧互連結構102‧‧‧第一交叉柵結構104‧‧‧第一犧牲交叉柵106‧‧‧第一交叉柵材料110‧‧‧第一膜堆疊112‧‧‧第一犧牲層114‧‧‧第一ILD層116‧‧‧硬遮罩層116A‧‧‧第一通孔導部116B‧‧‧第二通孔導部120‧‧‧半導體基板122‧‧‧閘極接觸物124‧‧‧擴散接觸物130‧‧‧潛在閘極通孔位置132‧‧‧閘極通孔佔位材料140‧‧‧活化的閘極通孔位置142‧‧‧閘極通孔開口150‧‧‧導電材料160‧‧‧閘極通孔162‧‧‧表面170‧‧‧表面200‧‧‧互連結構202‧‧‧第二交叉柵結構202A‧‧‧硬遮罩層202B‧‧‧第一材料202C‧‧‧第二材料204‧‧‧第二犧牲交叉柵204A‧‧‧第一部分204B‧‧‧第二部分206‧‧‧第二交叉柵材料206A‧‧‧ESL206B‧‧‧第一ILD材料208A‧‧‧閘極通孔208B‧‧‧第一金屬填充210‧‧‧第二膜堆疊212‧‧‧第二犧牲層212A‧‧‧金屬線212B‧‧‧第二金屬填充212C‧‧‧第一部分212D‧‧‧第二部分212X‧‧‧第二犧牲層材料214‧‧‧第二ILD層214X‧‧‧ILD材料216‧‧‧ESL216X‧‧‧ESL材料220A‧‧‧金屬線端部220B‧‧‧第二金屬填充220C‧‧‧ES材料230‧‧‧潛在插塞位置230A‧‧‧擴散通孔232‧‧‧插塞佔位材料240‧‧‧活化的插塞位置242‧‧‧插塞開口250‧‧‧插塞佔位材料260‧‧‧插塞270‧‧‧金屬線端部280‧‧‧ILD線290‧‧‧表面300‧‧‧積體電路結構302‧‧‧第三交叉柵結構302A‧‧‧硬遮罩層302B‧‧‧第一材料302C‧‧‧第二材料304‧‧‧第三犧牲交叉柵304A‧‧‧第一ILD層306‧‧‧第三交叉柵材料306A‧‧‧ESL308A‧‧‧閘極通孔312A‧‧‧金屬線312C‧‧‧第一部分312D‧‧‧第二部分330‧‧‧潛在擴散通孔位置330A‧‧‧擴散通孔332‧‧‧擴散通孔佔位材料332A‧‧‧半導體基板340‧‧‧擴散通孔位置340A‧‧‧閘極接觸物342‧‧‧擴散通孔開口350‧‧‧擴散通孔佔位材料350A‧‧‧擴散接觸物360‧‧‧溝槽370‧‧‧第二導電材料380‧‧‧擴散通孔382‧‧‧表面390‧‧‧金屬線392‧‧‧表面400‧‧‧電腦裝置402‧‧‧板404‧‧‧處理器406‧‧‧通訊晶片500‧‧‧中介物502‧‧‧第一基板504‧‧‧第二基板506‧‧‧球柵陣列508‧‧‧金屬互連510‧‧‧通孔512‧‧‧矽穿孔514‧‧‧嵌入裝置
由以下的詳細敘述及伴隨的圖式,實施方式可輕易地被理解。為了幫助敘述,相似的參考標號指定於相似的結構元件。實施方式以範例的方式描述且非以限縮於伴隨的圖式中的圖的方式。
圖1A、1B、1C、1D、1E、1F、1G、1H、1I、1J、1K、1L、1M及1N描述互連結構層的部分的截面圖,其代表於涉及用於後段製程(BEOL)互連製造的導電通孔及金屬線端部形成的製程中的多樣操作,根據本發明的實施方式。
圖2描述互連結構,根據本發明的實施方式。
圖3描述具有用於BEOL互連製造的導電通孔及金屬線端部形成的積體電路,根據本發明的另一實施方式。
圖4描述電腦裝置,根據本發明的實施方式的一實施例。
圖5描述中介物,根據本發明的一或更多實施方式而實施。
200‧‧‧互連結構
202A‧‧‧硬遮罩層
202B‧‧‧第一材料
202C‧‧‧第二材料
204A‧‧‧第一部分
206A‧‧‧ESL
206B‧‧‧第一ILD材料
208A‧‧‧閘極通孔
208B‧‧‧第一金屬填充
212A‧‧‧金屬線
212B‧‧‧第二金屬填充
212C‧‧‧第一部分
212D‧‧‧第二部分
220A‧‧‧金屬線端部
220B‧‧‧第二金屬填充
220C‧‧‧ES材料
230A‧‧‧擴散通孔

Claims (20)

  1. 一種互連結構,包含:   第一層間介電質(ILD),在硬遮罩層上,其中該ILD包含第一ILD開口及第二ILD開口;   蝕刻停止層(ESL),在該ILD層上,其中該ESL包含與該第一ILD開口對準以形成第一通孔開口的第一ESL開口,且其中該ESL層包含與該第二ILD開口對準的第二ESL開口;   第一通孔,在該第一通孔開口中;   第二ILD層,在該第一ESL上;   金屬線,在該第二ILD層中,其中該金屬線與該第一通孔接觸,且其中該金屬線包含第一金屬開口,且其中該金屬線包含與該第二ILD開口及該ESL開口對準以形成第二通孔開口的第二金屬開口;   金屬線端部,在該第一金屬開口中;及   第二通孔,在該金屬線中,其中該第二通孔在該第二通孔開口中。
  2. 如請求項1的互連結構,其中該第一通孔為閘極通孔且其中該第二通孔為擴散通孔。
  3. 如請求項1的互連結構,其中該第一ILD層包含第一介電質材料且該第二ILD層包含第二介電質材料。
  4. 如請求項3的互連結構,其中該第一ILD層及該第二ILD層包含相同的介電質材料。
  5. 如請求項1的互連結構,其中該第二ILD層及該金屬線端部包含相同介電質材料。
  6. 如請求項1的互連結構,其中該硬遮罩層包含相鄰於第二材料的第一材料,其中若該第二材料被蝕刻該第一材料能夠維持。
  7. 如請求項6的互連結構,更包含:   半導體裝置,其中該半導體裝置包含,   半導體基板;   閘極接觸物,在該半導體基板上,其中該第一通孔為藉由該硬遮罩層中的該第一材料的部分的移除而與該閘極接觸物接觸的閘極通孔;及   擴散接觸物,在該半導體基板上,其中該第二通孔為藉由該硬遮罩層中的該第二材料的部分的移除而與該擴散接觸物接觸的擴散通孔。
  8. 一種製造用於積體電路的互連結構的方法,該方法包含:     形成第一交叉柵結構,該第一交叉柵結構包含第一犧牲交叉柵在第一膜堆疊上,其中該第一犧牲交叉柵結構包含含有閘極通孔佔位材料的複數潛在閘極通孔位置,且其中該潛在閘極通孔位置的子集為包含閘極通孔開口的活化的閘極通孔位置,該閘極通孔佔位材料已從該閘極通孔開口移除;   從該活化的閘極通孔位置的底部移除第一膜堆疊材料,穿過至閘極接觸物;   以第一導電材料填充該活化的閘極通孔位置以形成閘極通孔;   移除該第一犧牲交叉柵,以暴露該第一膜堆疊的第一ILD層的表面及該第一ILD層中的該閘極通孔的表面,其中該第一犧牲交叉柵的移除包含在該第一ILD層之上的該第一膜堆疊的殘留物的移除;   形成第二交叉柵結構在該第一ILD層的該表面上,該第二交叉柵結構包含第二犧牲交叉柵在第二膜堆疊上,其中該第二犧牲交叉柵包含第一部分、第二部分、及包含插塞佔位材料的複數潛在插塞位置,且其中該潛在插塞位置的子集為包含插塞開口的活化的插塞位置,該插塞佔位材料已從該插塞開口移除;   以插塞佔位材料填充該活化的插塞位置以形成插塞;   移除該第二犧牲交叉柵的該第二部分,以形成在該插塞下方的金屬線端部在該第二犧牲交叉柵的該第一部分中,其中該金屬線端部包含該第二膜堆疊的ILD層的ILD材料,且更形成ILD線於該第二膜堆疊的蝕刻停止層(ESL)的該表面上,且其中該ILD線包含該ILD材料;   形成包含第三犧牲交叉柵的第三交叉柵結構在該ESL上,其中該第三犧牲交叉柵包含含有擴散通孔佔位材料的複數潛在擴散通孔位置,且其中該潛在擴散通孔位置的子集為包含開口的活化的擴散通孔位置,該擴散通孔佔位材料已從該開口移除;   從該活化的擴散通孔位置的底部移除ESL材料及第一ILD材料,穿過至該第一膜堆疊中的硬遮罩層中的擴散通孔導部;   移除該第三犧牲交叉柵,以形成溝槽於在該ESL的該表面上的該ILD線之間;   移除該擴散通孔導部;   以第二導電材料填充該活化的擴散通孔位置以形成擴散通孔;   以該第二導電材料填充該溝槽以形成金屬線,其中該閘極通孔的該表面與該金屬線接觸;及   移除該第二膜堆疊的犧牲層的犧牲材料,以暴露該金屬線的表面、該ILD線、該金屬線端部、及該擴散通孔,且其中該第二膜堆疊的該犧牲層的該犧牲材料的移除包含該插塞的移除。
  9. 如請求項8的方法,其中從該活化的閘極通孔位置的該底部移除該第一膜堆疊材料包含施加各向異性蝕刻技術。
  10. 如請求項9的方法,其中施加該各向異性蝕刻技術包含使用乾蝕刻製程。
  11. 如請求項8的方法,其中以該第一導電材料填充該活化的閘極通孔位置以形成該閘極通孔包含:   以過多的第一導電材料填充該活化的閘極通孔位置;及   研磨該過多的第一導電材料,以移除該過多的第一導電材料。
  12. 如請求項8的方法,更包含從該活化的閘極通孔位置的該底部移除該第一膜堆疊材料,穿過至該第一膜堆疊中的硬遮罩層中的閘極通孔導部,其中該閘極通孔導部在該閘極接觸物之上。
  13. 如請求項8的方法,其中該插塞及該金屬線端部包含側壁,且其中該方法更包含以原子層沉積而沉積襯墊以保護該側壁。
  14. 如請求項8的方法,其中移除該第三犧牲交叉柵包含施加各向同性蝕刻技術。
  15. 如請求項8的方法,更包含沉積擴散通孔佔位材料於該活化的擴散通孔位置中。
  16. 如請求項8的方法,其中以該第二導電材料填充該活化的擴散通孔位置以形成該擴散通孔包含:   以過多的第二導電材料填充該活化的擴散通孔位置;及   研磨該過多的第二導電材料。
  17. 一種製造用於積體電路的互連結構的方法,該方法包含:   形成第一交叉柵結構,該第一交叉柵結構包含犧牲交叉柵在第一膜堆疊上,其中該第一交叉柵結構包含含有閘極通孔佔位材料的複數潛在閘極通孔位置,且其中該潛在閘極通孔位置的子集為包含閘極通孔開口的活化的閘極通孔位置,該閘極通孔佔位材料已從該閘極通孔開口移除;   從該活化的閘極通孔位置的底部移除第一膜堆疊材料,穿過至閘極接觸物;   形成閘極通孔於該第一膜堆疊的第一ILD層中,其中該閘極通孔包含於該活化的閘極通孔位置中的第一導電材料;   暴露該第一ILD層的表面;   形成第二交叉柵結構在該第一ILD層上,該第二交叉柵結構包含第二犧牲交叉柵在第二膜堆疊上,其中該第二犧牲交叉柵包含第一部分、第二部分、及包含插塞佔位材料的複數潛在插塞位置,且其中該潛在插塞位置的子集為包含開口的活化的插塞位置,該插塞佔位材料已從該開口移除;   形成插塞,該插塞包含插塞材料於該活化的插塞位置中;   由移除該第二犧牲交叉柵的該第一部分,形成在該插塞下方的金屬線端部在該第二膜堆疊的蝕刻停止層(ESL)的表面上,其中該金屬線端部包含該第二膜堆疊的ILD層的ILD材料;   由移除該第二犧牲交叉柵的該第一部分,形成ILD線在該ESL的該表面上,其中該ILD線包含該ILD層的該ILD材料;   形成包含第三犧牲交叉柵的第三交叉柵結構在該ESL上,其中該第三犧牲交叉柵包含含有擴散通孔佔位材料的複數潛在擴散通孔位置,且其中該潛在擴散通孔位置的子集為包含開口的活化的擴散通孔位置,該擴散通孔佔位材料已從該開口移除;   從該活化的擴散通孔位置的底部移除ESL材料及該ILD材料,穿過至該擴散接觸物;   沉積擴散通孔佔位材料於該活化的通孔位置中;   形成溝槽於該ESL的該表面上的該ILD線之間;   形成擴散通孔,該擴散通孔包含第二導電材料在該活化的擴散通孔位置中;   形成金屬線,該金屬線包含該溝槽中的該第二導電材料,其中該閘極通孔的該表面與該金屬線接觸;及   該第二膜堆疊的犧牲層的犧牲材料,以平坦化該金屬線的表面、該ILD線、該金屬線端部、及該擴散通孔,其中該第二膜堆疊的該犧牲層的該犧牲材料的移除包含該插塞的移除。
  18. 如請求項17的方法,其中暴露該第一ILD層的該表面包含移除該第一犧牲交叉柵,其中該第一犧牲交叉柵的移除包含在該第一ILD層之上的該第一膜堆疊的殘留物的移除。
  19. 如請求項17的方法,更包含從該活化的擴散通孔位置的該底部移除該ESL材料及該ILD材料,穿過至該第一膜堆疊中的硬遮罩層中的擴散通孔導部,其中該擴散通孔導部在該擴散接觸物之上。
  20. 如請求項17的方法,其中形成該溝槽於在該ESL的該表面上的該ILD線之間包含移除該第三犧牲交叉柵。
TW107127798A 2017-09-30 2018-08-09 導電通孔及金屬線端部的製造及由其製成的結構 TWI751366B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
WOPCT/US17/54641 2017-09-30
??PCT/US17/54641 2017-09-30
PCT/US2017/054641 WO2019066978A1 (en) 2017-09-30 2017-09-30 CONDUCTIVE INTERCONNECTION HOLE AND METAL LINE END FABRICATION AND RESULTING STRUCTURES

Publications (2)

Publication Number Publication Date
TW201924004A TW201924004A (zh) 2019-06-16
TWI751366B true TWI751366B (zh) 2022-01-01

Family

ID=65903346

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107127798A TWI751366B (zh) 2017-09-30 2018-08-09 導電通孔及金屬線端部的製造及由其製成的結構

Country Status (5)

Country Link
US (1) US11145541B2 (zh)
CN (1) CN111052345A (zh)
DE (1) DE112017007857T5 (zh)
TW (1) TWI751366B (zh)
WO (1) WO2019066978A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11456248B2 (en) * 2018-03-28 2022-09-27 Intel Corporation Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom
US11121081B2 (en) * 2019-10-18 2021-09-14 Nanya Technology Corporation Antifuse element
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11984398B2 (en) * 2021-03-08 2024-05-14 Changxin Memory Technologies, Inc. Semiconductor structure and manufacturing method thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110189847A1 (en) * 2010-01-29 2011-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate n/p patterning
US20150333003A1 (en) * 2012-06-29 2015-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in Interconnect Structures and Methods for Forming the Same
US20160111324A1 (en) * 2014-10-15 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Forming Same
US20160365426A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
WO2017048520A1 (en) * 2015-09-19 2017-03-23 Qualcomm Incorporated Magnetoresistive random-access memory and fabrication method thereof
US20170221827A1 (en) * 2015-12-30 2017-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741489B2 (en) * 2011-09-19 2020-08-11 Texas Instruments Incorporated Rectangular via for ensuring via yield in the absence of via redundancy
CN105493249B (zh) * 2013-09-27 2019-06-14 英特尔公司 用于后段(beol)互连的先前层自对准过孔及插塞图案化
US9431297B2 (en) * 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device
KR102515198B1 (ko) * 2014-12-23 2023-03-29 타호 리서치 리미티드 비아 차단 층
KR102423220B1 (ko) * 2015-06-26 2022-07-20 인텔 코포레이션 감산적으로 패터닝된 자기 정렬된 상호접속부들, 플러그들, 및 비아들을 위한 텍스타일 패터닝
US10269701B2 (en) * 2015-10-02 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure with ultra thick metal and manufacturing method thereof
US10490447B1 (en) * 2018-05-25 2019-11-26 International Business Machines Corporation Airgap formation in BEOL interconnect structure using sidewall image transfer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110189847A1 (en) * 2010-01-29 2011-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for metal gate n/p patterning
US20150333003A1 (en) * 2012-06-29 2015-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in Interconnect Structures and Methods for Forming the Same
US20160111324A1 (en) * 2014-10-15 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Forming Same
US20160365426A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
WO2017048520A1 (en) * 2015-09-19 2017-03-23 Qualcomm Incorporated Magnetoresistive random-access memory and fabrication method thereof
US20170221827A1 (en) * 2015-12-30 2017-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
WO2019066978A1 (en) 2019-04-04
DE112017007857T5 (de) 2020-04-30
US11145541B2 (en) 2021-10-12
CN111052345A (zh) 2020-04-21
TW201924004A (zh) 2019-06-16
US20200185271A1 (en) 2020-06-11

Similar Documents

Publication Publication Date Title
CN107004633B (zh) 使用交替硬掩模和密闭性蚀刻停止衬垫方案使紧密间距导电层与引导通孔接触的方法和结构
US11854882B2 (en) Subtractive plug and tab patterning with photobuckets for back end of line (BEOL) spacer-based interconnects
US10636700B2 (en) Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
TWI751366B (zh) 導電通孔及金屬線端部的製造及由其製成的結構
TWI742018B (zh) 用於半導體晶粒的互連結構的金屬化層、用於製造所述金屬化層的方法、包含所述金屬化層的積體電路結構及包含所述積體電路結構的計算裝置
US10522402B2 (en) Grid self-aligned metal via processing schemes for back end of line (BEOL) interconnects and structures resulting therefrom
US20190019748A1 (en) Pitch division patterning approaches with increased overlay margin for back end of line (beol) interconnect fabrication and structures resulting therefrom
TWI776672B (zh) 利用光刻桶的嵌刻栓塞及突片圖案化以用於後段製程(beol)基於隔層的互連
US11929258B2 (en) Via connection to a partially filled trench
WO2018236354A1 (en) METALLIC SPACER APPROACHES FOR CONDUCTIVE INTERCONNECTION AND MANUFACTURING AND STRUCTURES OBTAINED THEREFROM
US11710636B2 (en) Metal and spacer patterning for pitch division with multiple line widths and spaces
US11894270B2 (en) Grating replication using helmets and topographically-selective deposition
US20210057230A1 (en) Carbon-based dielectric materials for semiconductor structure fabrication and the resulting structures
KR20230098237A (ko) 자기-정렬된 상단 비아
US11367684B2 (en) Recessed metal interconnects to mitigate EPE-related via shorting
US10204861B2 (en) Structure with local contact for shorting a gate electrode to a source/drain region