CN108400160A - 一种半导体器件的制造方法 - Google Patents

一种半导体器件的制造方法 Download PDF

Info

Publication number
CN108400160A
CN108400160A CN201710064330.0A CN201710064330A CN108400160A CN 108400160 A CN108400160 A CN 108400160A CN 201710064330 A CN201710064330 A CN 201710064330A CN 108400160 A CN108400160 A CN 108400160A
Authority
CN
China
Prior art keywords
layer
dielectric layer
work
semiconductor substrate
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710064330.0A
Other languages
English (en)
Inventor
邓银屏
周真
徐俊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Semiconductor Manufacturing International Beijing Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp, Semiconductor Manufacturing International Beijing Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201710064330.0A priority Critical patent/CN108400160A/zh
Publication of CN108400160A publication Critical patent/CN108400160A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种半导体器件的制造方法。所述方法包括:提供半导体衬底,在所述半导体衬底上形成介质层及位于所述介质层中的沟槽;在所述介质层上和所述沟槽中形成功函数层;对所述功函数层进行改性处理;在所述沟槽中填充金属,并进行化学机械研磨以露出所述介质层。根据本发明的半导体器件的制造方法,对形成有功函数层的半导体衬底进行氧处理,从而在功函数层中引入氧,以对功函数层改性处理,使得后续在功函数层上生长形成的金属材料层的晶粒尺寸相对于未进行氧处理而生长形成的金属材料层的晶粒尺寸小,可改善化学机械研磨过程中的研磨均匀性。

Description

一种半导体器件的制造方法
技术领域
本发明涉及半导体制造领域,具体而言涉及一种半导体器件的制造方法。
背景技术
随着半导体集成电路(IC)工业的迅速发展,高K金属栅极(HKMG)技术已成为半导体领域的重要技术之一。随着半导体器件尺寸的不断缩减,高K金属栅极(HKMG)工艺的发展中,对于具有较小数值工艺节点的CMOS而言,所述高k-金属栅极工艺越来越多的应用“后栅(Gate-last)”工艺,后栅工艺是在对半导体衬底进行漏/源区离子注入操作以及随后的高温退火工艺完成之后再形成金属栅极的过程。一个典型的实施过程包括:在半导体衬底上形成伪栅极结构,所述伪栅极结构由自下而上层叠的栅极介电层和伪栅极材料层构成;在伪栅极结构的两侧形成侧壁结构,之后去除伪栅极结构中的栅极介电层和伪栅极材料层,在侧壁结构之间留下的沟槽内依次形成相关界面层、功函数金属层和金属栅极材料(通常为铝)的填充,以及对填充后的半导体沉底进行化学机械研磨形成最终的金属栅极结构。然而,在完成金属栅极填充金属工艺后的化学机械研磨过程中常常发生晶圆边缘较中心高,从而导致晶圆之间厚度均匀性差以及晶圆内部厚度差异大的问题。
因此,为解决以上问题,有必要提出一种新的半导体器件的制造方法。
发明内容
在发明内容部分中引入了一系列简化形式的概念,这将在具体实施方式部分中进一步详细说明。本发明的发明内容部分并不意味着要试图限定出所要求保护的技术方案的关键特征和必要技术特征,更不意味着试图确定所要求保护的技术方案的保护范围。
为了解决现有技术中存在的问题,本发明提供了一种半导体器件的制造方法,所述方法包括:
提供半导体衬底,在所述半导体衬底上形成介质层及位于所述介质层中的沟槽;
在所述介质层上和所述沟槽中形成功函数层;
对所述功函数层进行改性处理;
在所述沟槽中填充金属,并进行化学机械研磨以露出所述介质层。
示例性地,所述改性处理包括氧处理。
示例性地,所述氧处理包括氧离子注入。
示例性地,所述氧处理采用将所述半导体衬底暴露在空气中的方法。
示例性地,在所述介质层中形成沟槽的步骤包括:在形成所述介质层之前形成包括伪栅极材料层的伪栅极结构;形成覆盖所述伪栅极结构侧壁的所述介质层;以及,去除所述伪栅极结构中的伪栅极材料层,以形成所述沟槽。
示例性地,所述伪栅极结构还包括位于所述伪栅极材料层下方的高k介电层,和/或形成于所述半导体衬底和所述高k介电层之间的界面层,和/或形成于所述高k介电层与所述伪栅极材料层之间的覆盖层。
示例性地,在所述沟槽中形成所述功函数层之前还包括在所述沟槽中形成高k介电层以覆盖所述沟槽侧壁和底部的步骤。
示例性地,在形成所述高k介电层之前还包括在所述沟槽底部形成界面层,和/或在形成所述高k介电层之后在所述高k介电层上形成覆盖层的步骤。
示例性地,在形成所述功函数层之后、在形成所述金属之前,还包括在所述沟槽内形成阻挡层。
示例性地,在形成所述阻挡层之后、在形成所述金属之前,还包括在所述沟槽内形成浸润层。
示例性地,所述功函数层为NMOS功函数层。
根据本发明的半导体器件制造方法,对半导体衬底上的功函数层进行改性处理,使得后续在功函数层上生长形成的金属材料层的晶粒尺寸相对于未进行改性处理而生长形成的金属材料层的晶粒尺寸小,一方面,小晶粒尺寸的金属材料层具有较大的晶粒边界比例,使得金属材料性质类似于非晶态或无定型状态,而展现出各向异性,这种各向异性有效改善化学机械研磨过程中的研磨均匀性;另一方面,晶粒尺寸小,也可以增加金属材料的硬度,从而进一步减小化学机械研磨过程中的金属材料移除速率,改善化学机械研磨过程中的研磨均匀性。
附图说明
本发明的下列附图在此作为本发明的一部分用于理解本发明。附图中示出了本发明的实施例及其描述,用来解释本发明的原理。
附图中:
图1为现有技术中一种半导体器件制造方法的示意性流程图;
图2为根据本发明的一个实施例的半导体器件的制造方法的示意性流程图;
图3A~3F根据本发明的一个实施例的半导体器件制造过程中的半导体器件的截面示意图。
图4A~4F根据本发明的另一个实施例的半导体器件制造过程中的半导体器件的截面示意图。
具体实施方式
在下文的描述中,给出了大量具体的细节以便提供对本发明更为彻底的理解。然而,对于本领域技术人员而言显而易见的是,本发明可以无需一个或多个这些细节而得以实施。在其他的例子中,为了避免与本发明发生混淆,对于本领域公知的一些技术特征未进行描述。
为了彻底理解本发明,将在下列的描述中提出详细的描述,以说明本发明所述化学机械研磨方法。显然,本发明的施行并不限于半导体领域的技术人员所熟习的特殊细节。本发明的较佳实施例详细描述如下,然而除了这些详细描述外,本发明还可以具有其他实施方式。
应予以注意的是,这里所使用的术语仅是为了描述具体实施例,而非意图限制根据本发明的示例性实施例。如在这里所使用的,除非上下文另外明确指出,否则单数形式也意图包括复数形式。此外,还应当理解的是,当在本说明书中使用术语“包含”和/或“包括”时,其指明存在所述特征、整体、步骤、操作、元件和/或组件,但不排除存在或附加一个或多个其他特征、整体、步骤、操作、元件、组件和/或它们的组合。
现在,将参照附图更详细地描述根据本发明的示例性实施例。然而,这些示例性实施例可以多种不同的形式来实施,并且不应当被解释为只限于这里所阐述的实施例。应当理解的是,提供这些实施例是为了使得本发明的公开彻底且完整,并且将这些示例性实施例的构思充分传达给本领域普通技术人员。在附图中,为了清楚起见,夸大了层和区域的厚度,并且使用相同的附图标记表示相同的元件,因而将省略对它们的描述。
下面将以NMOS器件的形成过程为具体实施例来解释本发明所涉及的原理。需要理解的是,实施例以NMOS器件的形成过程为示例,其并不是要对本发明的技术方案进行限定,显然本发明的技术方案还可以应用于其它需要形成功函数层和金属栅极的半导体器件的工艺过程中。
在现有NMOS器件的金属栅极的形成过程中,常采用后金属栅极工艺形成金属栅极。如图1示出了现有技术中形成金属栅极的具体工艺流程图,首先执行步骤S101,提供半导体衬底,在所述半导体衬底上形成层间介质层及位于所述层间介质层中的沟槽;接着,执行步骤S102,在所述层间介质层上和所述沟槽中形成功函数层;最后,执行步骤S103,在所述沟槽中填充金属,并进行化学机械研磨以露出所述介质层。然而现有金属栅极形成工艺进行金属栅极的金属填充后,在化学机械研磨过程中常常发生晶圆边缘较中心高,从而导致晶圆级厚度均匀性差以及晶圆内部厚度差异大。为此,有必要引入半导体器件制造方法,从而改善金属栅极形成过程中引起的化学机械研磨不均匀的问题。
本发明提供了一种化学机械研磨方法,所述方法包括:
提供半导体衬底,在所述半导体衬底上形成介质层及位于所述介质层中的沟槽;
在所述介质层上和所述沟槽中形成功函数层;
对所述功函数层进行改性处理;
在所述沟槽中填充金属,并进行化学机械研磨以露出所述介质层。
根据本发明的半导体器件制造方法,对半导体衬底上的功函数层进行改性处理,使得后续在功函数层上生长形成的金属材料层的晶粒尺寸相对于未进行改性处理而生长形成的金属材料层的晶粒尺寸小,一方面,小晶粒尺寸的金属材料层具有较大的晶粒边界比例,使得金属材料性质类似于非晶态或无定型状态,而展现出各向异性,这种各向异性有效改善化学机械研磨过程中的研磨均匀性;另一方面,晶粒尺寸小,也可以增加金属材料的硬度,从而进一步减小化学机械研磨过程中的金属材料移除速率,改善化学机械研磨过程中的研磨均匀性。
实施例一
下面参考图2和图3A~3F来描述本发明的一个实施例提出的半导体器件的制造方法,其中,图2为根据本发明的一个实施例的半导体器件的制造方法的示意性流程图,图3A~3F为根据本发明的一个实施例的半导体器件制造过程中的半导体器件的截面示意图。
首先,执行步骤S201:提供半导体衬底,在所述半导体衬底上形成介质层及位于所述介质层中的沟槽。
如图3A~3B示出了在半导体衬底上形成介质层和位于所述介质层中的沟槽的示意图。如图3A,提供半导体衬底301,其中半导体衬底301的构成材料可以是未掺杂的单晶硅、掺有杂质的单晶硅、绝缘体上硅(SOI)等。示例性地,所述半导体衬底301中形成有有源区和隔离结构,在所述有源区和隔离区中分别形成有PMOS区和NMOS区,其中,在所述隔离区和所述有源区上形成有伪栅极结构,为了简化,图示中将有源区、隔离结构、以及PMOS区和NMOS区均予以省略,仅示出半导体衬底。所述半导体衬底上形成沟槽的步骤包括:在形成所述介质层之前形成伪栅极结构,所述伪栅极结构包括伪栅极材料;形成覆盖所述伪栅极结构侧壁的所述介质层;以及,去除所述伪栅极结构中的伪栅极材料层,以形成所述沟槽。
示例性地,采用后高k介电层后金属栅极工艺形成所述伪栅极结构。如图3A所示,所述伪栅极结构的形成步骤包括:在半导体衬底301上形成伪栅极材料层303;图案化所述伪栅极材料层;在所述伪栅极结构两侧执行源/漏区离子注入;在所述半导体衬底上形成层间介质层302;并执行化学机械研磨以露出所述伪栅极材料层303。采用后高k介电层后栅极工艺形成伪栅极结构的工艺为本领域技术人员所熟知的工艺,在此不再赘述。如图3B,示出了去除所述伪栅极材料层303之后,在半导体衬底301表面形成沟槽304的示意图。所述去除所述伪栅极303的方法可以是本领域技术人员所熟习的各种适宜的工艺方法。作为示例,在本实施例中,通过实施干法蚀刻,依次去除伪栅电极层和伪栅介电层。所述干法蚀刻的工艺参数包括:蚀刻气体HBr的流量为20-500sccm,压力为2-40mTorr,功率为100-2000W,其中mTorr代表毫毫米汞柱,sccm代表立方厘米/分钟。在实施所述干法蚀刻之后,采用湿法蚀刻工艺去除所述干法蚀刻产生的蚀刻残留物和杂质。
需要理解的是,本实施例以后高k介电层后栅极工艺形成伪栅极的工艺方法为实施例进行说明,并不是要对本发明进行限定,任何可在半导体衬底上形成介质层和沟槽以供后续功函数金属层和金属材料填充的工艺过程均适用于本发明。
作为一个示例,伪栅极结构还包括自下而上层叠的伪栅介电层和伪栅电极层。伪栅介电层的材料优选氧化物,例如二氧化硅。伪栅电极层的材料包括多晶硅或无定形碳,特别优选的是多晶硅。所述层间介质层302可以是氧化硅、正硅酸乙酯等任何可以作为介电材料的材料层,形成所述层间介质层302的方法可以是本领域技术人员所熟习的各种适宜的工艺方法,如采用化学气相沉积工艺形成层间介质层302。伪栅介电层伪栅电极层的形成方法可以采用本领域技术人员所熟习的任何现有技术,优选化学气相沉积法(CVD),如低温化学气相沉积(LTCVD)、低压化学气相沉积(LPCVD)、快热化学气相沉积(RTCVD)、等离子体增强化学气相沉积(PECVD)。伪栅极结构及形成为本领域技术人员所熟知的结构和工艺,为了简化,图示中均予以省略。
此外,作为示例,在伪栅极结构303的两侧形成有侧壁结构,其中,侧壁结构至少包括氧化物层和/或氮化物层。形成侧壁结构的方法为本领域技术人员所公知,在此不再加以赘述。在侧壁结构两侧的半导体衬底301中形成有源/漏区,在位于NMOS区和PMOS区的源/漏区中分别形成有嵌入式碳硅层和嵌入式锗硅层。形成嵌入式碳硅层和嵌入式锗硅层的工艺过程为本领域技术人员所熟习,在此不再加以赘述。在嵌入式碳硅层和嵌入式锗硅层的顶部形成有自对准硅化物,为了简化,图示中均予以省略。
接着,执行步骤S202:在所述介质层上和所述沟槽中形成功函数层。
如图3C所示,在所述介质层302上和所述沟槽304的中形成功函数层306。继续以后高k介电层后金属栅极工艺为示例进行说明,在后高k介电层后金属栅极工艺中,在所述沟槽中从下到上依次形成高k介电层305和功函数层306,以覆盖所述沟槽304的侧壁和底部。
所述高k介电层305的k值(介电常数)通常为3.9以上,其构成材料包括氧化铪、氧化铪硅、氮氧化铪硅、氧化铪钽、氧化铪锆、氮氧化铪锆、氧化铪镧、氧化镧、氧化镧硅、氧化锆、氧化锆硅、氧化钛、氧化钽、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化铝、氧化铝硅,氮化硅、氧氮化物等可以通过化学气相沉积、原子层沉积或者物理气相沉积工艺形成的适宜的物质,厚度为10埃-30埃。
所述功函数层306的构成材料根据所形成的PMOS器件或NMOS器件的不同形成不同的功函数材料层,对N型金属栅极结构而言,其功函数层设定金属层的构成材料为适用于NMOS的金属材料,包括钛、钽、铝、锆、铪及其合金,还包括上述金属元素的碳化物、氮化物等;对于P型金属栅极结构而言,其功函数设定金属层的构成材料为适用于PMOS器件的金属材料,包括钌、钯、铂、钨及其合金,还包括上述金属元素的碳化物、氮化物等。形成所述功函数层的方法可以是本领域技术人员所熟知的任何工艺,包括通过化学气相沉积、原子层沉积或者物理气相沉积工艺形成的适宜的物质,厚度为5埃-20埃。
示例性地,在形成所述高k介电层之前,在所述沟槽底部形成有界面层,如图3C所示,界面层307用于改善后续形成的高k介电层305与半导体衬底301之间的界面特性。所述界面层可以为氧化硅,氮氧化硅等本领域技术人员所熟知的任意适宜的材料。形成所述界面层的工艺可以采用热氧化法,化学气象沉积工艺等本领域技术人员所熟知的工艺,在此不再赘述。
示例性地,在形成所述高k介电层之后形成功函数层之前,在所述高k介电层表面形成有覆盖层,覆盖层的构成材料包括氧化镧、氧化铝、氧化镓、氧化铟、氧化钼碳化钽、氧氮碳化钽、氮化钽、氮化钛、氮化钼、氮化钨、铂、钌、铱等可以通过化学气相沉积、原子层沉积或者物理气相沉积工艺形成的适宜的物质,厚度为5埃-20埃。
需要理解的是,本发明中以形成界面层和覆盖层的工艺为实施例并不是要对本发明进行限制,本领域技术人员根据需要选择形成界面层和覆盖层工艺。
接着,执行步骤S203:对所述功函数层进行改性处理。
如图3D所示,对形成功函数层306进行进行改性处理。所述改性处理使得后续在功函数层上生长形成的金属材料层的晶粒尺寸相对于未进行改性处理而生长形成的金属材料层的晶粒尺寸小,一方面,小晶粒尺寸的金属材料层具有较大的晶粒边界比例,使得金属材料性质类似于非晶态或无定型状态,而展现出各向异性,这种各向异性有效改善化学机械研磨过程中的研磨均匀性;另一方面,晶粒尺寸小,也可以增加金属材料的硬度,从而进一步减小化学机械研磨过程中的金属材料移除速率,改善化学机械研磨过程中的研磨均匀性。
示例性地,所述改性处理采用氧处理将氧引入到功函数层中,从而使氧处理后的功函数层上形成掺杂氧的金属层,其晶粒尺寸小,具备非晶态或无定形状态的性质,使得在其上形成的后续金属材料相对未处理的功函数层上形成的后续金属材料具备更小的晶粒尺寸,可减小化学机械研磨工艺中材料的去除效率,提高化学机械研磨的均匀性。
示例性地,所述器件为NMOS器件,所述功函数层为NMOS功函数层。示例性地,所述功函数层为TiAl。对所述功函数层进行氧处理后,功函数层表面形成TiO2和Al2O3等氧化物,使得后续基于氧化物上形成的金属材料层具备更小的晶粒尺寸,更大的晶粒边界尺寸,展现无定型状态,有利于降低化学机械研磨速率,改善化学机械研磨均匀性。在一个示例中,未经过氧处理的半导体衬底上形成的金属材料的晶粒尺寸约1μm,在经过氧处理后的半导体衬底上形成的金属材料尺寸减小到0.61μm。
示例性地,所述氧处理可以是氧等离子体处理,或氧离子注入工艺等半导体工艺。示例性地,采用氧等离子体处理工艺,采用200到2000瓦之间的源功率,采用气体压力2到10mt的压力,氧气体可以是O2或O2、Ar等的混合气体,应当理解的是所述氧等离子体工艺仅仅为示例性地,任何可在所述功函数层中进入氧的方式均可以应用于本发明。
接着,执行步骤S204:在所述沟槽中填充金属,并进行化学机械研磨以露出所述介质层。
如图3E所示,在所述经过氧处理后的半导体衬底上的所述沟槽中填充金属308,以覆盖所述功函数层306。所述金属构成金属栅极材料,所述金属栅极材料包括钨、铝等本领域技术人员所常用的各种金属材料。由于前期功函数层形成后对形成有功函数层的衬底执行氧处理,对功函数层的改性处理,使得后续在功函数层上生长形成的金属材料层的晶粒尺寸相对于未进行氧处理而生长形成的金属材料层的晶粒尺寸小,从而增加晶粒边界比例。对于金属材料特性来说,较大的晶粒边界比例,使得金属材料性质类似于非晶态或无定型状态,从而展现出各向异性,这种各向异性使得其在化学机械研磨过程中的移除速率变小,从而有效改善化学机械研磨过程中的研磨均匀性。半导体衬底经过氧处理后而在其表面生长的金属材料,因为其晶粒尺寸小,也可以增加金属材料的硬度,从这一角度也可以减小化学机械研磨过程中的金属材料移除速率,改善化学机械研磨过程中的研磨均匀性。所述形成金属栅极材料层的方法可以为如物理气相沉积等本领域技术人员所熟知的任何方法,在此不再赘述。
示例性地,在所述沟槽中填充金属308之前,还包括在所述功函数层上形成阻挡层的步骤,如图3E所述,在所述功函数层306和金属层308之间还形成有阻挡层307,所述阻挡层来阻止金属材料层和功函数层之间的相互扩散,所述阻挡层可以是钽、氮化钽或氮化钛等本领域技术人员所常用的各种阻挡层材料。所述形成阻挡层的方法为本领域技术人员所熟知的方法,在此不再赘述。
示例性地,在形成所述阻挡层之后、形成所述金属栅极材料层之前,还包括在所述沟槽内形成浸润层,所述浸润层可以为包括钛或钛铝合金的各种适应材料,所述形成浸润层的作用是改善阻挡层和金属栅极材料层之间的界面特性,所述浸润层的形成工艺和过程为本领域技术人员所熟知的工艺,因其并未本发明的发明点,在此不做赘述。
需要理解的是,本发明中以形成阻挡层和浸润层的工艺为实施例并不是要对本发明进行限制,本领域技术人员根据需要选择形成界面层和覆盖层工艺。
对所述形成有金属栅极材料层的衬底执行化学机械研磨,以露出层间介质层。如图3E所示,对所述形成有栅极材料层308的半导体衬底执行化学机械研磨,以露出层间介质层302,形成如图3F所示的金属栅极结构。所述化学机械研磨过程为本领域技术人员所熟知的工艺,在此不再赘述。
实施例二
下面参考图2和4A~4F来描述本发明的另一个实施例提出的半导体器件的制造方法,其中,图2为根据本发明的实施例的半导体器件的制造方法的示意性流程图,图4A~4F根据本发明的另一个实施例的半导体器件制造过程中的半导体器件的截面示意图。
首先,执行步骤S201:提供半导体衬底,在所述半导体衬底上形成介质层及位于所述介质层中沟槽。
如图4A~4B示出了在半导体衬底上形成介质层和位于所述介质层中的沟槽的示意图。如图4A,提供半导体衬底401,其中半导体衬底401的构成材料可以是未掺杂的单晶硅、掺有杂质的单晶硅、绝缘体上硅(SOI)等。示例性地,所述半导体衬底401中形成有有源区和隔离结构,在所述有源区和隔离区中分别形成有PMOS区和NMOS区,其中,在所述隔离区和所述有源区上形成有伪栅极结构,为了简化,图示中将有源区、隔离结构、以及PMOS区和NMOS区均予以省略,仅示出半导体衬底。所述半导体衬底上形成沟槽的步骤包括:在形成所述介质层之前形成伪栅极结构,所述伪栅极结构包括伪栅极材料;形成覆盖所述伪栅极结构侧壁的所述介质层;以及,去除所述伪栅极结构中的伪栅极材料层,以形成所述沟槽。
示例性地,采用先高k介电层后金属栅极工艺形成所述伪栅极结构。所述伪栅极结构由自下而上层叠高k介电层405和伪栅极材料层403构成。如图4A所示,所述伪栅极结构的形成步骤包括:所述栅极结构的形成步骤包括:在所述半导体衬底上形成高k介电层;在所述高k介电层上形成伪栅极材料层;图案化所述栅极材料层;在所述栅极材料层两侧执行源/漏区离子注入;在所述半导体衬底上形成层间介质层;并执行化学机械研磨以露出所述伪栅极材料层。采用先高k介电层后栅极工艺形成伪栅极结构的工艺为本领域技术人员所熟知的工艺,在此不再赘述。如图4B,示出了去除所述伪栅极材料层403之后,在半导体衬底401表面形成沟槽404的示意图。所述去除所述伪栅极403的方法可以为本领域技术人员所熟习的各种适宜的工艺方法。作为示例,在本实施例中,通过实施干法蚀刻,依次去除伪栅电极层和伪栅介电层。所述干法蚀刻的工艺参数包括:蚀刻气体HBr的流量为20-500sccm,压力为2-40mTorr,功率为100-2000W,其中mTorr代表毫毫米汞柱,sccm代表立方厘米/分钟。在实施所述干法蚀刻之后,采用湿法蚀刻工艺去除所述干法蚀刻产生的蚀刻残留物和杂质。
需要理解的是,本实施例以先高k介电层后栅极工艺形成伪栅极的工艺方法为实施例进行说明,并不是要对本发明进行限定,任何可在半导体衬底上形成介质层和沟槽以供后续功函数金属层和金属材料填充的工艺过程均适用于本发明。
示例性地,所述高k介电层405和半导体衬底401之间形成有界面层404,所述界面层404用于改善后续形成的高k介电层405与半导体衬底401之间的界面特性。所述界面层可以为氧化硅,氮氧化硅等本领域技术人员所熟知的任意适宜的材料。
示例性地,在形成所述高k介电层之后形成伪栅极材料层之前,在所述高k介电层表面形成有覆盖层,覆盖层的构成材料包括氧化镧、氧化铝、氧化镓、氧化铟、氧化钼碳化钽、氧氮碳化钽、氮化钽、氮化钛、氮化钼、氮化钨、铂、钌、铱等可以通过化学气相沉积、原子层沉积或者物理气相沉积工艺形成的适宜的物质,厚度为5埃-20埃。
需要理解的是,本发明中以形成界面层和覆盖层的工艺为实施例并不是要对本发明进行限制,本领域技术人员根据需要选择形成界面层和覆盖层工艺。
此外,作为示例,在伪栅极结构403的两侧形成有侧壁结构,其中,侧壁结构至少包括氧化物层和/或氮化物层。形成侧壁结构的方法为本领域技术人员所公知,在此不再加以赘述。在侧壁结构两侧的半导体衬底401中形成有源/漏区,在位于NMOS区和PMOS区的源/漏区中分别形成有嵌入式碳硅层和嵌入式锗硅层。形成嵌入式碳硅层和嵌入式锗硅层的工艺过程为本领域技术人员所熟习,在此不再加以赘述。在嵌入式碳硅层和嵌入式锗硅层的顶部形成有自对准硅化物,为了简化,图示中均予以省略。
接着,执行步骤S202:在所述介质层上和所述沟槽中形成功函数层。
如图4C所示,在所述介质层402上和所述沟槽406中形成功函数层407,以覆盖所述沟槽406的侧壁和底部。所述功函数层407的构成材料根据所形成的PMOS器件或NMOS器件的不同形成不同的功函数材料层,对N型金属栅极结构而言,其功函数层设定金属层的构成材料为适用于NMOS的金属材料,包括钛、钽、铝、锆、铪及其合金,还包括上述金属元素的碳化物、氮化物等;对于P型金属栅极结构而言,其功函数设定金属层的构成材料为适用于PMOS器件的金属材料,包括钌、钯、铂、钨及其合金,还包括上述金属元素的碳化物、氮化物等。形成所述功函数层的方法可以是本领域技术人员所熟知的任何工艺,包括通过化学气相沉积、原子层沉积或者物理气相沉积工艺形成的适宜的物质,示例性地,厚度为5埃-20埃。
接着,执行步骤S203:对所述功函数层进行改性处理
如图4D所示,对功函数层407进行改性处理。所述改性处理采所述改性处理使得后续在功函数层上生长形成的金属材料层的晶粒尺寸相对于未进行改性处理而生长形成的金属材料层的晶粒尺寸小,一方面,小晶粒尺寸的金属材料层具有较大的晶粒边界比例,使得金属材料性质类似于非晶态或无定型状态,而展现出各向异性,这种各向异性有效改善化学机械研磨过程中的研磨均匀性;另一方面,晶粒尺寸小,也可以增加金属材料的硬度,从而进一步减小化学机械研磨过程中的金属材料移除速率,改善化学机械研磨过程中的研磨均匀性。
示例性地,所述改性处理采用氧处理将氧引入到功函数层中,从而使氧处理后的功函数层上形成掺杂氧的金属层,其晶粒尺寸小,具备非晶态或无定形状态的性质,使得在其上形成的后续金属材料相对未处理的功函数层上形成的后续金属材料具备更小的晶粒尺寸,可减小化学机械研磨工艺中材料的去除效率,提高化学机械研磨的均匀性。
示例性地,所述器件为NMOS器件,所述功函数层为NMOS功函数层。示例性地,所述功函数层为TiAl。对所述功函数层进行氧处理后,功函数层表面形成TiO2和Al2O3等氧化物,使得后续基于氧化物上形成的金属材料层具备更小的晶粒尺寸,更大的晶粒边界尺寸,展现无定型状态,有利于降低化学机械研磨速率,改善化学机械研磨均匀性。
所述氧处理采用将半导体衬底暴露在空气中。示例性地,在完成功函数沉积工艺之后在沉积腔室内通入部分空气或氧气,采用向沉积腔室通入空气或氧气方法进行氧处理,一方面操作简单,可编辑入功函数沉积工艺菜单进行操作,另一方面减少工艺流程,从而减少晶圆搬运过程中的损坏和污染。应当理解的是所述将半导体衬底暴露在空气中以及采用在完成功函数沉积工艺之后在沉积腔室内通入部分空气或氧气的方法对功函数中引入氧仅仅为示例性地,任何可在所述功函数层中进入氧的方式均可以应用于本发明。
接着,执行步骤S204:在所述沟槽中填充金属,并进行化学机械研磨以露出所述介质层。
如图,在所述经过氧处理后的半导体衬底上的所述沟槽中填充金属409,以覆盖所述功函数层407。所述金属构成金属栅极材料,所述金属栅极材料包括钨、铝等本领域技术人员所常用的各种金属材料。由于前期功函数层形成后对形成有功函数层的衬底执行氧处理,对功函数层的改性处理,使得后续在功函数层上生长形成的金属材料层的晶粒尺寸相对于未进行氧处理而生长形成的金属材料层的晶粒尺寸小,从而增加晶粒边界比例。对于金属材料特性来说,较大的晶粒边界比例,使得金属材料性质类似于非晶态或无定型状态,从而展现区各向异性,这种各向异性使得其在化学机械研磨过程中的移除速率变小,从而有效改善化学机械研磨过程中的研磨均匀性。半导体衬底经过氧处理后而在其表面生长的金属材料,因为其晶粒尺寸小,也可以增加金属材料的硬度,从这一角度也可以减小化学机械研磨过程中的金属材料移除速率,改善化学机械研磨过程中的研磨均匀性。所述形成金属栅极材料层的方法为本领域技术人员所熟知的方法,在此不再赘述。
示例性地,在所述沟槽中填充金属409之前,还包括在所述功函数层上形成阻挡层的步骤,如图4E所述,在所述功函数层407和金属层409之间还形成有阻挡层408,所述阻挡层来阻止金属材料层和功函数层之间的相互扩散,所述阻挡层可以是钽、氮化钽或氮化钛等本领域技术人员所常用的各种阻挡层材料。所述形成阻挡层的方法为本领域技术人员所熟知的方法,在此不再赘述。
示例性地,在形成所述阻挡层之后、形成所述金属栅极材料层之前,还包括在所述沟槽内形成浸润层,所述浸润层可以为包括钛或钛铝合金的各种适应材料,所述形成浸润层的作用是改善阻挡层和金属栅极材料层之间的界面特性,所述浸润层的形成工艺和过程为本领域技术人员所熟知的工艺,因其并未本发明的发明点,在此不做赘述。
需要理解的是,本发明中以形成阻挡层和浸润层的工艺为实施例并不是要对本发明进行限制,本领域技术人员根据需要选择形成界面层和覆盖层工艺。
对所述形成有金属栅极材料层的衬底执行化学机械研磨,以露出层间介质层。如图4E所示,对所述形成有栅极材料层409的半导体衬底执行化学机械研磨,以露出层间介质层402,形成如图4F所示的栅极结构。所述化学机械研磨过程为本领域技术人员所熟知的工艺,在此不再赘述。
综上所述,根据本发明的半导体器件制造方法,对半导体衬底上的功函数层进行改性处理,使得后续在功函数层上生长形成的金属材料层的晶粒尺寸相对于未进行氧处理而生长形成的金属材料层的晶粒尺寸小,一方面,小晶粒尺寸的金属材料层具有较大的晶粒边界比例,使得金属材料性质类似于非晶态或无定型状态,而展现出各向异性,这种各向异性有效改善化学机械研磨过程中的研磨均匀性;另一方面,晶粒尺寸小,也可以增加金属材料的硬度,从而进一步减小化学机械研磨过程中的金属材料移除速率,改善化学机械研磨过程中的研磨均匀性。
本发明已经通过上述实施例进行了说明,但应当理解的是,上述实施例只是用于举例和说明的目的,而非意在将本发明限制于所描述的实施例范围内。此外本领域技术人员可以理解的是,本发明并不局限于上述实施例,根据本发明的教导还可以做出更多种的变型和修改,这些变型和修改均落在本发明所要求保护的范围以内。本发明的保护范围由附属的权利要求书及其等效范围所界定。

Claims (11)

1.一种半导体器件的制造方法,其特征在于,所述方法包括:
提供半导体衬底,在所述半导体衬底上形成介质层及位于所述介质层中的沟槽;
在所述介质层上和所述沟槽中形成功函数层;
对所述功函数层进行改性处理;
在所述沟槽中填充金属,并进行化学机械研磨以露出所述介质层。
2.如权利要求1所述的方法,其特征在于,所述改性处理包括氧处理。
3.如权利要求2所述的方法,其特征在于,所述氧处理包括氧离子注入。
4.如权利要求2所述的方法,其特征在于,所述氧处理采用将所述半导体衬底暴露在空气中的方法。
5.如权利要求1所述的方法,其特征在于,在所述介质层中形成沟槽的步骤包括:在形成所述介质层之前形成包括伪栅极材料层的伪栅极结构;形成覆盖所述伪栅极结构侧壁的所述介质层;以及,去除所述伪栅极结构中的伪栅极材料层,以形成所述沟槽。
6.如权利要求5所述的方法,其特征在于,所述伪栅极结构还包括位于所述伪栅极材料层下方的高k介电层,和/或形成于所述半导体衬底和所述高k介电层之间的界面层,和/或形成于所述高k介电层与所述伪栅极材料层之间的覆盖层。
7.如权利要求1所述的方法,其特征在于,在所述沟槽中形成所述功函数层之前还包括在所述沟槽中形成高k介电层以覆盖所述沟槽侧壁和底部的步骤。
8.如权利要求7所述的方法,其特征在于,在形成所述高k介电层之前还包括在所述沟槽底部形成界面层,和/或在形成所述高k介电层之后在所述高k介电层上形成覆盖层的步骤。
9.如权利要求1所述的方法,其特征在于,在形成所述功函数层之后、在形成所述金属之前,还包括在所述沟槽内形成阻挡层。
10.如权利要求9所述的方法,其特征在于,在形成所述阻挡层之后、在形成所述金属之前,还包括在所述沟槽内形成浸润层。
11.如权利要求1至10任一项所述的方法,其特征在于,所述功函数层为NMOS功函数层。
CN201710064330.0A 2017-02-04 2017-02-04 一种半导体器件的制造方法 Pending CN108400160A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710064330.0A CN108400160A (zh) 2017-02-04 2017-02-04 一种半导体器件的制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710064330.0A CN108400160A (zh) 2017-02-04 2017-02-04 一种半导体器件的制造方法

Publications (1)

Publication Number Publication Date
CN108400160A true CN108400160A (zh) 2018-08-14

Family

ID=63093459

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710064330.0A Pending CN108400160A (zh) 2017-02-04 2017-02-04 一种半导体器件的制造方法

Country Status (1)

Country Link
CN (1) CN108400160A (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956460A (zh) * 2011-08-26 2013-03-06 联华电子股份有限公司 具有金属栅极的半导体元件的制作方法
CN103137456A (zh) * 2011-12-02 2013-06-05 中芯国际集成电路制造(上海)有限公司 Pmos晶体管金属栅极的制造方法
CN103311247A (zh) * 2012-03-14 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法
CN104347411A (zh) * 2013-08-01 2015-02-11 中国科学院微电子研究所 金属栅电极等效功函数调节方法
US20150303115A1 (en) * 2014-04-21 2015-10-22 Globalfoundries Inc. Modification of a threshold voltage of a transistor by oxygen treatment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102956460A (zh) * 2011-08-26 2013-03-06 联华电子股份有限公司 具有金属栅极的半导体元件的制作方法
CN103137456A (zh) * 2011-12-02 2013-06-05 中芯国际集成电路制造(上海)有限公司 Pmos晶体管金属栅极的制造方法
CN103311247A (zh) * 2012-03-14 2013-09-18 中国科学院微电子研究所 半导体器件及其制造方法
CN104347411A (zh) * 2013-08-01 2015-02-11 中国科学院微电子研究所 金属栅电极等效功函数调节方法
US20150303115A1 (en) * 2014-04-21 2015-10-22 Globalfoundries Inc. Modification of a threshold voltage of a transistor by oxygen treatment

Similar Documents

Publication Publication Date Title
US8828814B2 (en) Integrated semiconductor device and fabrication method
TWI495106B (zh) 鰭式場效電晶體及其製造方法
CN102460681B (zh) 稳定栅极介电层前藉由扩散栅极介电覆盖层调整复杂晶体管的阈值电压
TWI396286B (zh) 半導體裝置的製造方法
EP1388889A2 (en) Method to form a gate insulator layer comprised with multiple dielectric constants and multiple thicknesses
CN102738221B (zh) 制造栅极介电层的方法
JP5090173B2 (ja) 高誘電率ゲート誘電体層及びシリサイドゲート電極を有する半導体デバイスの製造方法
US8378432B2 (en) Maintaining integrity of a high-K gate stack by an offset spacer used to determine an offset of a strain-inducing semiconductor alloy
US20180151580A1 (en) Semiconductor device and forming method thereof
TW201513311A (zh) 將記憶電晶體納入高k金屬閘極互補式金屬氧化物半導體之製造流程的整合
CN106471615A (zh) Ono堆叠形成方法
KR20070029840A (ko) 반도체 디바이스 제조 방법
US8772100B2 (en) Structure and method for forming a low gate resistance high-K metal gate transistor device
JP2004253767A (ja) デュアルゲート構造およびデュアルゲート構造を有する集積回路の製造方法
TW201543552A (zh) 半導體元件及形成方法
CN106558481B (zh) 半导体器件制造方法
TWI663656B (zh) 具有金屬閘極之半導體元件及其製作方法
CN104979177B (zh) 栅极结构及其制作方法
JP2007073660A (ja) 半導体装置およびその製造方法
US7323419B2 (en) Method of fabricating semiconductor device
CN105826174A (zh) 半导体装置及其制作方法
CN106257620B (zh) 一种半导体器件及其制造方法、电子装置
TWI455319B (zh) 多閘電晶體
US8716807B2 (en) Fabrication of devices having different interfacial oxide thickness via lateral oxidation
TWI389214B (zh) 半導體裝置的製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20180814

RJ01 Rejection of invention patent application after publication