CN108346635B - 半导体结构及其制造方法 - Google Patents

半导体结构及其制造方法 Download PDF

Info

Publication number
CN108346635B
CN108346635B CN201810031872.2A CN201810031872A CN108346635B CN 108346635 B CN108346635 B CN 108346635B CN 201810031872 A CN201810031872 A CN 201810031872A CN 108346635 B CN108346635 B CN 108346635B
Authority
CN
China
Prior art keywords
redistribution layer
die
semiconductor
interposer
interposer die
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810031872.2A
Other languages
English (en)
Other versions
CN108346635A (zh
Inventor
陈硕懋
许峰诚
郑心圃
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108346635A publication Critical patent/CN108346635A/zh
Application granted granted Critical
Publication of CN108346635B publication Critical patent/CN108346635B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明实施例涉及半导体结构及其制造方法。本揭露提供一种半导体封装装置,所述半导体封装装置包含中介层裸片。所述中介层裸片包含半导体衬底及延伸穿过所述半导体衬底的多个贯穿硅通路TSV。所述半导体封装装置还包含:半导体裸片,其与所述中介层裸片间隔开;第一重布层,其安置在所述中介层裸片的第一侧上且将所述中介层裸片与所述半导体裸片电耦合;及第二重布层,其位于所述中介层裸片的与所述第一侧相对的第二侧上。

Description

半导体结构及其制造方法
技术领域
本发明实施例涉及半导体结构及其制造方法。
背景技术
涉及半导电装置的电子装备对于许多现代应用来说是必不可少的。材料及设计方面的技术进步已生产出若干代半导电装置,其中每一代均具有比前一代更小且更复杂的电路。在进步与创新过程中,功能密度(即,每芯片面积的互连装置数目)普遍增大,而几何大小(即,可使用制作工艺产生的最小组件)被减小。这些进步增加了处理及制造半导电装置的复杂性。另外,通过封装半导体裸片或芯片来制作半导体装置持续变得日益复杂。
发明内容
本发明的一实施例揭露一种半导体封装装置,所述半导体封装装置包括:中介层裸片,其包括半导体衬底及延伸穿过所述半导体衬底的多个贯穿硅通路(TSV);半导体裸片,其与所述中介层裸片间隔开;第一重布层,其安置在所述中介层裸片的第一侧上且将所述中介层裸片与所述半导体裸片电耦合;及第二重布层,其位于所述中介层裸片的与所述第一侧相对的第二侧上。
本发明的另一实施例揭露一种半导体封装装置,所述半导体封装装置包括:第一重布层;中介层裸片,其安置在所述第一重布层上方,所述中介层裸片彼此间隔开,且所述中介层裸片中的每一者包括衬底及耦合到所述衬底的重布层;第一半导体裸片,其安置在所述中介层裸片上方且电耦合到所述中介层裸片;及模制材料,其囊封所述中介层裸片及所述第一半导体裸片。
本发明的另一实施例揭露一种制造半导体封装装置的方法,所述方法包括:使用衬底来形成中介层裸片,所述中介层裸片包括所述衬底中的多个导电通路;将第一半导体裸片及所述中介层裸片接合到第一RDL;囊封所述第一RDL、所述第一半导体裸片及所述中介层裸片;在第一半导体裸片及所述中介层裸片上方与所述第一RDL相对的侧上形成第二RDL;将第二半导体裸片与所述第一RDL接合;囊封所述第二半导体裸片;及形成外部连接件以通过所述第二RDL电耦合到所述第一半导体裸片及所述中介层裸片。
附图说明
当随着附图一起阅读时,依据以下详细说明最佳地理解本揭露的方面。注意,根据产业的标准惯例,各种构件未按比例绘制。事实上,为论述的清晰起见,可任意地增加或减小各种构件的尺寸。
图1A到1D是根据一些实施例的针对制造半导体结构的方法的中间结构的横截面图。
图2A到2D是根据一些实施例的针对制造半导体结构的方法的中间结构的横截面图。
图3A到3H是根据一些实施例的针对制造半导体结构的方法的中间结构的横截面图。
图4A到4F是根据一些实施例的针对制造半导体结构的方法的中间结构的横截面图。
图4G是根据一些实施例的针对制造半导体结构的方法的中间结构的横截面图。
图5A到5K是根据一些实施例的针对制造半导体封装装置的方法的中间结构的横截面图。
图6是根据一些实施例的半导体封装装置的示意性横截面图。
图7A到7F是根据一些实施例的针对制造半导体封装装置的方法的中间结构的横截面图。
图7G是根据一些实施例的半导体封装装置的示意性横截面图。
图7H是根据一些实施例的半导体封装装置的示意性横截面图。
图8A到8F是根据一些实施例的针对制造半导体封装装置的方法的中间结构的横截面图。
图9A到9C是根据一些实施例的针对制造半导体封装装置的方法的中间结构的横截面图。
图10是根据一些实施例的半导体封装装置的示意性横截面图。
图11是根据一些实施例的制造中介层裸片的示意性流程图。
图12是根据一些实施例的制造半导体封装装置的示意性流程图。
具体实施方式
以下揭露内容提供用于实施所提供标的物的不同构件的许多不同实施例或实例。为简化本揭露,下文描述组件及布置的特定实例。当然,这些仅是实例且并非打算为限制性的。举例来说,以下说明中的在第二构件上方或第二构件上形成第一构件可包含其中第一构件及第二构件以直接接触方式形成的实施例,且还可包含其中可在第一构件与第二构件之间形成额外构件使得第一构件与第二构件可不直接接触的实施例。另外,本揭露可在各实例中重复参考编号及/或字母。此重复是出于简化及清晰目的且本质上并不指定所论述的各种实施例及/或配置之间的关系。
此外,为便于说明,本文中可使用空间相对术语(例如,“下面”、“下方”、“下部”、“上方”、“上部”等等)来描述一个元件或构件与另一(其它)元件或构件的关系,如各图中所图解说明。除各图中所描绘的定向之外,所述空间相对术语还打算囊括装置在使用或操作中的不同定向。设备可以其它方式定向(旋转90°或处于其它定向),且同样可据此解释本文中所使用的空间相对描述符。
在本揭露通篇中,为进行图解说明,一或多个构件可被引入有限实施例中,而非跨越所有实施例穷尽性地分布。然而,除非另有陈述,否则即使将构件引入同一实施例中,在不存在其它构件的情况下,构件中的每一者也可存在其自己的功能。此外,为清晰及简化起见,在不重复说明的情况下,被引入一个实施例中的一个构件还可被用于其它实施例中中。同样地,可互换地替换共享类似功能的但在不同实施例中描述的一些构件。
本揭露提供根据数个实施例的用于提供增强的电性能及减小的封装占用面积的扇出晶片级封装的结构及制造操作。在扇出封装装置中,一或多个互连或重布层(RDL)经并入以扇出众多芯片接点,以便容纳不断增大的输入/输出连接数量。另外,导电通路经形成以将RDL与半导体封装装置的其它导电构件、组件裸片及外部连接件电耦合。在一些情景中,导电通路称为贯穿硅通路(TSV)。具体来说,TSV制造于独立于封装装置的晶片上。TSV晶片切割成个别裸片,且含有TSV的裸片有时称为TSV裸片或中介层裸片。中介层裸片安置在半导体封装装置内,其中TSV用作半导体封装装置中的组件的电互连。如与在半导体封装装置的制造工艺期间连同其它构件一起形成的通路相比,TSV的间距因此可得以显著减小。可维持且甚至改善电性能。另外,可有效地减小封装大小。在以下说明中,图解说明制造各种实施例的中间阶段。论述实施例的变化。贯穿各种视图及说明性实施例,相似元件符号用于指定相似元件。
其他特征和工艺也可以被包括。例如,可以包括测试结构以辅助3D封装或3DIC器件的验证测试。测试结构可以包括例如形成在允许测试3D封装或3DIC的重分布层中或衬底上的测试焊盘,使用探针和/或探针卡等。验证测试可以在中间结构以及最终结构上执行。此外,本文公开的结构和方法可以与测试方法结合使用,其引入对已知良好晶粒的中间验证,以提高产量并降低成本。
图1A到1D是根据一些实施例的针对制造半导体结构100的方法的中间结构的横截面图。半导体结构100可包含一或多个TSV裸片或中介层裸片。参考图1A,提供或接纳衬底102。衬底102包含半导体材料,例如块状硅。在一些实施例中,衬底102用作中介层衬底。在一些实施例中,衬底102可包含其它半导体材料,例如硅锗、碳化硅、砷化镓等等。在一些实施例中,衬底102是p型半导电衬底(受体类型)或n型半导电衬底(供体类型)。另一选择为,衬底102包含另一元素半导体,例如锗;化合物半导体,包含砷化镓、磷化镓、磷化铟、砷化铟或锑化铟;合金半导体,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP或GaInAsP;或其组合。在又一实施例中,衬底102是绝缘体上覆半导体(SOI)。在其它替代方案中,衬底102可包含经掺杂外延层、梯度半导体层及/或覆叠不同类型的另一半导体层的半导体层,例如硅锗层上的硅层。
随后,可在衬底102中形成数个导电通路104。首先,凹槽104可经形成在衬底102的上表面上具有相应开口104-1。举例来说,凹槽104可通过一或多个蚀刻工艺、铣削、激光技术等而形成。凹槽104延伸到衬底102中。在一些实施例中,凹槽104延续穿过衬底102。在本实施例中,衬底102在凹槽104的底部下方的厚度保持处于当前阶段,且可在后续操作中被移除或薄化以暴露凹槽104的底部。
在一些实施例中,凹槽104及衬底102的上表面接着衬有衬层106。所述衬层保形地形成于衬底102的上表面以及凹槽104的侧壁及底部上方。在一些实施例中,衬层106包括一或多个电介质材料层,例如氧化硅、氮化硅、四乙氧基硅烷(TEOS)、聚酰亚胺或其组合。
此后,可使用导电材料来填充凹槽104,借此形成导电通路104。在一些实施例中,导电通路104称为贯穿硅通路(TSV)104。TSV 104包括导电材料,例如铜、钨、铝、银、其组合等等。在一些实施例中,TSV 104还可包含衬底102与衬层106之间的扩散阻障层。扩散阻障层可由TaN、Ta、TiN、Ti、CoW等制成。举例来说,导电通路104可通过电镀技术而形成。还可考虑其它沉积技术,例如化学气相沉积(CVD)、物理气相沉积(PVD)、原子层沉积(ALD)等等。在一些实施例中,可使用平面化操作(例如,化学机械抛光(CMP)或研磨)来移除过量的导电材料。在一些实施例中,TSV可是指分层结构,所述分层结构除导电材料104之外还包含衬层106。在一些实施例中,导电通路104包括从其相应开口104-1到其底部成锥形的侧壁。在一些实施例中,TSV 104的上表面104A从衬底102的上表面102A暴露。在一些实施例中,TSV104的上表面104A大体上与衬底102上方的衬层106的上表面106A共面。
参考图1B,在对应TSV 104上形成接垫108。接垫108可用于将TSV 104与覆叠接垫108的导电构件或芯片电接合。在一些实施例中,接垫108覆盖对应TSV 104。在一些实施例中,接垫108部分地覆盖衬底102的上表面。在一些实施例中,接垫108覆盖衬层106的一部分。接垫108包含导电材料,例如,铜、钨、铝、银、其组合等等。在一些实施例中,接垫108包含类似于TSV 104的材料。接垫108可通过任何适合技术(例如CVD或PVD)而形成。在一些实施例中,接垫108经构造为TSV 104的顶部部分。
接下来,将第一保护层110及第二保护层112依序沉积在衬底102上方。第一保护层110及第二保护层112可统称为钝化层。第一保护层110及第二保护层112中的每一者包含电介质材料,例如氧化硅、TEOS氧化物、氮化硅、其组合等等。另一选择为,第一保护层110及第二保护层112可包含无掺杂硅酸盐玻璃(USG)、氟化硅酸盐玻璃(FSG)或其它适合电介质材料。在一些实施例中,第一保护层110是USG层,且第二保护层112包含氮化硅。第一保护层110及第二保护层112通过首先在衬底102上方沉积电介质材料、接着通过光刻工艺来图案化电介质材料而形成。接着使接垫108中的每一者的一部分暴露。第一保护层110或第二保护层112的沉积可使用包含热氧化、LPCVD(低压CVD)、PECVD(等离子体辅助化学气相沉积(plasma-enhanced CVD))等多种技术中的任一者而实施。
在一些实施例中,第一保护层110可进一步包含具有不同电介质材料的分层结构。举例来说,第一保护层110可通过在衬层106及接垫108上形成单个低介电系数材料层、接着形成USG或氮化硅层而形成。
参考图1C,在第二保护层112上方形成掩模层120。掩模层120可包括干膜或光致抗蚀剂膜。另一选择为,可使用其它材料,例如氮化物、氧化物或氮氧化物。另外,开口121通过(举例来说)光刻工艺而形成以使环绕接垫108的第一保护层110及第二保护层112的一部分暴露。随后,将凸块下金属122及金属化层124依序填充在接垫108上方的开口121中。在一实施例中,凸块下金属122可包括扩散阻障层及/或扩散阻障层上方的晶种层。凸块下金属层122及金属化层124可通过PVD、溅镀或其它适合方法而形成。在一些实施例中,扩散阻障层可包含钛、氮化钛、钽、氮化钽等等。在一些实施例中,晶种层可包括铜或铜合金。在一些实施例中,金属化层124可包括单层结构或多层结构。举例来说,金属化层124包括铜、铜合金、锡、镍、镍合金、其组合等等。接下来,在金属化层124上方的开口121中形成焊接材料126。在一些实施例中,焊接材料126包括Sn、铅(Pb)、Ni、Au、Ag、Cu、辉铋矿(Bi)、其组合或其它导电材料混合物。在一些实施例中,焊接材料126包括基于铅的材料,例如SnAg、SnPb、SnAgCu等等。在一个实施例中,焊接材料126是无铅材料。
参考图1D,在成功地完成焊接材料126的形成之后,可将掩模层120剥离。随后,可对焊接材料126执行热工艺,从而形成外部连接件126。在一些实施例中,外部连接件126包括球形形状。然而,其它形状的外部连接件126也是可能的。在一些实施例中,外部连接件126可为接点凸块,例如受控塌陷芯片连接(C4)凸块、球栅阵列凸块或微凸块。在一些实施例中,可对衬底102执行薄化操作(未单独展示)以使TSV 104上的衬层106的底部部分暴露。在一些实施例中,移除衬层106的一部分,借此使TSV 104的底部暴露。在一些实施例中,可对衬底102执行裸片刀或单个化工艺(未单独展示)。相应地获得个别TSV裸片(例如,图1D中的半导体结构100图解说明嵌入三个TSV的TSV裸片),每一TSV裸片包含一或多个嵌入式TSV104。
在本实施例中,TSV裸片100可仅包含TSV 104。在衬底102上或在衬底102中未形成电路或导电构件。在一些实施例中,TSV裸片结构100可进一步包含无源电路或装置(未单独展示),例如电容器、电感器、电阻器等等。
图2A到2D是根据一些实施例的针对制造半导体结构200的方法的中间结构的横截面图。半导体结构200可包含一或多个TSV裸片或中介层裸片。此外,TSV裸片200的结构及制造操作类似于TSV裸片100的结构及制造操作,重布层(RDL)130除外。因此,为清晰及简化起见,可简化或省略对图2A到2D(其中的元件先前在图1A到1D中已论述)的一些说明。
参考图2A,在衬底102上依序形成TSV 104及衬层106。接着,如图2B中所展示,在衬底102及TSV 104上方形成RDL 130。RDL 130经构造以将TSV 104与覆叠构件电耦合。RDL130可包含多个金属层134。金属层134中的每一者可包含水平导电线及垂直金属通路,其中水平金属线通过至少一个垂直金属通路电耦合到邻近覆叠或下伏水平金属线。在本实施例中,金属线及通路的数目及图案是为进行图解说明而提供。金属层的其它数目及替代布线图案也在本揭露的所涵盖范围内。在一些实施例中,接垫108可形成为RDL 130的最上部金属层。接垫108的一部分通过RDL 130而暴露。
此外,前述金属层134与其它组件电绝缘。所述绝缘可通过绝缘材料而实现。在一些实施例中,RDL 130的其余部分可填充有电介质132。电介质132可由氧化物形成,所述氧化物例如无掺杂硅酸盐玻璃(USG)、氟化硅酸盐玻璃(FSG)、氧化硅、氮化硅、氮氧化硅、低介电系数材料等等。低介电系数材料可具有低于3.8的k值,但电介质132的电介质材料还可接近3.8。在一些实施例中,低介电系数材料的k值低于约3.0,且可低于约2.5。
随后,如图2C中所图解说明,在RDL 130上方形成保护层110及112,其中接垫108的一部分被暴露。接下来,参考图2D,形成凸块下金属122、金属化层124及外部连接件126。在一些实施例中,对结构200执行裸片单个化操作。相应地形成个别TSV裸片200。
图3A到3H是根据一些实施例的针对制造TSV裸片结构300的方法的中间结构的横截面图。在图3A中,在衬底102上依序形成TSV 104及衬层106。
参考图3B,首先形成接垫108,且将保护层110及112沉积在接垫108、衬底102及TSV104上方。此外,接垫108的一部分通过第一保护层110及第二保护层112而暴露。接下来,将金属化层320沉积在接垫108的所暴露部分上方。金属化层320包含导电材料,例如铝、铜、锡、镍、其合金等等。在一些实施例中,金属化层320的材料类似于凸块下金属122或金属化层124。随后,在对应金属化层320上方形成帽盖302。在一些实施例中,帽盖302具有大体上与金属化层320的侧壁对准的侧壁。
在一些实施例中,帽盖302包括导电材料,例如钨、铝、银、其组合等等。在一些实施例中,帽盖302可包括类似于TSV 104或RDL 130的金属层134的材料的材料。在其它实施例中,帽盖302包括电介质材料,例如氮化物、氧化物、氮氧化物等等。在一些实施例中,帽盖302包括聚合物材料,例如聚合物、聚酰亚胺(PI)、苯并环丁烯(BCB)、聚苯并恶唑(PBO)等等。在一些实施例中,帽盖302可通过旋涂、溅镀或其它沉积方法而形成。
图3C图解说明延续穿过保护层112、110及衬层106的槽304的形成。在一些实施例中,槽304刺穿衬底102的深度,但并未刺穿整个衬底102。在一实施例中,槽304在TSV 104的底部表面104B下方延伸。槽304可通过激光切割工具而形成。
在一些实施例中,金属化层320包含接触接垫108的底部部分。在一些实施例中,金属化层320的底部部分具有宽度W1。此外,TSV 104具有拥有宽度W2的上表面。在一些实施例中,宽度W2大于宽度W1。
接下来,在图3D中,在衬底102上方形成粘合剂层306。粘合剂层306填充槽304以及帽盖302当中的间隙。在一些实施例中,粘合剂层306与帽盖302持平。在一些实施例中,粘合剂层306可由粘合剂材料(例如,紫外线(UV)胶水或胶带)形成。一旦粘合剂层306处于适当位置,载体310便附接到粘合剂层306。在一些实施例中,载体310可为玻璃载体、陶瓷载体等等。
接下来,将半导体结构300倒置,如图3E中所图解说明。薄化或移除衬底102的一深度,借此使TSV 104的底部表面104B暴露。在一些实施例中,槽304在薄化操作期间通过衬底102而暴露。在一些实施例中,TSV 104从上表面104A到底部表面104B连续不断。底部表面104B在完成薄化操作之后大体上与衬底102的底部表面102B共面。在一些实施例中,TSV104具有大体上等于衬底102的厚度与衬底102上方的衬层106的厚度的总和的高度H1。
在图3F中,在衬底102上方、环绕TSV 104的所暴露底部表面104B形成保护性结构312。在一些实施例中,保护性结构312包括环绕底部表面104B的周界的环形形状(从上方看),其中TSV 104的一部分被暴露。在一些实施例中,邻近保护性结构312可构造为彼此分开的。在一些实施例中,保护性结构312由电介质材料形成,所述电介质材料例如氮化物、氧化物、氮氧化物等等。在一些实施例中,保护性结构312包括聚合物材料,例如聚合物、聚酰亚胺(PI)、苯并环丁烯(BCB)、聚苯并恶唑(PBO)等等。
图3G图解说明凸块下金属322、金属化层324及外部连接件326的形成。凸块下金属322、金属化层324及外部连接件326的材料及制造操作分别类似于如参考图1C及1D所图解说明的凸块下金属122、金属化层124及外部连接件126的材料及制造操作。此外,从槽304移除粘合剂材料的一部分。
参考图3H,将载体310从半导体结构300移除或拆离。在一些实施例中,粘合剂层306及槽304中的粘合剂也被清除。在一些实施例中,载体310可通过粘合剂层306上的能量施加(例如,紫外线(UV)或近红外线(NIR)激光或者热处理)而释放。因此,相应地形成个别TSV裸片或中介层裸片300A及300B。
图4A到4F是根据一些实施例的针对制造半导体结构400的方法的中间结构的横截面图。半导体结构400可包含一或多个TSV裸片或中介层裸片。此外,TSV裸片400的制造操作类似于TSV裸片300的制造操作,重布层(RDL)430除外。另外,RDL 430类似于参考图2B所图解说明的RDL 130。因此,为清晰及简化起见,可简化或省略对图3A到3H中出现的编号的材料、配置或制造操作的一些说明。
参考图4A,在衬底102上方依序形成TSV 104及衬层106。接下来,如图4B中所展示,在衬底102及TSV 104上方形成RDL 430。RDL 430经构造以将TSV 104与覆叠构件电耦合。RDL 430可包含多个金属层434。此外,RDL 430的其余部分可填充有电介质432。电介质432的电介质材料可由氧化物形成,所述氧化物例如无掺杂硅酸盐玻璃(USG)、氟化硅酸盐玻璃(FSG)、低介电系数材料等等。低介电系数材料可具有低于3.8的k值,但电介质132的电介质材料还可接近3.8。在一些实施例中,低介电系数材料的k值低于约3.0,且可低于约2.5。在一些实施例中,电介质432可包含类似于RDL130的电介质132的电介质材料。
图4B还展示RDL 430上方的保护层110及112的形成,所述保护层类似于图3B中所图解说明的保护层。接下来,依序形成金属化层320及帽盖302。图4C图解说明延续穿过保护层112、110及衬层106的槽304的形成。此外,粘合剂层306填充槽304以及帽盖302当中的间隙。在形成粘合剂层306之后,将载体310附接到粘合剂层306。
接下来,将半导体结构400倒置,如图4D中所图解说明。另外,衬底102的深度被薄化或移除,借此使TSV 104的底部暴露。在衬底102上方、环绕TSV 104的底部表面104B形成保护性结构312。图4E图解说明凸块下金属322、金属化层324及外部连接件326的形成。接下来,移除槽304中的粘合剂材料的一部分。因此,槽304的上部部分被清除。在一实施例中,半导体结构300接纳裸片单个化操作以在载体310上形成裸片阵列。
参考图4F,将载体310从半导体结构400移除或拆离。因此,相应地形成个别TSV裸片或中介层裸片400A及400B。
在一些实施例中,中介层裸片可经形成具有更柔软几何结构。图4G展示根据一些实施例的针对制造半导体结构401的方法的中间结构的横截面图。半导体结构401包含至少两个中介层裸片400C及400D。参考图4F及4G,中介层裸片400C或400D在衬底102中不包含任何TSV。在一实施例中,衬底102仅由半导体材料(例如块状硅)形成。在一实施例中,衬底102不具任何TSV。在一实施例中,衬底102不具任何有源组件(例如,晶体管或二极管)或无源组件(例如,电阻器、电容器或电感器)。在一实施例中,中介层裸片400C或400D仅通过RDL 430电耦合到其它组件。衬底102使RDL 430与衬底102下方的组件断开电连接。RDL 430可通过其它电连接电耦合到衬底102下方的组件。在一些实施例中,中介层裸片400C及400D不包含中介层裸片400A或400B中存在的保护性结构312、凸块下金属322、金属化层324及外部连接件326。如以下段落中将图解说明,当将中介层裸片400C或400D集成到半导体封装装置中时,衬底102在中介层裸片400C或400D与封装装置中的其它元件耦合时可被薄化或移除。因此在不影响装置性能的情况下,封装装置的总体厚度被进一步减小。如此,所建议中介层裸片可经形成具有不同高度(例如,中介层裸片400A到400D)以适应具有变化的封装厚度的不同封装规格。
在下文中,如以上所图解说明及描述的各种中介层裸片被并入到半导体封装装置的制造中。如前述段落中所例示的独立中介层裸片中的每一者的构件及配置适用于后续段落中所描述的中介层裸片。图5A到5K是根据一些实施例的针对制造半导体封装装置500的方法的中间结构的横截面图。
在图5A中,接纳或提供载体510。举例来说,载体510可包括基于硅的材料,例如玻璃、氧化硅、氧化铝、陶瓷材料或其组合。接下来,在载体510上方形成粘合剂层501。粘合剂层501经构造以帮助载体510与上覆结构之间进行粘合。在一些实施例中,粘合剂层501可包括紫外线胶水或其它适合材料。
在粘合剂层501上方形成RDL 520。RDL 520层可包括交替的电介质材料层与导电金属线及通路,其中金属线由垂直延伸的金属通路互连。在一些实施例中,RDL 520类似于先前所论述的RDL 130或430。RDL 520可通过例如光刻操作、蚀刻、沉积等操作而形成。数个接点垫503形成于RDL 520的最上部金属层中且通过RDL 520的表面而暴露。
图5B展示半导体裸片502到RDL 520的接合。在一些实施例中,半导体裸片502可为如先前所论述的TSV裸片或者中介层裸片100、200、300或400。在一些实施例中,半导体裸片502可为如图1D、2D、3H、4F或4G中所图解说明的中介层裸片。在一些实施例中,中介层裸片502可为包含具有对应帽盖532及外部连接件508的TSV 505的中介层裸片。在一些实施例中,无源电元件(例如,电容器、电阻器、电感器等)或有源电元件(例如,场效应晶体管、双极结晶体管等)均不嵌入半导体裸片502中。在一些实施例中,中介层裸片502通过外部连接件508接合到RDL 520,其中可将外部连接件508与如图1D、2D、3H或4F中所图解说明的外部连接件126或326进行比较。在一些实施例中,中介层裸片502以帽盖532背对RDL 520的方式进行接合。在一些实施例中,TSV 505具有从帽盖302附近到外部连接件508附近成锥形的侧壁。在一实施例中,中介层裸片502在TSV 505与帽盖532之间包含RDL 507。TSV 505可从RDL507到外部连接件508成锥形。
另外,第一半导体裸片504及第二半导体裸片506接合到RDL 520。在一些实施例中,第一半导体裸片504或第二半导体裸片506是存储器裸片、逻辑裸片、SOC(系统单芯片)裸片,或包含经设计以执行特定任务的电路的其它类型的半导体裸片。在一些实施例中,第一半导体裸片504及第二半导体裸片506具有耦合到外部连接件512的接点垫514。此外,第一半导体裸片504及第二半导体裸片506通过对应外部连接件512接合到RDL 520的接垫503。外部连接件512与外部连接件126及326共享类似结构及制造操作。在一些实施例中,外部连接件512可为例如C4凸块、球栅阵列凸块或微凸块的接点凸块。在一些实施例中,第一半导体裸片504及第二半导体裸片506与中介层裸片502间隔开且通过RDL 520电耦合到中介层裸片502。
第一半导体裸片504或第二半导体裸片506的连接配置可取决于RDL 520与半导体裸片504或506之间的间隙而变化。在一实施例中,当RDL 520与半导体裸片504或506之间的间隙太小而无法容纳外部连接件512时,半导体裸片504或506通过相应接点垫516或514直接耦合到RDL 520。在一些实施例中,半导体裸片504或506通过由单一材料形成的接点垫而耦合到RDL 520。
在图5C中,囊封材料522囊封或环绕半导体裸片502的外部连接件508以及外部连接件512。在一些实施例中,囊封材料522进一步填充RDL 520与裸片502、504及506之间的间隙。在一些实施例中,囊封材料522形成从RDL 520延伸到裸片502、504及506中的每一者的底部的倾斜边缘。囊封材料522可包含经模制底胶材料。囊封材料522可由环氧树脂、可变形凝胶、硅橡胶、热塑聚合物、其组合等形成。在其它实施例中,囊封材料522可包含填充物材料。囊封材料522可通过施涂、注入或喷涂技术而形成。
随后,囊封材料518环绕囊封材料522、外部连接件508及512、中介层裸片502、半导体裸片504及506以及RDL 520。在一些实施例中,模制材料518填充RDL 520与裸片502、504及506之间的间隙。在一些实施例中,囊封材料518形成与RDL 520的边缘对准的边缘。囊封材料518可包含经模制底胶材料。在一些实施例中,囊封材料518包含模制化合物,例如聚酰亚胺、PPS、PEEK、PES、模制底胶、环氧树脂、树脂或其组合。囊封材料518可通过施涂、注入或喷涂技术而形成。在一些实施例中,囊封操作可在具有腔的模制装置中执行。囊封材料518可在腔被气密式密封之前施涂于所述腔内,或者,可通过注入端口注入到经密封的腔中。
一旦已形成模制材料518,便可执行薄化或平面化工艺来移除过量的囊封材料518,如图5D中所图解说明。所述薄化及平面化操作可使用机械研磨或化学机械抛光(CMP)方法来执行。在一些实施例中,中介层裸片502中的帽盖532的顶部表面532A通过囊封材料518而暴露。此外,半导体裸片504及506被薄化。因此,囊封材料518的顶部表面518A分别与半导体裸片504及506的表面504A及506A持平。因此,上表面532A、518A、504A及506A彼此大体上持平。
图5E到5G图解说明耦合中介层裸片502的导电通路533的形成。参考图5E,在裸片502、504及506以及囊封材料518上方沉积保护层536。在一些实施例中,保护层536包含电介质材料,例如氮化硅、氧化硅、氮氧化硅等等。在一些实施例中,保护层536包含聚合物材料,例如聚酰亚胺(PI)、聚苯并恶唑(PBO)、苯并环丁烯(BCB)、环氧树脂、硅酮、丙烯酸脂、纳米填充的酚醛树脂、硅氧烷、氟化聚合物、聚降冰片烯等等。在一些实施例中,保护层536由与帽盖532相同的材料形成。随后,在保护层536上方沉积掩模层534。掩模层534可为光致抗蚀剂层,且经图案化以在帽盖532上方形成通路533。在一些实施例中,掩模层534及保护层536可通过蚀刻选择性而区分。在一些实施例中,保护层536与掩模层534之间的蚀刻选择性介于约3到10的范围内。在一些实施例中,通路533的宽度小于下伏帽盖532的宽度。
返回参考图3C,金属化层320的底部部分具有小于TSV 104的上表面的宽度W2的宽度W1。在图5E中的本实施例中,在对应TSV 505的上表面上方安置通路533,且通路533可贯穿金属化层320的宽度W1而形成。因此,通路533的宽度可小于下伏TSV 505的宽度。
接下来,在图5F中,执行蚀刻操作以移除保护层536的一部分,使得通路533延续穿过帽盖532且到达裸片502的接垫构件。蚀刻操作可通过干法蚀刻或湿法蚀刻操作而执行。在一些实施例中,经延伸通路533延续穿过帽盖532的电介质材料。一旦形成通路533,便剥离或蚀刻掉掩模层534。
接下来,将导电材料填充在通路533中以形成导电通路533,如图5G中所展示。导电材料可包含铜、钨、铝、银、其组合等等。接着,在保护层536上方形成RDL 542。导电通路533将中介层裸片502与RDL 542耦合。在一些实施例中,导电通路533在形成RDL 542期间而形成,且可被视为RDL 542的一个导电层。
在一些实施例中,如半导体裸片502中所形成的TSV 505具有介于约8到12之间的纵横比。在一些实施例中,半导体裸片502中所形成的TSV 505与现有方法相比具有较小纵横比,在现有方法中,导电性贯穿中介层通路连同扇出封装装置的其它构件一起形成。因此,TSV 505可经形成具有相对较小间距。在一些实施例中,TSV 505具有小于约80μm的间距。在一些实施例中,TSV 505具有从约60μm到约80μm的间距。在一些实施例中,TSV 505具有从约40μm到约60μm的间距。在一些实施例中,TSV 505具有从约30μm到约40μm的间距。此外,中介层裸片502中所形成的TSV 505具有相对小于现有方法的宽度(例如,如在图3C中描绘为宽度W2)。在一些实施例中,TSV 505具有小于约30μm的宽度。在一些实施例中,TSV 505具有从约10μm到约30μm的宽度。在一些实施例中,TSV 505具有从约10μm到约15μm的宽度。
在现有封装装置中,TSV 505可并入到半导体裸片504及506中。由于通常利用更先进技术来设计及制造半导体裸片504及506,因此在制造半导体裸片504及506中,将半导体裸片504及506中的外围电路(例如,导电通路505)与核心电路分离且在另一裸片中实施那些外围电路将减小裸片大小及制造成本。另外,可实现半导体裸片504或506的更好生产合格率。
在图5H中,在RDL 542上方形成粘合剂层540。接下来,将载体530附接到粘合剂层540。在一些实施例中,载体530可为玻璃载体、陶瓷载体等等。接下来,将半导体结构500倒置。将载体510从半导体结构500移除或拆离。在一些实施例中,粘合剂层501也被移除或清除。相应地,RDL 520被暴露。半导体裸片550通过外部连接件544而接合到RDL 520。在一些实施例中,半导体裸片550具有电耦合到外部连接件544的数个接点垫。半导体裸片550可为存储器裸片、逻辑裸片或SOC裸片。在一些实施例中,外部连接件544可为C4凸块、球栅阵列凸块或微凸块。在所描绘实施例中,中介层裸片502从半导体裸片550垂直偏移。在一实施例中,中介层裸片502可被半导体裸片550完全覆盖或与半导体裸片550部分地重叠。
半导体裸片550与半导体裸片504及506的互连使得半导体裸片550的前侧与下伏裸片504及506的前侧以面对面方式连接。此布置可减小互连的连接路线的有效长度且减轻或降低例如信号延迟或功率消耗等不期望效应。
图5I展示囊封材料552及554的形成。囊封材料552环绕外部连接件544。在一些实施例中,囊封材料552填充RDL 520与裸片550之间的间隙。在一些实施例中,囊封材料552从半导体裸片550的底部向上延伸且覆盖半导体裸片550的边缘的一部分。在一些实施例中,囊封材料552形成从半导体裸片550延伸到RDL 520的上表面的倾斜边缘。囊封材料552可包含经模制底胶材料。经模制底胶材料可由环氧树脂、可变形凝胶、硅橡胶、热塑聚合物、其组合等形成。在其它实施例中,囊封材料552可包含填充物材料。
囊封材料554囊封囊封材料552、外部连接件544、半导体裸片550及RDL 520。在一些实施例中,囊封材料554与RDL 520的边缘对准。囊封材料554可包含经模制底胶材料。在一些实施例中,囊封材料554包含模制化合物,例如聚酰亚胺、PPS、PEEK、PES、模制底胶、环氧树脂、树脂或其组合。在一些实施例中,可执行薄化或平面化工艺来移除过量的囊封材料554或使半导体裸片550薄化。因此,半导体裸片550及囊封材料554的相应上表面彼此大体上持平。
参考图5J,将半导体结构500倒置且放在胶带560上。将载体530从半导体结构500移除或拆离。在一些实施例中,粘合剂层540也被清除。相应地,RDL 542被暴露。在图5K中,在RDL 542上形成外部连接件562。在一些实施例中,外部连接件562可为接点凸块,例如C4凸块、球栅阵列凸块或微凸块。执行单个化操作以将半导体结构500分割成个别封装装置500。在一些实施例中,采用激光或裸片刀564来执行单个化操作。
图6是根据一些实施例的半导体封装装置600的示意性视图。半导体封装装置600在许多方面类似于半导体封装装置500。另外,比较图6与图5K,半导体封装装置600包含类似于半导体裸片502且可为中介层裸片的半导体裸片602。具体来说,半导体裸片602通过外部连接件608而接合到RDL 520。此外,半导体裸片602可为如图1D及2D中分别图解说明的中介层裸片100或200,其中外部连接件608可对应于外部连接件126。半导体裸片602具有接触且电耦合到RDL 542的数个TSV 607。在一些实施例中,与中介层裸片502的帽盖532相比,中介层裸片602的衬底609在不具有任何中间结构或帽盖的情况下与RDL 542接触。返回参考图1D及2D,可观察到,如果中介层裸片100或200被应用于半导体裸片602,那么衬底102(或609)在TSV 104的底部下方(或高于TSV 607)的深度已被移除。在操作中,衬底609在TSV607与衬底605的上表面之间的过度深度可在类似于参考图5D所描述的薄化操作的薄化操作中被薄化或蚀刻。
在一些实施例中,半导体裸片602在TSV 607与外部连接件608之间包含内部RDL605。内部RDL 605类似于如图2D中所图解说明的RDL 130。在一些实施例中,TSV 607具有从下端(接近内部RDL 605或外部连接件608)到上端(接近RDL 542)成锥形的侧壁。
在一些实施例中,半导体封装装置600进一步包含安置在RDL 542上的集成式无源装置(IPD)610。IPD 610可包含无源组件,例如电容器、电感器、电阻器等等。将IPD 610安置在RDL 542(其位于半导体裸片504及506的外部且位于囊封材料518内的经囊封空间外部)上方可进一步减小封装大小及改善电路布局性能。
图7A到7F是根据一些实施例的针对制造半导体封装装置700的方法的中间结构的横截面图。参考图7A,粘合剂层501、RDL 520及中介层裸片502的形成操作类似于参考图5B的操作。此外,在本实施例中,分别用其它中介层裸片703及704替换半导体裸片504及506。中介层裸片703及704可为先前所论述的中介层裸片100、200、300及400中的任一者。中介层裸片703及704通过相应外部连接件538及548与RDL 520接合。在一些实施例中,中介层裸片703及704在与RDL 520相对的侧上包括帽盖532。
接下来,如图7B中所图解说明,囊封材料522及518经形成而以类似于参考图5C所图解说明的方式的方式囊封半导体装置700。囊封材料522囊封或环绕外部连接件508、538及548。在一些实施例中,囊封材料522进一步填充RDL 520与中介层裸片502、703及704之间的间隙。在一些实施例中,囊封材料522形成从RDL 520延伸到裸片502、703及704中的每一者的底部的倾斜边缘。随后,囊封材料518环绕囊封材料522、外部连接件508、538及548、中介层裸片502、703及704以及RDL 520。在一些实施例中,模制材料518填充RDL 520与裸片502、703及704之间的间隙。在一些实施例中,中介层裸片502、703及704通过囊封材料518而彼此间隔开且分离。以类似于图5D中所图解说明的方式的方式执行平面化操作以通过平面化操作使囊封材料518的上表面变平坦。
随后,将半导体封装装置700倒置。半导体封装装置700通过RDL 520及外部连接件544而与半导体裸片550接合且电耦合。此外,应用囊封材料552及554来囊封半导体裸片550及外部连接件544。上文所提及操作及结构类似于图5H中所图解说明的步骤。
参考图7C,在单独载体730上形成RDL 542。另外,图7B中的半导体封装装置700通过外部连接件708而与RDL 542接合。在一些实施例中,首先在中介层裸片502、703及704上形成外部连接件708,且接着将外部连接件708与RDL 542接合。通过帽盖532做出的电连接(包含接垫及导体)的形成可是指(举例来说)参考图5E到5G所图解说明的操作。图7D图解说明将RDL 542与图7B中的半导体封装装置700接合的替代布置。在不存在外部连接件708的情况下,RDL 542与中介层裸片502、703及704直接接合。
在图7E中,在其中存在外部连接件708的图7C中所图解说明的操作之后,经模制囊封材料742囊封或环绕中介层裸片502、703及704的外部连接件708。在一些实施例中,在不存在外部连接件708的情况下,不采用囊封材料742。此外,囊封材料(例如,囊封材料518)应用于RDL 542与中介层裸片502、703及704之间的间隙之间且填充单独经模制囊封材料742之间的间隙。在图7C或图7D中的操作之后应用囊封材料518,即,不管是否使用外部连接件708均如此。接下来,将半导体封装装置700倒置且将载体730剥离,使得RDL 542被暴露,如图7F中所图解说明。以类似于参考图5J及5K的操作的方式在RDL 542上方形成外部连接件562。在一实施例中,外部连接件562被另一半导体装置替换。
返回参考图7A及7B,RDL 520可为任选的或可包含替代配置。在一些实施例中,半导体封装装置700中不存在RDL 520。中介层裸片502、703及704可通过外部连接件(例如,外部连接件508、538、548或544)直接耦合到半导体裸片550。在一些实施例中,可采用由较多RDL 520层构成的多层结构。返回参考图7F,在一些实施例中,半导体封装装置700中不存在RDL 542。中介层裸片502、703及704可通过中间外部连接件708直接耦合到外部连接件562。在一些实施例中,可采用由较多RDL 542层构成的多层结构。
图7G是根据一些实施例的半导体封装装置700的示意性横截面图。图7G中所图解说明的实施例代替中介层裸片704而包含导电柱780。导电柱780将RDL 520与RDL 542电耦合。在一些实施例中,导电柱780可具有大体上等于囊封材料518的高度的高度。在一些实施例中,导电柱780包含导电材料,例如铜、钨、铝、金等等。在一实施例中,导电柱780可连同中介层裸片502、703及704在RDL 520上的安置(如参考图7B所图解说明)一起形成。导电柱780可在其间不具有外部连接件548的情况下直接耦合到RDL 520。类似地,导电柱780可在其间不具有外部连接件708的情况下直接耦合到RDL 542。
图7H是根据一些实施例的半导体封装装置700的示意性横截面图。参考图7G及图7H,图7H中的中介层裸片502具有与图5G中的中介层裸片502的定向相反的定向。RDL 507将TSV 505与RDL 520电耦合。此外,中介层裸片502与RDL 520之间先前所形成的外部连接件508(如图7A中所展示)在图7H中被移除,使得中介层裸片502直接耦合到RDL 520。此外,图7H中所图解说明的实施例代替中介层裸片703而包含中介层裸片710。中介层裸片710可类似于参考图4G所图解说明及所描述的中介层裸片400C或400D。中介层裸片710包含衬底722及衬底722上方的RDL 724。衬底722及RDL 724的材料及配置可分别类似于图4G中的衬底102及RDL 430。在一些实施例中,衬底722仅包含半导体材料,例如块状硅。中介层裸片722可与半导体裸片550的不同之处在于:衬底722不包含任何无源或有源电组件。在一实施例中,衬底722具有接触RDL 542的顶部表面726。在一实施例中,RDL 724通过外部连接件544为半导体裸片550提供电布线电路。在一实施例中,半导体装置700包含邻近于半导体裸片550而安置的更多半导体裸片。在这种情况下,RDL 724提供用以电耦合半导体裸片(包含半导体裸片550)的额外电布线电路。在一实施例中,衬底722使RDL 724与RDL 542电绝缘。在一实施例中,中介层裸片710通过RDL 724、中介层裸片502或导电柱780而非通过衬底722中的任何构件电耦合到RDL 520或542。
在一实施例中,安置在RDL 520与RDL 542之间且由囊封材料518囊封的互连层由中介层裸片502、中介层裸片710及导电柱780中的至少一者构成。中介层裸片502可与中介层裸片710的不同之处在于:中介层裸片710的衬底722不包含TSV。在一实施例中,RDL 520与RDL 542之间的互连层中不存在中介层710、中介层裸片502及导电柱780中的一者。
图8A到8F是根据一些实施例的针对制造半导体封装装置800的方法的中间结构的横截面图。参考图8A,载体510上方的粘合剂层501、RDL 520以及中介层裸片502、703及704的形成操作类似于参考图7A的操作。在本实施例中,中介层裸片502、703及704通过相应外部连接件508、538及548而与RDL 520接合。与图7A中的结构相比,中介层裸片502、703及704的帽盖532面向RDL 520。换句话说,TSV 505中的每一者的底部表面(类似于图3C中的底部表面104B)背对RDL 520。
参考图8B,囊封材料542及518以类似于参考图5C所图解说明的方式的方式囊封半导体装置700。接下来,在图8C中,执行薄化操作以减小中介层裸片502、703及704的厚度。此薄化操作类似于参考图5D的操作。在一些实施例中,从中介层裸片502、703及704移除衬底509的一深度。在一些实施例中,TSV 505中的每一者的底部表面被暴露。在一些实施例中,中介层裸片502、703或704的所得厚度(或等效地,TSV 505的高度)根据不同应用需求而小心地受控制。薄化操作可通过研磨、CMP或其它适合方法而执行。
图8D展示经薄化中介层裸片502、703或704上方的保护层811及接垫808的形成。保护层811及接垫808的材料、结构及制造方法类似于参考图5E到5G所图解说明的保护层536及RDL 542的材料、结构及制造方法。
参考图8E,半导体裸片502及504通过外部连接件824或826接合到且电耦合到相应中介层裸片502、703及704。外部连接件824或826类似于先前所论述的外部连接件126或326。囊封材料862及864囊封半导体裸片502及504、外部连接件824及826以及保护层811。此外,载体510及粘合剂层501被剥离。外部连接件562电耦合到RDL 520,如图8F中所展示。在本实施例中,代替图7F中的RDL 520及542中的一者而使用外部连接件824及826。外部连接件或RDL在建立电连接结构中的替代选择在解决不同应用需求中允许更大的设计灵活性。图8E及8F中的结构及制造操作以类似于参考图5H到5K所图解说明的方式的方式执行。
图9A到9C是根据一些实施例的针对制造半导体封装装置900的方法的中间结构的横截面图。与半导体封装装置800相比,半导体封装装置900代替中介层裸片502、703及704而分别包含中介层裸片902、903及904,如图9A中所图解说明。在一些实施例中,中介层裸片902、903及904可为中介层裸片400C或400D(图4G中所展示),其中图9A中的衬底509中不存在图8A中的TSV 505。中介层裸片902、903及904中的每一者的RDL 910透过穿过帽盖532的导电通路分别电耦合到外部连接件508、538及548。
在图9B中,通过薄化操作而将中介层裸片902、903及904的衬底509的一厚度移除或薄化。在一些实施例中,移除整个衬底509。薄化操作中留下的RDL 910占据所得半导体封装装置900的相对小厚度。因此,总体装置厚度及大小可得以显著减小。接下来,如图9C中所展示,通过类似于如参考图8E及8F所图解说明的操作的方法而执行半导体裸片802及804、囊封材料826及828以及外部连接件562的形成。
图10是根据一些实施例的半导体封装装置1000的示意性视图。半导体封装装置1000在许多方面类似于半导体封装装置800。另外,比较图10与图8,半导体封装装置1000包含通过外部连接件824及826电接合到半导体裸片504及506的中介层裸片1002、1004及1006。具体来说,中介层裸片1002、1004及1006中的每一者在面向半导体裸片504或506的侧上包含帽盖532。除如上文所提及的中介层裸片定向的颠倒布置外,半导体封装装置1000的制造操作及材料类似于半导体封装装置800的制造操作及材料。
图11是根据一些实施例的制造中介层裸片的示意性流程图1100。在步骤1102中,在衬底中形成多个导电通路。在步骤1104中,在导电通路上方形成RDL。在步骤1106中,形成多个外部连接件以分别电耦合到导电通路。在步骤1108中,将衬底分离以形成个别中介层裸片。
图12是根据一些实施例的制造半导体封装装置的示意性流程图1200。在步骤1204中,使用第一衬底来形成中介层裸片。中介层裸片包含第一衬底中的多个导电通路。在一实施例中,在第二衬底上方形成第一RDL。在步骤1206中,执行接合操作以将第一半导体裸片及中介层裸片接合到所述第一RDL。在步骤1208中,囊封第二衬底、第一RDL、第一半导体裸片及中介层裸片。在步骤1210中,在第一半导体裸片及中介层裸片上方与第一RDL相对的侧上形成第二RDL。在步骤1212中,将第二半导体裸片与第一RDL接合。在步骤1214中,囊封第二半导体裸片。在步骤1216中,形成外部连接件以通过第二RDL电耦合到第一半导体裸片及中介层裸片。
所建议方案具有数个优点。中介层结构中的TSV的间距得以减小,此适合于高输入/输出(I/O)密度的应用。TSV结构可以更大灵活性安置且可不一定位于有源SOC裸片的表面上。可获得更好的SOC合格率,且所得SOC面积损失得以减小。所建议基础结构与宽I/O存储器、高带宽存储器(HBM)、混合存储器立方(HMC)等兼容。所建议TSV中介层结构与无源装置兼容。
本揭露提供一种半导体封装装置,所述半导体封装装置包括中介层裸片。所述中介层裸片包括半导体衬底及延伸穿过所述半导体衬底的多个贯穿硅通路(TSV)。所述半导体封装装置还包含:半导体裸片,其与所述中介层裸片间隔开;第一重布层,其安置在所述中介层裸片的第一侧上且将所述中介层裸片与所述半导体裸片电耦合;及第二重布层,其位于所述中介层裸片的与所述第一侧相对的第二侧上。
本揭露提供一种半导体封装装置。所述半导体封装装置包含第一重布层及所述第一重布层上方的中介层裸片。所述中介层裸片彼此间隔开,且所述中介层裸片中的每一者包含衬底及耦合到所述衬底的重布层。所述半导体封装装置还包含第一半导体裸片,所述第一半导体裸片电耦合到多个TSV、安置在所述中介层裸片上方且电耦合到所述中介层裸片。另外,半导体封装装置包含囊封所述中介层裸片及所述第一半导体裸片的模制材料。
本揭露提供一种制造半导体封装装置的方法。使用衬底来形成中介层裸片。所述中介层裸片包含所述衬底中的多个导电通路。执行接合操作以将第一半导体裸片及所述中介层裸片接合到第一RDL。囊封所述第一RDL、所述第一半导体裸片及所述中介层裸片。在所述第一半导体裸片及所述中介层裸片上方与第一RDL相对的侧上形成第二RDL。将第二半导体裸片与所述第一RDL接合。囊封所述第二半导体裸片。形成外部连接件以通过所述第二RDL电耦合到所述第一半导体裸片及所述中介层裸片。
前述内容概述了几个实施例的构件,使得所属领域的技术人员可更好地理解本揭露的方面。所属领域的技术人员应了解,所属领域的技术人员可容易地使用本揭露作为用于设计或修改用于实施本文中所介绍的实施例的相同目的及/或实现本文中所介绍的实施例的相同优点的其它过程及结构的基础。所属领域的技术人员还应认识到,此些等效构造并不背离本揭露的精神及范围,且在不背离本揭露的精神及范围的情况下,此些等效构造在本文中可做出各种改变、替代及变更。

Claims (20)

1.一种半导体封装装置,其包括:
第一重布层;
中介层裸片,其安置在所述第一重布层上方,所述中介层裸片彼此间隔开,且所述中介层裸片中的每一者包括:
衬底;
多个贯穿硅通路TSV,其由所述衬底横向环绕;
重布层,其电耦合到所述TSV;及
多个帽盖,其位于所述重布层上方且对齐所述TSV;
第一半导体裸片,其安置在所述中介层裸片上方且电耦合到所述中介层裸片;
模制材料,其囊封所述中介层裸片及所述第一半导体裸片;
第二重布层,其位于所述中介层裸片的与所述第一重布层相对的侧上,所述第二重布层在所述中介层裸片之间的所述模制材料的一部分上方延伸,及
多个导电通路,其位于所述中介层裸片及所述第二重布层之间 且延伸穿过对应的所述帽盖而电连接所述重布层及所述第二重布层;
其中所述多个TSV中的每一者包括从所述第一半导体裸片附近的第一端到所述第一重布层附近的第二端逐渐变窄的侧壁。
2.根据权利要求1所述的半导体封装装置,其中所述TSV中的每一者通过所述对应的导电通路电耦合到所述第一重布层,所述导电通路由所述模制材料横向环绕。
3.根据权利要求2所述的半导体封装装置,其中所述TSV及所述对应的导电通路安置在相应的所述中介层裸片的所述重布层的相对侧上。
4.根据权利要求3所述的半导体封装装置,其进一步包括环绕所述导电通路的电介质层,所述电介质层由所述模制材料横向环绕。
5.根据权利要求4所述的半导体封装装置,其中所述模制材料由电介质材料形成。
6.根据权利要求1所述的半导体封装装置,其进一步包括外部连接件,其中所述多个TSV中的每一者通过所述外部连接件中的对应一者电耦合到所述第二重布层。
7.根据权利要求1所述的半导体封装装置,其进一步包括位于所述多个TSV与所述衬底之间的衬层。
8.一种半导体封装装置,其包括:
第一中介层裸片,其包括:
半导体衬底;
多个贯穿硅通路TSV,其延伸穿过所述半导体衬底;
重布层,其电耦合到所述TSV;及
多个帽盖,其位于所述重布层上方且对齐所述TSV;
半导体裸片,其位于所述第一中介层裸片上方;
第一重布层,其位于所述第一中介层裸片与所述半导体裸片之间且将所述第一中介层裸片与所述半导体裸片电耦合;
第二重布层,其位于所述第一中介层裸片的与所述第一重布层相对的侧上;
多个导电通路,其位于所述中介层裸片及所述第二重布层之间 且延伸穿过对应的所述帽盖而电连接所述重布层及所述第二重布层;及
经模制底胶材料,其位于所述第一重布层与所述第一中介层裸片之间,所述经模制底胶材料包括与所述第一中介层裸片的侧壁会接的侧壁,
其中所述多个TSV中的每一者包括从所述第二重布层附近的第一端到所述第一重布层附近的第二端逐渐变窄的侧壁。
9.根据权利要求8所述的半导体封装装置,其进一步包括囊封所述第一中介层裸片及所述第一重布层的模制材料。
10.根据权利要求9所述的半导体封装装置,其进一步包括与所述第一中介层裸片间隔开的第二中介层裸片,所述第二中介层裸片包括:
半导体衬底;及
多个贯穿硅通路TSV,其延伸穿过所述第二中介层裸片的所述半导体衬底。
11.根据权利要求8所述的半导体封装装置,其进一步包括位于所述第一重布层与所述第一中介层裸片之间的第一外部连接件,其中所述经模制底胶材料囊封所述第一外部连接件且由电介质材料囊封。
12.根据权利要求8所述的半导体封装装置,其中所述经模制底胶材料的所述侧壁从所述第二重布层附近的第一端到所述第一中介层裸片附近的第二端逐渐变窄。
13.根据权利要求8所述的半导体封装装置,其中所述多个导电通路经电耦合到所述多个TSV,所述经模制底胶材料形成于所述导电通路之间的部分中。
14.根据权利要求8所述的半导体封装装置,其进一步包括位于所述第一中介层裸片与所述第二重布层之间的第二外部连接件。
15.根据权利要求8所述的半导体封装装置,其进一步包括物理耦合到所述第一重布层及所述第二重布层的导电柱,所述导电柱包括比所述第一中介层裸片的高度大的高度。
16.根据权利要求8所述的半导体封装装置,其中所述第一中介层裸片进一步包括将所述多个TSV与所述第二重布层电耦合的重布层。
17.一种半导体封装装置,其包括:
多个外部连接件;
中介层裸片,其位于所述多个外部连接件上方,所述中介层裸片包括衬底、所述衬底上方的第一重布层、延伸以电耦合到所述第一重布层的贯穿硅通路TSV及位于所述第一重布层上方且对齐所述TSV的帽盖;
导电柱,其电耦合到所述多个外部连接件且与所述中介层裸片间隔开;
模制材料,其横向环绕所述中介层裸片及所述导电柱,所述导电柱包括基本上等于所述模制材料的第二高度的第一高度;
半导体裸片,其安置在所述中介层裸片及所述导电柱上方;
第二重布层,其将所述中介层裸片及所述导电柱电耦合到所述半导体裸片,及
多个导电通路,其位于所述中介层裸片及所述第二重布层之间 且延伸穿过对应的所述帽盖而电连接所述第一重布层及所述第二重布层;
其中所述TSV中的每一者包括从所述第一重布层附近的第一端到所述外部连接件附近的第二端逐渐变窄的侧壁。
18.根据权利要求17所述的半导体封装装置,其中所述中介层裸片的所述衬底包括与整个的所述第一重布层重叠的块状半导体材料且将所述第一重布层与所述多个外部连接件电绝缘。
19.一种半导体封装装置,其包括:
中介层裸片,其包括:
半导体衬底;
多个贯穿硅通路TSV,其延伸穿过所述半导体衬底;
重布层,其电耦合到所述TSV;及
多个帽盖,其位于所述重布层上方且对齐所述TSV;
半导体裸片,其与所述中介层裸片间隔开;
第一重布层,其安置在所述中介层裸片的第一侧上且将所述中介层裸片与所述半导体裸片电耦合;
第二重布层,其位于所述中介层裸片的与所述第一侧相对的第二侧上;
多个导电通路,其位于所述中介层裸片及所述第二重布层之间 且延伸穿过对应的所述帽盖而电连接所述重布层及所述第二重布层;及
经模制底胶材料,其位于所述第一重布层与所述中介层裸片之间,
其中所述TSV中的每一者包括从所述第一重布层附近的第一端到所述第二重布层附近的第二端逐渐变窄的侧壁。
20.一种制造半导体封装装置的方法,其包括:
使用衬底来形成中介层裸片,所述中介层裸片包括所述衬底中的多个贯穿硅通路TSV及对齐所述TSV的多个帽盖;
将第一半导体裸片及所述中介层裸片接合到第一重布层;囊封所述第一重布层、所述第一半导体裸片及所述中介层裸片;
在所述中介层裸片上方形成多个导电通路,所述导电通路延伸穿过对应的所述帽盖而电连接所述TSV;
在第一半导体裸片及所述帽盖上方与所述第一重布层相对的侧上形成第二重布层,其中所述帽盖电连接所述第二重布层,其中所述TSV中的每一者包括从所述第一重布层附近的第一端到所述第二重布层附近的第二端逐渐变窄的侧壁;将第二半导体裸片与所述第一重布层接合;
囊封所述第二半导体裸片;及
形成外部连接件以通过所述第二重布层电耦合到所述第一半导体裸片及所述中介层裸片。
CN201810031872.2A 2017-01-13 2018-01-12 半导体结构及其制造方法 Active CN108346635B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762445935P 2017-01-13 2017-01-13
US62/445,935 2017-01-13
US15/851,174 2017-12-21
US15/851,174 US10535597B2 (en) 2017-01-13 2017-12-21 Semiconductor structure and manufacturing method thereof

Publications (2)

Publication Number Publication Date
CN108346635A CN108346635A (zh) 2018-07-31
CN108346635B true CN108346635B (zh) 2021-10-15

Family

ID=62838318

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810031872.2A Active CN108346635B (zh) 2017-01-13 2018-01-12 半导体结构及其制造方法

Country Status (3)

Country Link
US (4) US10535597B2 (zh)
CN (1) CN108346635B (zh)
TW (1) TWI756339B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109075151B (zh) 2016-04-26 2023-06-27 亚德诺半导体国际无限责任公司 用于组件封装电路的机械配合、和电及热传导的引线框架
US10354980B1 (en) 2018-03-22 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
US10354987B1 (en) * 2018-03-22 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device containing bonded chip assembly with through-substrate via structures and method of making the same
US10497635B2 (en) 2018-03-27 2019-12-03 Linear Technology Holding Llc Stacked circuit package with molded base having laser drilled openings for upper package
JP2020043277A (ja) * 2018-09-13 2020-03-19 キオクシア株式会社 半導体記憶装置
US11315878B2 (en) 2018-10-31 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Photonics integrated circuit package
US11410977B2 (en) 2018-11-13 2022-08-09 Analog Devices International Unlimited Company Electronic module for high power applications
KR20200092236A (ko) * 2019-01-24 2020-08-03 삼성전기주식회사 브리지 내장 인터포저, 및 이를 포함하는 패키지 기판 및 반도체 패키지
US11488906B2 (en) 2019-01-24 2022-11-01 Samsung Electro-Mechanics Co., Ltd. Bridge embedded interposer, and package substrate and semiconductor package comprising the same
TWI707408B (zh) 2019-04-10 2020-10-11 力成科技股份有限公司 天線整合式封裝結構及其製造方法
KR102609138B1 (ko) * 2019-04-29 2023-12-05 삼성전기주식회사 인쇄회로기판 어셈블리
TWI698966B (zh) * 2019-05-14 2020-07-11 矽品精密工業股份有限公司 電子封裝件及其製法
US11824040B2 (en) * 2019-09-27 2023-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. Package component, electronic device and manufacturing method thereof
KR20210072984A (ko) * 2019-12-10 2021-06-18 에스케이하이닉스 주식회사 인터포즈 브리지를 포함한 서브 패키지들이 수직하게 스택된 스택 패키지
US11830851B2 (en) * 2020-04-07 2023-11-28 Mediatek Inc. Semiconductor package structure
DE102020130996A1 (de) * 2020-05-01 2021-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-package und verfahren zu dessen herstellung
US11929261B2 (en) * 2020-05-01 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of manufacturing the same
US11728254B2 (en) * 2020-05-22 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Giga interposer integration through chip-on-wafer-on-substrate
US11844178B2 (en) 2020-06-02 2023-12-12 Analog Devices International Unlimited Company Electronic component
CN114068487A (zh) * 2020-08-06 2022-02-18 力成科技股份有限公司 封装结构及其制造方法
TWI777633B (zh) * 2020-08-06 2022-09-11 力成科技股份有限公司 封裝結構及其製造方法
US20220278032A1 (en) * 2021-02-26 2022-09-01 Intel Corporation Nested interposer with through-silicon via bridge die
US11817380B2 (en) * 2021-02-26 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and method of forming same
US11830798B2 (en) * 2021-03-22 2023-11-28 Advanced Semiconductor Engineering, Inc. Semiconductor device package
US11978729B2 (en) * 2021-07-08 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device package having warpage control and method of forming the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110642A (zh) * 2010-12-09 2011-06-29 中国电子科技集团公司第二十四研究所 提高台阶金属覆盖率的通孔刻蚀方法
CN104037153A (zh) * 2013-03-08 2014-09-10 台湾积体电路制造股份有限公司 3d封装件及其形成方法
US9478504B1 (en) * 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
CN106997869A (zh) * 2015-12-14 2017-08-01 台湾积体电路制造股份有限公司 半导体装置及其制造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US11127664B2 (en) * 2011-10-31 2021-09-21 Unimicron Technology Corp. Circuit board and manufacturing method thereof
US8803316B2 (en) 2011-12-06 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. TSV structures and methods for forming the same
US8928128B2 (en) * 2012-02-27 2015-01-06 Broadcom Corporation Semiconductor package with integrated electromagnetic shielding
US8803292B2 (en) 2012-04-27 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate vias and methods for forming the same
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9209156B2 (en) * 2012-09-28 2015-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuits stacking approach
US8802504B1 (en) 2013-03-14 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9548273B2 (en) * 2014-12-04 2017-01-17 Invensas Corporation Integrated circuit assemblies with rigid layers used for protection against mechanical thinning and for other purposes, and methods of fabricating such assemblies
KR20160080965A (ko) * 2014-12-30 2016-07-08 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
TWI600133B (zh) * 2015-05-25 2017-09-21 美光科技公司 半導體元件及其製作方法
KR101983186B1 (ko) * 2016-12-16 2019-05-28 삼성전기주식회사 팬-아웃 반도체 패키지

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102110642A (zh) * 2010-12-09 2011-06-29 中国电子科技集团公司第二十四研究所 提高台阶金属覆盖率的通孔刻蚀方法
CN104037153A (zh) * 2013-03-08 2014-09-10 台湾积体电路制造股份有限公司 3d封装件及其形成方法
US9478504B1 (en) * 2015-06-19 2016-10-25 Invensas Corporation Microelectronic assemblies with cavities, and methods of fabrication
CN106997869A (zh) * 2015-12-14 2017-08-01 台湾积体电路制造股份有限公司 半导体装置及其制造方法

Also Published As

Publication number Publication date
US20240203856A1 (en) 2024-06-20
US10535597B2 (en) 2020-01-14
US20220278034A1 (en) 2022-09-01
CN108346635A (zh) 2018-07-31
US11942408B2 (en) 2024-03-26
US20200152563A1 (en) 2020-05-14
TW201826483A (zh) 2018-07-16
US20180204791A1 (en) 2018-07-19
US11342255B2 (en) 2022-05-24
TWI756339B (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
CN108346635B (zh) 半导体结构及其制造方法
US20220165711A1 (en) Method of manufacturing die stack structure
CN110970407B (zh) 集成电路封装件和方法
US11742297B2 (en) Semiconductor packages
US11916012B2 (en) Manufacturing method of semiconductor structure
US11610866B2 (en) Semiconductor device and manufacturing method thereof
US11532598B2 (en) Package structure with protective structure and method of fabricating the same
US11862605B2 (en) Integrated circuit package and method of forming same
CN112530912A (zh) 封装
US11728301B2 (en) Semiconductor package including test pad and bonding pad structure for die connection and methods for forming the same
TW202046464A (zh) 積體電路封裝及其形成方法
CN113782520A (zh) 管芯堆叠结构及其制造方法
US11862590B2 (en) Integrated circuit package and method of forming thereof
CN220553445U (zh) 半导体封装
US20230314702A1 (en) Integrated circuit package and method of forming same
CN112151529A (zh) 半导体封装
CN118116882A (zh) 集成电路封装件及其形成方法
CN116525558A (zh) 封装件及其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant