CN108122774A - 用于全环栅半导体结构的阈值电压调整 - Google Patents

用于全环栅半导体结构的阈值电压调整 Download PDF

Info

Publication number
CN108122774A
CN108122774A CN201710950405.5A CN201710950405A CN108122774A CN 108122774 A CN108122774 A CN 108122774A CN 201710950405 A CN201710950405 A CN 201710950405A CN 108122774 A CN108122774 A CN 108122774A
Authority
CN
China
Prior art keywords
semiconductor layer
semiconductor
layer
etch process
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710950405.5A
Other languages
English (en)
Other versions
CN108122774B (zh
Inventor
江宏礼
黄思维
魏焕昇
何炯煦
叶致锴
谢文兴
吴忠政
杨育佳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN108122774A publication Critical patent/CN108122774A/zh
Application granted granted Critical
Publication of CN108122774B publication Critical patent/CN108122774B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

半导体结构包括与多个第二半导体层交错的多个第一半导体层。第一半导体层和第二半导体层具有不同的材料组分。在最上第一半导体层上方形成伪栅极堆叠件。实施第一蚀刻工艺以去除未设置在伪栅极堆叠件下面的第二半导体层的部分,从而形成多个空隙。第一蚀刻工艺在第一半导体层和第二半导体层之间具有蚀刻选择性。之后,实施第二蚀刻工艺以扩大空隙。本发明的实施例还涉及用于全环栅半导体结构的阈值电压调整。

Description

用于全环栅半导体结构的阈值电压调整
技术领域
本发明的实施例涉及用于全环栅半导体结构的阈值电压调整。
背景技术
半导体集成电路(IC)工业已经经历了指数增长。IC材料和设计中的技术进步已经产生了多代IC,其中,每一代都比上一代具有更小和更复杂的电路。在IC演化过程中,功能密度(即,每芯片面积的互连器件的数量)已经普遍增大,而几何尺寸(即,可以使用制造工艺产生的最小组件(或线))已经减小。这种按比例缩小工艺通常通过提高生产效率和降低相关成本来提供益处。这种按比例缩小已经增加了处理和制造IC的复杂性,为了实现这些进步,需要IC处理和制造中的类似发展。
例如,已经引入多栅极器件以通过增加栅极-沟道耦合致力于改进栅极控制、减小截止电流和降低短沟道效应(SCE)。一种这样的多栅极器件是水平全环栅(HGAA)晶体管,它的栅极结构围绕它的水平沟道区域延伸,提供从所有侧进入沟道区域。HGAA晶体管与传统的互补金属氧化物半导体(CMOS)工艺兼容,允许它们在保持栅极控制和缓解SCE的同时急剧地按比例缩小。然而,由于诸如较小的耗尽区域和较小的沟道体积以及由重掺杂引起的迁移率降低的问题,因此传统的HGAA器件难以控制它的阈值电压(Vt)。
因此,虽然传统的HGAA器件对于它们的预期目的通常已经足够,但是它们不是在所有方面都已令人满意。
发明内容
本发明的实施例提供了一种形成半导体器件的方法,包括:提供半导体结构,所述半导体结构包括与多个第二半导体层交错的多个第一半导体层,所述第一半导体层和所述第二半导体层具有不同的材料组分;在最上第一半导体层上方形成伪栅极堆叠件;实施第一蚀刻工艺以去除未设置在所述伪栅极堆叠件下面的所述第二半导体层的部分,从而形成多个空隙,其中,所述第一蚀刻工艺在所述第一半导体层和所述第二半导体层之间具有蚀刻选择性;以及实施第二蚀刻工艺以扩大所述空隙。
本发明的另一实施例提供了一种形成半导体器件的方法,包括:提供半导体结构,所述半导体结构包括多个第一半导体层和多个第二半导体层,所述第一半导体层和所述第二半导体层具有不同的材料组分并且在垂直方向上彼此交替设置;在最上第一半导体层上方形成多个伪栅极堆叠件;去除所述半导体结构的第一区域中的所述第二半导体层的部分,从而在所述第一区域中的所述第二半导体层的去除部分的位置形成多个第一间隔;经由横向蚀刻工艺水平地延伸所述第一间隔;以及之后,去除所述半导体结构的第二区域中的所述第二半导体层的部分,从而在所述第二区域中的所述第二半导体层的去除部分的位置形成多个第二间隔,其中,所述第一区域中的所述第二半导体层的剩余部分与所述第二区域中的所述第二半导体层的剩余部分具有不同的水平尺寸。
本发明的又一实施例提供了一种半导体结构,包括:多个纳米线,每个均在第一方向上延伸,其中,所述纳米线在垂直于所述第一方向的第二方向上彼此堆叠;以及多个第一栅极结构和第二栅极结构,每个均包裹所述纳米线的相应的一个纳米线,其中,所述第一栅极结构的每个均具有在所述第一方向上测量的第一尺寸,并且其中,所述第二栅极结构的每个均具有在所述第一方向上测量的第二尺寸,所述第一尺寸大于或小于所述第二尺寸。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A至图5A是根据本发明的各个方面的处于制造的各个阶段的半导体结构的截面侧视图。
图1B至图5B是根据本发明的各个方面的处于制造的各个阶段的半导体结构的截面侧视图。
图6至图11是根据本发明的各个方面的处于制造的各个阶段的半导体结构的截面侧视图。
图12是根据本发明的各个方面的示出制造半导体结构的方法的流程图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
本发明通常涉及半导体器件及其形成方法。更具体地,本发明涉及全环栅(GAA)器件。GAA器件包括具有在沟道区域的四个侧上(例如,围绕沟道区域的部分)形成的栅极结构的任何器件或其部分。GAA器件的沟道区域可以包括纳米线沟道、条形沟道和/或其它合适的沟道配置。在实施例中,GAA器件的沟道区域可以具有垂直间隔开的多个水平纳米线或水平条,使GAA器件成为堆叠的水平GAA(S-HGAA)器件。本文呈现的GAA器件可以包括p型金属氧化物半导体GAA器件或n型金属氧化物半导体GAA器件。此外,GAA器件可以具有与单个连续的栅极结构或多个栅极结构相关的一个或多个沟道区域(例如,纳米线)。本领域技术人员可以意识到半导体器件的其它实例可能受益于本发明的方面。
图1A至图5A和图1B至图5B示出了根据本发明的实施例的处于制造的各个阶段的半导体器件100的不同的截面侧视图。具体地,图1A至图5A示出了沿着半导体结构100的Y方向截取的截面图,并且图1B至图5B示出了沿着半导体结构100的X方向截取的截面图,其中,Y方向与X方向正交或垂直。可以说,图1A至图5A示出了Y切割的半导体结构100,而图1B至图5B示出了X切割的半导体结构100。
在示出的实施例中,半导体结构100包括GAA器件(例如,HGAA器件)。GAA器件可以在IC或其部分的处理期间制造,IC或其部分可以包括静态随机存取存储器(SRAM)和/或逻辑电路、无源组件(诸如电阻器、电容器和电感器)以及有源组件(诸如p型场效应晶体管(PFET)、n型FET(NFET)、多栅极FET(诸如FinFET)、金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极晶体管、高压晶体管、高频率晶体管)、其它存储器单元以及它们的组合。
参照图1A至图1B,半导体结构100包括在Z方向上垂直向上突出的鳍式结构104(为了简单起见,在下文中称为“鳍”),其中,Z方向与由Y方向和X方向限定的水平面正交。鳍104包括交替设置的半导体层108和110的堆叠件。
半导体层108和110是以交错或交替的方式(例如,层110设置在层108上方,之后另一层108设置在层110上方等等)垂直堆叠的(沿着“Z”方向)。在各个实施例中,结构100可以包括任何数量的鳍104,并且鳍104可以包括任何数量的交替堆叠的半导体层108和110。配置半导体层108和110的材料组分,从而使得它们可以在下面更详细地讨论的随后的蚀刻工艺中具有蚀刻选择性。例如,在一些实施例中,半导体层108包含硅(Si),而半导体层110包含硅锗(SiGe)。在一些其它实施例中,半导体层108包含SiGe,而半导体层110包含Si。应该理解,虽然图1A示出了一个鳍104,但是半导体结构100可以包括与鳍104类似的多个其它的鳍。
如图1A所示,鳍104的下部由隔离结构106围绕。在一些实施例中,隔离结构106包括浅沟槽隔离(STI)。隔离结构106可以包含诸如氧化硅的电绝缘材料。同样如图1A所示,间隔件112和间隔件114也设置为围绕鳍结构104的底部,例如,围绕半导体层108的一个。间隔件可以包含合适的介电材料,例如,氮化硅、氧化硅、氮氧化硅或它们的组合。
在半导体层108的最上一个上方形成伪栅极堆叠件105。伪栅极堆叠件105包括介电层120。在一些实施例中,介电层120包含氧化硅。在其它实施例中,介电层120包含高k介电材料。高k介电材料是介电常数大于SiO2的介电常数(为约4)的材料。例如,高k栅极电介质包括氧化铪(HfO2),其具有在从约18至约40的范围内的介电常数。如各个其它实例,高k栅极电介质可以包括ZrO2、Y2O3、La2O5、Gd2O5、TiO2、Ta2O5、HfErO、HfLaO、HfYO、HfGdO、HfAlO、HfZrO、HfTiO、HfTaO或SrTiO。伪栅极堆叠件105也包括在介电层120上方形成的多晶硅层130。伪栅极堆叠件105可以经受栅极置换工艺以形成高k金属栅极,如下面更详细地讨论的。
在介电层120和多晶硅层130的侧壁上形成栅极间隔件140。栅极间隔件140包含介电材料,例如,氮化硅、氧化硅、碳化硅、碳氧化硅(SiOC)、碳氮氧化硅(SiOCN)、其它材料或它们的组合。栅极间隔件140可以包括单层或多层结构。在一些实施例中,栅极间隔件140具有几纳米(nm)的范围内的厚度。在一些实施例中,可以通过在伪栅极堆叠件105上方沉积间隔件层(包含介电材料),随后是各向异性蚀刻工艺以从伪栅极堆叠件105的顶面去除间隔件层140的部分来形成栅极间隔件140。在蚀刻之后,间隔件层的部分基本保留在伪栅极堆叠件105的侧壁表面上并且变成栅极间隔件140。在一些实施例中,各向异性蚀刻工艺是干(例如,等离子体)蚀刻工艺。应该理解,栅极间隔件140的形成也可以涉及化学氧化、热氧化、ALD、CVD和/或其它合适的方法。栅极间隔件140以及伪栅极堆叠件105将用于帮助限定半导体结构100的沟道的物理和/或电长度。在一些实施例中,栅极间隔件140可以被认为是伪栅极堆叠件105的一部分,即使在下面讨论的栅极置换工艺中将不会去除栅极间隔件140。
开口150由邻近的间隔件140之间的间隔限定。开口150可以通过蚀刻工艺(蚀刻穿过栅极间隔件材料)形成并且暴露下面的导体层108。开口150的每个均具有在X方向上测量的水平尺寸160。同时,伪栅极堆叠件(例如,多晶硅层130)的每个均具有在X方向上测量的水平尺寸170,并且栅极间隔件140的每个均具有在X方向上测量的水平尺寸180。在一些实施例中,水平尺寸160在从约8nm至约12nm的范围内(例如,约10nm),水平尺寸170在从约10nm至约14nm的范围内(例如,约12nm),并且水平尺寸180在从约5nm至约8nm的范围内(例如,约6.5nm)。应该理解,尺寸170有助于限定HGAA晶体管的物理栅极长度。
现在参照图2A至图2B,对半导体结构100实施蚀刻工艺200。在蚀刻工艺200期间,间隔件140和伪栅极堆叠件105保护它们下面的层免受蚀刻。蚀刻工艺200选择性地去除半导体层110的与开口150垂直对准的部分,而留下基本未蚀刻的半导体层108。由于蚀刻工艺200,在半导体层110的蚀刻掉的部分的位置形成间隔或空隙210。最终将用外延生长的掺杂的半导体材料填充间隔/空隙210,使得它们可以用作HGAA晶体管的源极/漏极。这将在下面更详细地讨论。
仍参照图2B,间隔或空隙210的每个均具有基本等于水平尺寸160(限定每个开口150的宽度)的水平尺寸(在X方向上测量的)230。同时,半导体层110具有水平尺寸250。在一些实施例中,水平尺寸250在从约20nm和约30nm之间的范围内。
在一些实施例中,蚀刻工艺200可以包括选择性湿蚀刻工艺。选择性湿蚀刻工艺可以包括氢氟酸(HF)蚀刻剂或NH4OH蚀刻剂。在半导体层110包括SiGe并且半导体层108包括Si的实施例中,SiGe层110的选择性去除可以包括SiGe氧化工艺(以将SiGe转变为SiGeOx)以及随后的SiGeOx的去除。SiGe氧化工艺可以包括形成并且图案化各个掩模层,从而使得SiGe层110的氧化是可控的。在其它实施例中,由于半导体层110和108的不同的组分,因此,SiGe氧化工艺是选择性氧化。在一些实例中,可以通过将结构100暴露于湿氧化工艺、干氧化工艺或它们的组合来实施SiGe氧化工艺。之后,通过诸如NH4OH或稀释的HF的蚀刻剂来去除氧化的半导体层(包括SiGeOx)。在各个实施例中,半导体层110和108提供不同的氧化速率和/或不同的蚀刻选择性,这使得半导体层110能够通过蚀刻选择性去除。
现在参照图3A至图3B,在实施蚀刻工艺200之后,对半导体结构100实施横向蚀刻工艺300以横向地(例如,在X方向上水平地)蚀刻半导体层110。该横向蚀刻工艺300也可以称为邻近推进工艺。在一些实施例中,可以通过控制横向蚀刻工艺300的蚀刻时间来配置横向蚀刻的程度(或蚀刻掉的半导体层110的量)。在一些实施例中,蚀刻工艺300是各向同性蚀刻工艺。在一些实施例中,使用具有低垂直偏置电压(例如,<0.1伏)或没有垂直偏置电压的湿蚀刻或干蚀刻来实施蚀刻工艺300。
由于横向蚀刻工艺300,图2B所示的间隔/空隙210转变(例如,横向地/水平地扩大)成如图3B所示的间隔/空隙210A。横向扩大的间隔/空隙210A的每个均具有水平尺寸(在X方向上测量的)230A。与间隔/空隙210的水平尺寸230相比,扩大的间隔/空隙210A的水平尺寸230A的每侧(左侧和右侧)均宽(在X方向上)距离240。距离240也可以称为邻近推进。在一些实施例中,距离240大于0,但是小于栅极间隔件140的厚度/水平尺寸180。例如,距离240可以在大于约2nm但小于6nm的范围内,例如,约4nm。
由于横向蚀刻工艺300而增加的尺寸230A意味着将半导体层110的尺寸250减小至尺寸250A。然而,伪栅极堆叠件的尺寸170(以上参照图1B讨论的)限定晶体管的物理栅极长度,尺寸250A对应于由半导体结构100形成的HGAA晶体管的沟道的电长度。由于可以经由横向蚀刻工艺300通过控制半导体层110的横向蚀刻的量来调整尺寸250A,因此也可以相应地调整沟道的电长度。本发明的这个方面将在下面更详细地讨论。
现在参照图4A至图4B,对半导体结构100实施蚀刻工艺400。在一些实施例中,蚀刻工艺400配置为具有低蚀刻选择性或没有蚀刻选择性。由于蚀刻工艺400,修整包裹间隔/空隙210A的半导体层108的一些部分。包裹间隔/空隙210A的半导体层108的剩余部分可以称为纳米线108A。与设置在伪栅极堆叠件下面的并且不受蚀刻工艺400影响的半导体层108的部分相比,纳米线108A具有缩小的尺寸(在Z方向上测量的)。因此,蚀刻工艺400可以称为纳米线缩小工艺。纳米线108A可以用作HGAA晶体管的源极/漏极(S/D)的一部分,并且对应于纳米线108A的位置的区域可以称为S/D区域410。同时,半导体层108的剩余部分可以用作HGAA晶体管的沟道。
现在参照图5A至图5B,实施外延生长工艺500以在半导体结构100的S/D区域410中生长半导体元件510。在一些实施例中,外延生长工艺500包括分子束外延(MBE)工艺或化学汽相沉积工艺和/或其它合适的外延生长工艺。在另一些实施例中,半导体元件510原位或非原位掺杂有n型掺杂剂或p型掺杂剂。例如,在一些实施例中,半导体元件510包括掺杂有硼的硅锗(SiGe)以用于形成PFET的S/D部件。在一些实施例中,半导体元件510包括掺杂有磷的硅以用于形成NFET的S/D部件。在各个实施例中,砷和锑也用作S/D部件中的掺杂剂。为了进一步实现这些实施例,半导体元件510可以包括摩尔比率在从约10%至约70%的范围的Ge。在某些实施例中,半导体元件510是重掺杂的以与之后形成的S/D接触金属形成欧姆接触。
由于外延生长工艺500,半导体元件510填充图4B所示的间隔/空隙210A。半导体元件510的每个均包裹(例如,图5A所示的截面图中的360度圆周)相应的一个纳米线108A。例如,半导体元件510可以与纳米线108A的四个侧(在截面侧视图中的纳米线108A的每个均具有类方形形状的示出的实施例中)直接物理接触。在一些实施例中,半导体元件510的厚度520在从约几纳米至几十纳米的范围。
在实施例中,半导体元件510与半导体层108(以及纳米线108A)具有相同的材料组分。例如,半导体元件510和半导体层108都包括硅。在一些可选实施例中,半导体元件510和半导体层108可以具有不同的材料或组分。在各个实施例中,半导体元件510可以包括诸如硅或锗、化合物半导体(诸如硅锗、碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、锑化铟)、合金半导体(诸如GaAsP、AlInAs、AlGaAs、InGaAs、GaInP和/或GaInAsP)或它们的组合的半导体材料。
半导体元件510和纳米线108A可以共同用作半导体结构100的S/D部件。在一些实施例中,半导体元件510A和纳米线108A可以包括相同类型的掺杂剂(例如,都是n型掺杂的或都是p型掺杂的),但是半导体元件510中的掺杂剂浓度高于纳米线108A中的掺杂剂浓度。可选地,半导体元件510和纳米线108A可以包括相同类型的掺杂剂但是可以具有不同的掺杂剂种类。
如以上参照图3B讨论的,可以通过横向蚀刻工艺300调整沟道的电长度(例如,位于栅极堆叠件下面的半导体层110的水平尺寸250A)。根据本发明的各个方面,半导体结构100的不同区域可以配置为具有不同的电长度。这将在下面参照图6至图10详细地讨论,图6至图10示出了处于制造的不同阶段的半导体结构100的实施例的图解局部截面侧视图(在X-Z平面,与图1B至图5B类似)。以上已经参照图1A至图5A和图1B至图5B描述了图6至图10所示的一些制造工艺。因此,为了清楚和一致,在适当的范围内,在图6至图10出现的与图1A至图5A以及图1B至图5B相同的元件标记相同。
参照图6,半导体结构100包括在垂直方向(例如,Z方向)上以交替和交错方式设置的多个半导体层108和110。在最上半导体层108上方形成多个伪栅极堆叠件105。伪栅极堆叠件105的每个均包括介电层120和在介电层120上方形成的多晶硅层130。在介电层120和多晶硅层130的侧壁上形成栅极间隔件140。在一些实施例中,栅极间隔件140也可以被认为是伪栅极堆叠件105的一部分。如以上讨论的,多晶硅层130之后将经受栅极置换工艺以用金属栅极层替换。在一些实施例中,介电层120也将通过栅极置换工艺用高k电介质替换。
伪栅极堆叠件105的一些位于半导体结构100的区域610中,而其它的伪栅极堆叠件105位于半导体结构100的不同的区域620中。在一些实施例中,区域610包括标准阈值电压(SVt)区域,而区域620包括高阈值电压(HVt)区域。与位于SVt区域610中的晶体管相比,位于HVt区域620中的晶体管具有更高的阈值电压(Vt)并且消耗更少的功率。因此,HVt区域中的晶体管可以适用于功率关键应用。
现在参照图7,在区域620中的最上半导体层108上方和伪栅极堆叠件105上方形成图案化的光刻胶层640。图案化的光刻胶层640的形成可以包括诸如光刻胶沉积、曝光、曝光后烘烤以及显影的工艺。图案化的光刻胶层640在区域610中留下暴露区域610中的伪栅极堆叠件105和半导体层108的开口。
用图案化的光刻胶层640作为蚀刻掩模,实施蚀刻工艺650以蚀刻区域610中的半导体层110。例如,蚀刻工艺650包括以上参照图2A和图2B讨论的蚀刻工艺200,此处实施蚀刻工艺200以选择性地去除区域610中的半导体层110的部分,从而在区域610中形成间隔/空隙。蚀刻工艺650也包括以上参照图3A至图3B讨论的横向蚀刻工艺300,此处实施横向蚀刻工艺300以使间隔/空隙横向向内延伸以形成扩大的间隔/空隙210A。
位于区域610中的伪栅极堆叠件105下方的半导体层110的剩余的段110A的每个均具有水平尺寸(在X方向上测量的)660。如以上讨论的,可以通过调整横向蚀刻工艺300的参数(例如,通过控制蚀刻时间)来配置水平尺寸660的值。例如,随着横向蚀刻工艺300的蚀刻时间增加,间隔/空隙210A变得更宽(由于更多的横向蚀刻),而尺寸660缩小。再者,尺寸660对应于区域610中的HGAA晶体管的沟道的有效电长度。
由于图案化的光刻胶层640在蚀刻工艺650期间用作保护掩模,因此在图7所示的制造的阶段期间,位于区域620中的半导体层110基本未受影响。
现在参照图8,在区域610中的最上半导体层108上方和伪栅极堆叠件105上方形成图案化的光刻胶层670。图案化的光刻胶层670的形成可以包括诸如光刻胶沉积、曝光、曝光后烘烤以及显影的工艺。图案化的光刻胶层670在区域620中留下暴露区域620中的伪栅极堆叠件105和半导体层108的开口。
用图案化的光刻胶层670作为蚀刻掩模,实施蚀刻工艺680以蚀刻区域620中的半导体层110。例如,蚀刻工艺680包括以上参照图2A和图2B讨论的蚀刻工艺200,此处实施蚀刻工艺200以选择性地去除区域620中的半导体层110的部分,从而在区域620中形成间隔/空隙210。
位于区域620中的伪栅极堆叠件105下方的半导体层110的剩余的段110B的每个均具有水平尺寸(在X方向上测量的)690。如以上讨论的,可以通过调整横向蚀刻工艺300的参数(例如,通过控制蚀刻时间)来配置水平尺寸690的值。例如,随着横向蚀刻工艺300的蚀刻时间的增加,间隔/空隙210变得更宽(由于更多的横向蚀刻),而尺寸690缩小。再者,尺寸690对应于区域620中的HGAA晶体管的沟道的有效电长度。
应该理解,虽然图8所示的实施例中的蚀刻工艺680没有涉及以上参照图3A和图3B讨论的横向蚀刻工艺300(可以实施横向蚀刻工艺300以使间隔/空隙210横向向内延伸),但是在可选实施例中,如果需要,横向蚀刻工艺300仍可以作为蚀刻工艺680的一部分来实施。例如,横向蚀刻工艺300的可选实施将为尺寸690的值提供较大程度的控制。
现在参照图9,对半导体结构100实施以上参照图5A至图5B讨论的外延生长工艺500以外延生长填充间隔/空隙210/210A的半导体元件510。在一些实施例中,填充间隔210A比间隔210需要更长的时间。因此,在相同的S/D外延工艺用于间隔210A和210的实施例中,间隔210中生长的外延材料将大于间隔210A中生长的外延材料。这种尺寸差异是此处描述的独特工艺流程的结果并且可以是根据本发明的工艺制造的器件的可识别特性。如以上参照图5A至图5B讨论的,半导体元件510的每个均包裹相应的一个半导体层108,例如,360度圆周。再者,半导体元件510(以及被包裹的半导体层108的部分)可以用作半导体结构100的S/D部件。
虽然未在图9中详细地说明,但是应该理解,在实施外延生长工艺500之前,也可以实施以上参照图4A和图4B讨论的蚀刻工艺400以修整或缩小间隔/空隙210/210A之间的半导体层的部分。
现在参照图10,对半导体结构100实施栅极置换工艺700以用高k金属栅极720替换伪栅极堆叠件105和设置在其下面的半导体层110。作为栅极置换工艺700的一部分,例如,通过合适的蚀刻工艺去除多晶硅层130(以及介电层120,如果介电层120是伪栅极氧化物的话)。也去除设置在伪栅极堆叠件105下面的半导体层110和110A的部分。多晶硅层130和介电层120的去除形成了由栅极间隔件140限定的开口。用每个均包括高k栅极电介质和金属栅电极的高k金属栅极720填充这些开口。
图11示出了置换高k金属栅极720的更详细的截面图。该截面图沿着Z-X平面截取。例如,在伪栅极堆叠件的去除之前,围绕栅极间隔件140形成介电隔离结构730。在多晶硅层130和介电层120的去除之后,栅极间隔件140(以及介电隔离结构730)限定将用高k金属栅极720填充的开口。例如,在开口中形成高k介电层740。如以上讨论的,高k介电层740可以包括高k材料(例如,具有大于氧化硅的介电常数),诸如氧化铪、氧化锆、氧化镧、氧化钛、氧化钇、钛酸锶、其它合适的金属氧化物或它们的组合。
可以在高k介电层740上方形成功函金属层750。功函金属层750可以包括配置为调整晶体管的功函数的功函金属。功函金属层750可以是p型功函金属层或n型功函金属层。p型功函金属层包括选自但不限于氮化钛、氮化钽、钌、钼、钨、铂或它们的组合的组的金属。n型功函金属层包括选自但不限于钛、铝、碳化钽、碳氮化钽、氮化钽硅或它们的组合的组的金属。p型或n型功函金属层可以包括多个层并且可以通过CVD、PVD和/或其它合适的工艺沉积。
在功函金属层750上方形成填充金属760。填充金属760可以用作金属栅电极的主导电部分。填充金属760可以包括铝、钨、钴、铜和/或其它合适的材料,并且可以通过CVD、PVD、镀和/或其它合适的工艺形成。
填充开口(由半导体层110/110A的去除形成)的高k金属栅极也具有高k介电层740、功函金属层750和填充金属760。如图11所示,功函金属层750圆周地包裹填充金属760,并且高k介电层740也圆周地包裹高功函金属层750。同时,半导体层108的部分也由高k金属栅极(包括高k介电层740和金属层750至760)包裹。半导体层108的这些部分用作晶体管的沟道。半导体结构100包括多个这些垂直“堆叠的”高k金属栅极,并且因此半导体结构100是堆叠的水平全环栅(S-HGAA)器件。
现在返回图10,可以看出,在区域610中形成的高k金属栅极结构720A与在区域620中形成的高k金属栅极结构720B具有不同的横向尺寸。具体地,在区域610中形成的高k金属栅极结构720A具有横向尺寸660(在X方向上测量的),而在区域620中形成的高k金属栅极结构720B具有横向尺寸690(在X方向上测量的)。横向尺寸690大于或小于横向尺寸660。例如,在图10所示的实施例中,在一些实施例中,横向尺寸690可以比横向尺寸660大大约5至10nm。横向尺寸690和横向尺寸660之间存在比率。在一些实施例中,该比率在约1.5和约2之间的范围内。
如以上讨论的,可以通过精确地控制蚀刻工艺650和/或680的工艺参数来配置横向尺寸660和690的差异。由于横向尺寸660对应于区域610中的HGAA晶体管的沟道的有效电长度,并且横向尺寸690对应于区域620中的HGAA晶体管的沟道的有效电长度,因此,可以看出半导体结构100可以具有用于不同区域的不同的有效电长度,即使物理栅极长度(由伪栅极堆叠件的尺寸限定)基本相同。
图12是示出制造例如GAA器件的半导体结构的方法800的流程图。方法800包括提供半导体结构的步骤810,半导体结构包括与多个第二半导体层交错的多个第一半导体层。第一半导体层和第二半导体层具有不同的材料组分。
步骤800包括在最上第一半导体层上方形成伪栅极堆叠件的步骤820。在一些实施例中,形成伪栅极堆叠件包括在半导体结构的第一区域和第二区域中形成多个伪栅极堆叠件。在一些实施例中,第一区域是标准阈值电压(SVt)区域,而第二区域是高阈值电压(HVt)区域。
方法800包括实施第一蚀刻工艺以去除未设置在伪栅极堆叠件下面的第二半导体层的部分,从而形成多个空隙的步骤830。第一蚀刻工艺在第一半导体层和第二半导体层之间具有蚀刻选择性。在一些实施例中,配置第一半导体层和第二半导体层之间的蚀刻选择性,从而使得第一蚀刻工艺去除第二半导体层的部分而没有去除第一半导体层。
方法800包括实施第二蚀刻工艺以扩大空隙的步骤840。在一些实施例中,实施第二蚀刻工艺以扩大每个空隙的水平尺寸。在一些实施例中,实施第一蚀刻工艺和第二蚀刻工艺,从而使得第一区域中的空隙和第二区域中的空隙具有不同的水平尺寸。在一些实施例中,第二蚀刻工艺在第一区域中实施而没有在第二区域中实施。
在一些实施例中,第一半导体层的每个均包括硅层,第二半导体层的每个均包括硅锗层。
应该理解,可以在步骤810至840之前、期间或之后实施额外的工艺。例如,方法800可以包括在扩大的空隙中外延生长第三半导体层的步骤。例如,方法800可以包括用具有高k栅极电介质和金属栅电极的栅极结构替换伪栅极堆叠件的步骤。在一些实施例中,替换伪栅极堆叠件包括用具有高k栅极电介质和金属栅电极的多个栅极结构替换设置在伪栅极下面的第二半导体层的部分。在一些实施例中,对于替换第二半导体层的部分的栅极结构的每个,高k介电层圆周地包裹金属栅电极。例如,方法800可以包括形成接触开口、接触金属以及各个接触件、通孔、布线和多层互连部件(例如,金属层和层间电介质)的步骤以连接各个部件以形成可以包括一个或多个多栅极器件的功能电路。
基于以上讨论的,可以看出,本发明的实施例提供了超越现有半导体器件的优势。然而,应该理解,没有特定优势都是需要的,其它实施例可以提供不同的优势,并且不是所有优势都必须在此处公开。
优势在于,能够为沟道配置不同的有效电长度允许阈值电压Vt的调整具有更大的灵活性。更详细地,由于半导体器件尺寸缩小,用于晶体管的沟道变得越来越短,这引起了各种问题(尤其对于GAA器件)。例如,GAA器件具有比常规的平面器件或常规的FinFET器件更小的耗尽区域。因此,Vt注入的剂量可能需要比常规装置更重。然而,重掺杂可能引起不期望的迁移率降低。此外,由于用于GAA器件的沟道由多个纳米线组成(而不是传统器件的单个阻挡材料),因此GAA器件具有比传统器件更小的沟道体积。因此,纳米线的掺杂可能导致这些纳米线沟道的一些接收比其它纳米线的一些显著更大量的掺杂剂。这导致GAA器件的更差的随机掺杂波动。由于以上讨论的这些原因,GAA器件难以通过注入/掺杂来调整其Vt。
相比之下,本发明允许通过控制用于晶体管的沟道的有效电长度来调整阈值电压。例如,可以配置以上参照图3B讨论的横向蚀刻工艺调整高k金属栅极堆叠件的横向尺寸(对应于沟道的电长度的调整)。这允许相应地配置阈值电压Vt。
此外,本发明允许对不同的区域提供不同的有效栅极长度。例如,可以对高Vt区域提供一个栅极长度,而可以对标准Vt区域提供不同的栅极长度。这种能力进一步增加了根据本发明制造的半导体结构的通用性。此外,这种能力也意味着不需要在高Vt区域和标准Vt区域之间放置伪多晶硅。进而,这导致单元尺寸减小。其它优势包括GAA器件中的随机掺杂波动缺陷的消除,这是由于本发明不再需要Vt注入。
本发明的一个实施例涉及制造GAA器件的方法。提供半导体结构,半导体结构包括与多个第二半导体层交错的多个第一半导体层。第一半导体层和第二半导体层具有不同的材料组分。在最上第一半导体层上方形成伪栅极堆叠件。实施第一蚀刻工艺以去除未设置在伪栅极堆叠件下面的第二半导体层的部分,从而形成多个空隙。第一蚀刻工艺在第一半导体层和第二半导体层之间具有蚀刻选择性。之后,实施第二蚀刻工艺以扩大空隙。
在上述方法中,其中,配置所述第一半导体层和所述第二半导体层之间的蚀刻选择性,从而使得所述第一蚀刻工艺去除所述第二半导体层的部分而没有去除所述第一半导体层。
在上述方法中,其中,实施所述第二蚀刻工艺以扩大所述空隙的每个的水平尺寸。
在上述方法中,其中:所述第一半导体层的每个均包括硅层;以及所述第二半导体层的每个均包括硅锗层。
在上述方法中,还包括:在扩大的空隙中外延生长第三半导体层。
在上述方法中,还包括:用具有高k栅极电介质和金属栅电极的栅极结构替换所述伪栅极堆叠件。
在上述方法中,还包括:用具有高k栅极电介质和金属栅电极的栅极结构替换所述伪栅极堆叠件,其中,替换所述伪栅极堆叠件包括用具有高k栅极电介质和金属栅电极的多个栅极结构替换设置在所述伪栅极堆叠件下面的所述第二半导体层的部分。
在上述方法中,还包括:用具有高k栅极电介质和金属栅电极的栅极结构替换所述伪栅极堆叠件,其中,替换所述伪栅极堆叠件包括用具有高k栅极电介质和金属栅电极的多个栅极结构替换设置在所述伪栅极堆叠件下面的所述第二半导体层的部分,对于替换所述第二半导体层的部分的所述栅极结构的每个,所述高k栅极电介质圆周地包裹所述金属栅电极。
在上述方法中,其中,形成所述伪栅极堆叠件包括在所述半导体结构的第一区域和第二区域中形成多个伪栅极堆叠件,并且其中,实施所述第一蚀刻工艺和所述第二蚀刻工艺,从而使得所述第一区域中的空隙和所述第二区域中的空隙具有不同的水平尺寸。
在上述方法中,其中,形成所述伪栅极堆叠件包括在所述半导体结构的第一区域和第二区域中形成多个伪栅极堆叠件,并且其中,实施所述第一蚀刻工艺和所述第二蚀刻工艺,从而使得所述第一区域中的空隙和所述第二区域中的空隙具有不同的水平尺寸,所述第二蚀刻工艺在所述第一区域中实施而没有在所述第二区域中实施。
在上述方法中,其中,形成所述伪栅极堆叠件包括在所述半导体结构的第一区域和第二区域中形成多个伪栅极堆叠件,并且其中,实施所述第一蚀刻工艺和所述第二蚀刻工艺,从而使得所述第一区域中的空隙和所述第二区域中的空隙具有不同的水平尺寸,所述第一区域是标准阈值电压(SVt)区域;以及所述第二区域是高阈值电压(HVt)区域。
本发明的另一实施例涉及制造GAA器件的方法。提供包括多个第一半导体层和多个第二半导体层的半导体结构。第一半导体层和第二半导体层具有不同的材料组分并且在垂直方向上彼此交替设置。在最上第一半导体层上方形成多个伪栅极堆叠件。去除半导体结构的第一区域中的第二半导体层的部分,从而在第一区域中的第二半导体层的去除部分的位置形成多个第一间隔。第一间隔经由横向蚀刻工艺水平延伸。之后,去除半导体结构的第二区域中的第二半导体层的部分,从而在第二区域中的第二半导体层的去除部分的位置形成多个第二间隔。第一区域中的第二半导体层的剩余部分与第二区域中的第二半导体层的剩余部分具有不同的水平尺寸。
在上述方法中,还包括:实施栅极置换工艺以用每个均包括高k栅极电介质和金属栅电极的栅极结构替换所述第一区域和所述第二区域中的所述伪栅极堆叠件和所述第二半导体层的剩余部分。
本发明的又另一实施例涉及半导体结构。半导体结构包括每个均在第一方向上延伸的多个纳米线。该纳米线在垂直于第一方向的第二方向上彼此堆叠。半导体结构包括每个均包裹相应的一个纳米线的多个第一栅极结构和第二栅极结构。第一栅极结构的每个均具有在第一方向上测量的第一尺寸。第二栅极结构的每个均具有在第一方向上测量的第二尺寸,第一尺寸大于或小于第二尺寸。
在上述半导体结构中,还包括设置在所述纳米线的最上一个纳米线上方的多个第三栅极结构,其中:所述第一栅极结构的每个均设置在所述第三栅极结构的一个下面;以及所述第二栅极结构的每个均设置在所述第三栅极结构的另一个下面。
在上述半导体结构中,还包括设置在所述纳米线的最上一个纳米线上方的多个第三栅极结构,其中:所述第一栅极结构的每个均设置在所述第三栅极结构的一个下面;以及所述第二栅极结构的每个均设置在所述第三栅极结构的另一个下面,其中,所述第三栅极结构在至少所述第一方向上具有彼此相同的尺寸。
在上述半导体结构中,还包括设置在所述纳米线的最上一个纳米线上方的多个第三栅极结构,其中:所述第一栅极结构的每个均设置在所述第三栅极结构的一个下面;以及所述第二栅极结构的每个均设置在所述第三栅极结构的另一个下面,其中,所述第一栅极结构、所述第二栅极结构和所述第三栅极结构的每个均包括高k栅极电介质和金属栅电极,并且其中,所述高k栅极电介质至少部分地包裹所述金属栅电极。
在上述半导体结构中,其中:所述第一栅极结构位于所述半导体结构的标准阈值电压(SVt)区域中;以及所述第二栅极结构位于所述半导体结构的高阈值电压(HVt)区域中。
在上述半导体结构中,其中:所述第一栅极结构位于所述半导体结构的标准阈值电压(SVt)区域中;以及所述第二栅极结构位于所述半导体结构的高阈值电压(HVt)区域中,所述第一尺寸大于所述第二尺寸。
在上述半导体结构中,还包括:每个均包裹所述纳米线的相应的一个纳米线的多个源极/漏极组件。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种形成半导体器件的方法,包括:
提供半导体结构,所述半导体结构包括与多个第二半导体层交错的多个第一半导体层,所述第一半导体层和所述第二半导体层具有不同的材料组分;
在最上第一半导体层上方形成伪栅极堆叠件;
实施第一蚀刻工艺以去除未设置在所述伪栅极堆叠件下面的所述第二半导体层的部分,从而形成多个空隙,其中,所述第一蚀刻工艺在所述第一半导体层和所述第二半导体层之间具有蚀刻选择性;以及
实施第二蚀刻工艺以扩大所述空隙。
2.根据权利要求1所述的方法,其中,配置所述第一半导体层和所述第二半导体层之间的蚀刻选择性,从而使得所述第一蚀刻工艺去除所述第二半导体层的部分而没有去除所述第一半导体层。
3.根据权利要求1所述的方法,其中,实施所述第二蚀刻工艺以扩大所述空隙的每个的水平尺寸。
4.根据权利要求1所述的方法,其中:
所述第一半导体层的每个均包括硅层;以及
所述第二半导体层的每个均包括硅锗层。
5.根据权利要求1所述的方法,还包括:在扩大的空隙中外延生长第三半导体层。
6.根据权利要求1所述的方法,还包括:用具有高k栅极电介质和金属栅电极的栅极结构替换所述伪栅极堆叠件。
7.根据权利要求6所述的方法,其中,替换所述伪栅极堆叠件包括用具有高k栅极电介质和金属栅电极的多个栅极结构替换设置在所述伪栅极堆叠件下面的所述第二半导体层的部分。
8.根据权利要求7所述的方法,其中,对于替换所述第二半导体层的部分的所述栅极结构的每个,所述高k栅极电介质圆周地包裹所述金属栅电极。
9.一种形成半导体器件的方法,包括:
提供半导体结构,所述半导体结构包括多个第一半导体层和多个第二半导体层,所述第一半导体层和所述第二半导体层具有不同的材料组分并且在垂直方向上彼此交替设置;
在最上第一半导体层上方形成多个伪栅极堆叠件;
去除所述半导体结构的第一区域中的所述第二半导体层的部分,从而在所述第一区域中的所述第二半导体层的去除部分的位置形成多个第一间隔;
经由横向蚀刻工艺水平地延伸所述第一间隔;以及
之后,去除所述半导体结构的第二区域中的所述第二半导体层的部分,从而在所述第二区域中的所述第二半导体层的去除部分的位置形成多个第二间隔,其中,所述第一区域中的所述第二半导体层的剩余部分与所述第二区域中的所述第二半导体层的剩余部分具有不同的水平尺寸。
10.一种半导体结构,包括:
多个纳米线,每个均在第一方向上延伸,其中,所述纳米线在垂直于所述第一方向的第二方向上彼此堆叠;以及
多个第一栅极结构和第二栅极结构,每个均包裹所述纳米线的相应的一个纳米线,其中,所述第一栅极结构的每个均具有在所述第一方向上测量的第一尺寸,并且其中,所述第二栅极结构的每个均具有在所述第一方向上测量的第二尺寸,所述第一尺寸大于或小于所述第二尺寸。
CN201710950405.5A 2016-11-29 2017-10-13 半导体结构和形成半导体器件的方法 Active CN108122774B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427402P 2016-11-29 2016-11-29
US62/427,402 2016-11-29
US15/666,715 2017-08-02
US15/666,715 US10290546B2 (en) 2016-11-29 2017-08-02 Threshold voltage adjustment for a gate-all-around semiconductor structure

Publications (2)

Publication Number Publication Date
CN108122774A true CN108122774A (zh) 2018-06-05
CN108122774B CN108122774B (zh) 2020-09-18

Family

ID=62118036

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710950405.5A Active CN108122774B (zh) 2016-11-29 2017-10-13 半导体结构和形成半导体器件的方法

Country Status (5)

Country Link
US (3) US10290546B2 (zh)
KR (1) KR102006544B1 (zh)
CN (1) CN108122774B (zh)
DE (1) DE102017118203A1 (zh)
TW (1) TWI643255B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113571471A (zh) * 2020-04-29 2021-10-29 台湾积体电路制造股份有限公司 半导体结构和形成半导体器件的方法

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102614997B1 (ko) * 2018-07-05 2023-12-20 삼성전자주식회사 반도체 소자
US11296204B2 (en) 2018-07-05 2022-04-05 Samsung Electronics Co., Ltd. Semiconductor devices
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
CN112018113A (zh) 2019-05-29 2020-12-01 台湾积体电路制造股份有限公司 半导体装置及其形成方法
US11430892B2 (en) 2019-05-29 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacers for gate-all-around transistors
US11114345B2 (en) 2019-08-22 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. IC including standard cells and SRAM cells
US11087831B2 (en) 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around memory devices
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11205711B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Selective inner spacer implementations
US11205650B2 (en) 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Input/output semiconductor devices
US11121218B2 (en) 2019-11-14 2021-09-14 International Business Machines Corporation Gate-all-around transistor structure
FR3105579A1 (fr) * 2019-12-23 2021-06-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Dispositif comprenant des transistors à grille enrobante et procédé de fabrication d’un tel dispositif
DE102020120432A1 (de) * 2019-12-31 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungsstruktur mit Nanostruktur und deren Herstellungsverfahren
US11393925B2 (en) * 2019-12-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with nanostructure
US11430867B2 (en) 2020-01-24 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Channel mobility improvement
US11264508B2 (en) 2020-01-24 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage prevention structure and method
US11404417B2 (en) 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11152477B2 (en) 2020-02-26 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with different threshold voltages
US11855225B2 (en) 2020-02-27 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with epitaxial bridge feature and methods of forming the same
US11424338B2 (en) 2020-03-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal source/drain features
DE102020119940A1 (de) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mehrfachgatetransistorstruktur
US11195937B2 (en) 2020-03-31 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure
US11532711B2 (en) 2020-04-16 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. PMOSFET source drain
US11417766B2 (en) 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11581415B2 (en) * 2020-04-24 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer channel structures and methods of fabricating the same in field-effect transistors
US11289584B2 (en) * 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors
TWI764678B (zh) 2020-04-24 2022-05-11 台灣積體電路製造股份有限公司 半導體結構及其形成方法
US11670723B2 (en) 2020-05-12 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon channel tempering
US11532627B2 (en) 2020-05-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11948987B2 (en) 2020-05-28 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned backside source contact structure
US11532626B2 (en) 2020-05-29 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reduction of gate-drain capacitance
US11232988B2 (en) 2020-05-29 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Wavy profile mitigation
US11694958B2 (en) 2020-06-03 2023-07-04 International Business Machines Corporation Layout design for threshold voltage tuning
US11508736B2 (en) 2020-06-08 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming different types of devices
US11637109B2 (en) 2020-06-29 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature separation structure
US11245036B1 (en) 2020-07-21 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Latch-up prevention
US11735669B2 (en) 2020-07-30 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertically-oriented complementary transistor
US11329168B2 (en) 2020-07-31 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fish bone structure and methods of forming the same
US11450673B2 (en) 2020-07-31 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Connection between source/drain and gate
DE102020131140A1 (de) 2020-08-10 2022-02-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gateisolierungsstruktur
US11450662B2 (en) 2020-08-10 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation structure
US11437373B2 (en) 2020-08-13 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device structure
US11355502B2 (en) 2020-09-21 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with gate recess and methods of forming the same
US11437245B2 (en) 2020-09-30 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium hump reduction
US11404576B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric fin structure
US12078551B2 (en) 2020-10-13 2024-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary bipolar junction transistor
US11600625B2 (en) 2020-10-14 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having an offset source/drain feature and method of fabricating thereof
US11532744B2 (en) 2020-10-26 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Gate cut structure and method of forming the same
US11489078B2 (en) 2020-10-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Lightly-doped channel extensions
US11462612B2 (en) 2020-10-28 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure
US11444178B2 (en) 2020-11-13 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Inner spacer liner
US11362217B1 (en) 2020-11-23 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming transistors of different configurations
US11699760B2 (en) 2021-01-04 2023-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for stacked multi-gate device
US11527534B2 (en) 2021-01-06 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Gap-insulated semiconductor device
US11735647B2 (en) 2021-01-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device
US11728394B2 (en) 2021-01-27 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming backside power rails
US11588018B2 (en) * 2021-01-28 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with nanostructure and method for forming the same
US11710737B2 (en) 2021-02-05 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid semiconductor device
US11901428B2 (en) 2021-02-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with backside gate isolation structure and method for forming the same
US11605720B2 (en) 2021-02-26 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate cap
US11444170B1 (en) 2021-03-12 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside self-aligned power rail and methods of forming the same
US11854896B2 (en) 2021-03-26 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with S/D bottom isolation and methods of forming the same
US11916105B2 (en) 2021-03-26 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with corner isolation protection and methods of forming the same
US11615987B2 (en) 2021-03-26 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Backside via with a low-k spacer
US11605638B2 (en) 2021-04-21 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with multiple threshold voltages
US11791402B2 (en) 2021-05-14 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having strained channels
US11973128B2 (en) 2021-05-27 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming multi-gate transistors
US11532733B1 (en) 2021-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric isolation structure for multi-gate transistors
US11855081B2 (en) 2021-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epitaxial features
US12074206B2 (en) 2021-08-30 2024-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit device with improved reliability
US12080603B2 (en) 2021-08-30 2024-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Active region cut process
US20230114789A1 (en) * 2021-10-13 2023-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain features of multi-gate devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050285201A1 (en) * 1999-09-01 2005-12-29 Tran Luan C Semiconductor processing methods of forming transistors, semiconductor processing methods of forming dynamic random access memory circuitry, and related integrated circuitry
TW201405806A (zh) * 2012-06-29 2014-02-01 Intel Corp 製造用於奈米線裝置之內部間隔件的整合方法
US20160276494A1 (en) * 2015-03-16 2016-09-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for making a transistor in a stack of superimposed semiconductor layers
CN106030810A (zh) * 2013-09-27 2016-10-12 英特尔公司 经由用于硅上异质集成的模板工程的改进的包覆层外延
CN106030815A (zh) * 2014-03-24 2016-10-12 英特尔公司 制造纳米线器件的内部间隔体的集成方法

Family Cites Families (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8776734B1 (en) 2008-05-19 2014-07-15 Innovative Environmental Solutions, Llc Remedial system: a pollution control device for utilizing and abating volatile organic compounds
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8544202B2 (en) * 2011-07-12 2013-10-01 Demonic Buck Hunting Products Llc Shooting rest assembly
US8609518B2 (en) 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US9240410B2 (en) 2011-12-19 2016-01-19 Intel Corporation Group III-N nanowire transistors
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
CN104054181B (zh) * 2011-12-30 2017-10-20 英特尔公司 全包围栅晶体管的可变栅极宽度
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US8497177B1 (en) 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US20140151638A1 (en) * 2012-12-03 2014-06-05 International Business Machines Corporation Hybrid nanomesh structures
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
CN106458937A (zh) * 2014-04-11 2017-02-22 巴斯夫欧洲公司 作为除草剂的二氨基三嗪衍生物
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US9673277B2 (en) 2014-10-20 2017-06-06 Applied Materials, Inc. Methods and apparatus for forming horizontal gate all around device structures
WO2017096781A1 (zh) * 2015-12-07 2017-06-15 中国科学院微电子研究所 具有高质量外延层的纳米线半导体器件及其制造方法
US10074730B2 (en) * 2016-01-28 2018-09-11 International Business Machines Corporation Forming stacked nanowire semiconductor device
US9653289B1 (en) * 2016-09-19 2017-05-16 International Business Machines Corporation Fabrication of nano-sheet transistors with different threshold voltages
KR102551589B1 (ko) * 2016-09-29 2023-07-04 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050285201A1 (en) * 1999-09-01 2005-12-29 Tran Luan C Semiconductor processing methods of forming transistors, semiconductor processing methods of forming dynamic random access memory circuitry, and related integrated circuitry
TW201405806A (zh) * 2012-06-29 2014-02-01 Intel Corp 製造用於奈米線裝置之內部間隔件的整合方法
CN106030810A (zh) * 2013-09-27 2016-10-12 英特尔公司 经由用于硅上异质集成的模板工程的改进的包覆层外延
CN106030815A (zh) * 2014-03-24 2016-10-12 英特尔公司 制造纳米线器件的内部间隔体的集成方法
US20160276494A1 (en) * 2015-03-16 2016-09-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for making a transistor in a stack of superimposed semiconductor layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113571471A (zh) * 2020-04-29 2021-10-29 台湾积体电路制造股份有限公司 半导体结构和形成半导体器件的方法

Also Published As

Publication number Publication date
US20180337094A1 (en) 2018-11-22
US20200035562A1 (en) 2020-01-30
KR102006544B1 (ko) 2019-08-01
US11043423B2 (en) 2021-06-22
TW201820430A (zh) 2018-06-01
TWI643255B (zh) 2018-12-01
US10290546B2 (en) 2019-05-14
KR20180060948A (ko) 2018-06-07
US10438851B2 (en) 2019-10-08
DE102017118203A1 (de) 2018-05-30
CN108122774B (zh) 2020-09-18
US20180151438A1 (en) 2018-05-31

Similar Documents

Publication Publication Date Title
CN108122774A (zh) 用于全环栅半导体结构的阈值电压调整
US11239341B2 (en) Horizontal gate all-around device having wrapped-around source and drain
US11532735B2 (en) Self-aligned epitaxy layer
US11152338B2 (en) Semiconductor device and manufacturing method thereof
US10504895B2 (en) FinFET isolation structure and method for fabricating the same
US11637204B2 (en) FinFET isolation structure
US20240251539A1 (en) Method for forming different types of devices
US9614037B2 (en) Nano-ribbon channel transistor with back-bias control

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant