CN107887327A - 在垂直晶体管替代栅极流程中控制自对准栅极长度 - Google Patents

在垂直晶体管替代栅极流程中控制自对准栅极长度 Download PDF

Info

Publication number
CN107887327A
CN107887327A CN201710912237.0A CN201710912237A CN107887327A CN 107887327 A CN107887327 A CN 107887327A CN 201710912237 A CN201710912237 A CN 201710912237A CN 107887327 A CN107887327 A CN 107887327A
Authority
CN
China
Prior art keywords
vertical
channel
source drain
fin
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710912237.0A
Other languages
English (en)
Other versions
CN107887327B (zh
Inventor
谢瑞龙
山下天孝
程慷果
叶俊呈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of CN107887327A publication Critical patent/CN107887327A/zh
Application granted granted Critical
Publication of CN107887327B publication Critical patent/CN107887327B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及在垂直晶体管替代栅极流程中控制自对准栅极长度,其中,一种半导体结构包括半导体衬底,位于半导体衬底上方的第一垂直晶体管的底部源/漏层,位于该源/漏层上方的垂直沟道,以及包覆该垂直沟道的金属栅极,该垂直沟道在与该金属栅极之间的接口处相对金属栅极具有固定高度。半导体结构还包括位于该垂直沟道上方的顶部源/漏层,以及至各顶部及底部源/漏层及该栅极的自对准接触。半导体结构可通过以下步骤实现:提供上方具有底部源/漏层的半导体衬底,在底部源/漏层上方形成垂直沟道,形成包覆垂直沟道的伪栅极,以及分别围绕垂直沟道的顶部及底部形成底部间隙壁层及顶部间隙壁层,垂直沟道的剩余中心部分定义固定垂直沟道高度。

Description

在垂直晶体管替代栅极流程中控制自对准栅极长度
技术领域
本发明通常涉及垂直晶体管的制造。尤其,本发明涉及通过替代栅极制程控制垂直晶体管中的自对准栅极长度。
背景技术
当前,垂直FET(vertical FET;VFET)的制造在控制自对准栅极宽度并将该VFET集成入替代金属栅极(replacement metal gate;RMG)流程方面具有挑战性。
发明内容
因此,需要克服上述挑战。
为克服现有技术的缺点并提供额外的优点,在一个态样中提供一种在垂直晶体管替代栅极制程中控制自对准栅极长度的方法。该方法包括:提供上方具有底部源/漏层的半导体衬底,在该底部源/漏层上方形成垂直沟道,形成包覆该垂直沟道的伪栅极,以及分别围绕该垂直沟道的顶部及底部形成底部间隙壁层及顶部间隙壁层,该垂直沟道的剩余中心部分定义固定垂直沟道高度。该方法还包括在该垂直沟道上方形成顶部源/漏层,用金属栅极替代该伪栅极,以及形成自对准源、漏及栅极接触。
依据另一个态样,提供一种半导体结构。该半导体结构包括:半导体衬底,位于该半导体衬底上方的第一垂直晶体管的底部源/漏层,位于该源/漏层上方的垂直沟道,以及包覆该垂直沟道的金属栅极,该垂直沟道在与该金属栅极之间的接口(interface)处相对该金属栅极具有固定高度。该半导体结构还包括位于该垂直沟道上方的顶部源/漏层,以及至各该顶部及底部源/漏层及该栅极的自对准接触。
从下面结合附图所作的本发明的各种态样的详细说明将很容易了解本发明的这些及其它目的、特征及优点。
附图说明
图1显示依据本发明的一个或多个态样的初始半导体结构的一个例子的剖视图,该初始半导体结构包括半导体衬底,位于该半导体衬底上方的掺杂源/漏半导体材料层,位于该掺杂源/漏半导体材料层的任意一侧上并部分延伸进入该半导体衬底中的隔离材料层,以及位于该掺杂源/漏半导体材料上方的至少一个鳍片,该鳍片包括由半导体沟道材料构成的底部以及由牺牲外延材料构成的顶部。
图2显示依据本发明的一个或多个态样,(例如,利用气体团簇离子束制程)在该初始半导体结构的水平表面上形成垂直晶体管的底部间隙壁层及顶部间隙壁层以后,图1的结构的一个例子。
图3显示依据本发明的一个或多个态样,在该第一硬掩膜层及该至少一个鳍片的侧面上方形成共形介电层并邻近该共形介电层的垂直部分形成伪栅极,接着平坦化(例如CMP)以向下抛光该伪栅极材料至该共形介电层以后,图2的结构的一个例子。
图4显示依据本发明的一个或多个态样,在移除该伪栅极的顶部以后,图3的结构的一个例子。
图5显示依据本发明的一个或多个态样,在该伪栅极上方形成硬掩膜层并平坦化以后,图4的结构的一个例子。
图6显示依据本发明的一个或多个态样,在光刻图案化以移除该伪栅极的不想要的部分及该硬掩膜层的相应部分以后,图5的结构的一个例子。
图7显示依据本发明的一个或多个态样,在移除该鳍片的该顶部以暴露该鳍片的该底部以后,图6的结构的一个例子。
图8显示依据本发明的一个或多个态样,在移除该共形介电层的暴露部分以部分暴露该鳍片的剩余部分以后,图7的结构的一个例子。
图9显示依据本发明的一个或多个态样,邻近剩余伪栅极层的内外侧形成间隙壁层以后,图8的结构的一个例子。
图10显示依据本发明的一个或多个态样,在该暴露的至少一个鳍片的该部分暴露部分上方形成第二掺杂源/漏半导体材料层以后,图9的结构的一个例子。
图11显示依据本发明的一个或多个态样,用介电材料填充该结构的开口部分以后,图10的结构的一个例子。
图12显示依据本发明的一个或多个态样,在凹入除用于该填充的该介电材料以外的所有材料以后,图11的结构的一个例子。
图13显示依据本发明的一个或多个态样,在移除该伪栅极(例如,a-Si)及该栅极介电质(图3,114)的剩余部分以后,图12的结构的一个例子。
图14显示依据本发明的一个或多个态样,在形成替代金属栅极以后,图13的结构的一个例子。
图15显示依据本发明的一个或多个态样,在凹入该金属栅极以后,图14的结构的一个例子。
图16显示依据本发明的一个或多个态样,在用介电材料填充因凹入该金属栅极而形成的开口区域以后,图15的结构的一个例子。
图17显示依据本发明的一个或多个态样,在形成至该金属栅极、该源极及该漏极的接触以后,图16的结构的一个例子。
具体实施方式
下面通过参照附图中所示的非限制性例子来更加充分地解释本发明的态样及其特定的特征、优点以及细节。省略对已知材料、制造工具、制程技术等的说明,以免在细节上不必要地模糊本发明。不过,应当理解,当说明本发明的态样时,详细的说明及具体的例子仅作为示例,而非限制。本领域的技术人员将会从本揭露中了解在基础的发明概念的精神及/或范围内的各种替代、修改、添加和/或布局。
这里在说明书及权利要求书中所使用的近似语言可用以修饰任意量化表达,可允许该量化表达变动而不会导致与其相关的基本功能的改变。因此,由一个或多个术语例如“约”修饰的值不限于所指定的精确值。在一些情况下,该近似语言可对应用以测量该值的仪器的精度。
这里所使用的术语仅是出于说明特定例子的目的,并非意图限制本发明。除非上下文中明确指出,否则这里所使用的单数形式“一个”以及“该”也意图包括复数形式。还应当理解,术语“包括”(以及任意形式的包括)、“具有”(以及任意形式的具有)以及“包含”(以及任意形式的包含)都是开放式连接动词。因此,“包括”、“具有”或“包含”一个或多个步骤或元件的方法或装置具有那些一个或多个步骤或元件,但并不限于仅仅具有那些一个或多个步骤或元件。类似地,“包括”、“具有”或“包含”一个或多个特征的一种方法的步骤或一种装置的元件具有那些一个或多个特征,但并不限于仅仅具有那些一个或多个特征。而且,以特定方式配置的装置或结构至少以那种方式配置,但也可以未列出的方式配置。
当这里所使用的术语“连接”用以指两个物理元件时,是指该两个物理元件之间的直接连接。不过,术语“耦接”可指直接连接或者通过一个或多个中间元件的连接。
这里所使用的术语“可”以及“可能是”表示在一系列条件下发生的可能性;具有特定的属性、特性或功能;以及/或者修饰另一个动词,通过表达与该修饰动词相关联的一种或多种能力、功能或可能性的方式进行修饰。因此,考虑到在某些情况下,被修饰的术语可能有时不适当、不能够或不合适,“可”以及“可能是”的使用表示被修饰的术语明显是适当的、有能力的或适合所示性能、功能或用途。例如,在一些情况下,事件或性能可以预期,而在其它情况下,该事件或性能无法发生-这个区别由术语“可”以及“可能是”体现。
除非另外指出,否则这里所使用的术语“约”与一个值例如测量结果、尺寸等一起使用时,是指加或减该值的百分之五的可能变动。
下面参照附图,为有利于理解,该些附图并非按比例绘制,其中,不同附图中所使用的相同附图标记表示相同或类似的组件。
图1显示依据本发明的一个或多个态样的初始半导体结构100的一个例子的剖视图,该初始半导体结构包括半导体衬底102,位于该半导体衬底上方的掺杂源/漏半导体材料层104,在该掺杂源/漏半导体材料层的任意一侧上并部分延伸进入该半导体衬底中的隔离材料层110,以及位于该掺杂源/漏半导体材料上方的一个或多个鳍片105,该一个或多个鳍片105包括由半导体沟道材料构成的底部106以及由牺牲外延材料构成的顶部108。
该初始结构可例如通过使用已知的制程及技术以传统方式制造。另外,除非另外指出,否则,传统的制程及技术可用以实现本发明的制程的单独步骤。不过,尽管为简单起见仅显示部分,但应当理解,实际上,在同一块体衬底上通常包括许多此类结构。
在一个例子中,衬底102可包括任意含硅衬底,包括但不限于硅(Si)、单晶硅、多晶硅、非晶硅、空洞层上硅(silicon-on-nothing;SON)、绝缘体上硅(silicon-on-insulator;SOI),或替代绝缘体上硅(silicon-on-replacement insulator;SRI),或硅锗衬底,以及类似物。衬底102可附加地或替代地包括各种隔离、掺杂及/或装置特征。该衬底可包括其它合适的元素半导体例如晶体锗(Ge),复合半导体例如碳化硅(SiC)、砷化镓(GaAs)、磷化镓(GaP)、磷化铟(InP)、砷化铟(InAs),和/或锑化铟(InSb),或其组合;合金半导体,包括GaAsP、AlInAs、GaInAs、GaInP,或GaInAsP,或其组合。
图2显示依据本发明的一个或多个态样,(例如,利用气体团簇离子束(gascluster ion beam;GCIB)制程)在该初始半导体结构的水平表面上形成底部间隙壁层112及顶部间隙壁层113(用于垂直晶体管的间隙壁)以后,图1的结构的一个例子。由于该间隙壁层通过定向沉积制程形成,因此其仅形成于该鳍片的底部表面及顶部表面。定向沉积的例子包括:(1)SiN的GCIB沉积;或(2)SiO2或SiN的HDP沉积。(HDP:高密度等离子体化学气相沉积(high-density plasma CVD),其通常包括多个沉积-蚀刻循环并最终在底部形成介电材料,而不会在侧壁形成任意介电材料)。
图3显示依据本发明的一个或多个态样,在底部间隙壁层112及该至少一个鳍片的侧面上方形成共形介电层114并邻近该共形介电层的垂直部分形成伪栅极116,接着平坦化(例如CMP(化学机械抛光))以向下抛光伪栅极材料116至该共形介电层以后,图2的结构的一个例子。材料的例子包括:114:SiO2、116:a-Si。
图4显示依据本发明的一个或多个态样,在移除该伪栅极的顶部118以后,图3的结构的一个例子。
图5显示依据本发明的一个或多个态样,在伪栅极116上方形成硬掩膜层120并平坦化(移除顶部间隙壁层113)以后,图4的结构的一个例子。该硬掩膜层可例如通过沉积介电薄膜并接着执行CMP制程来形成。该介电膜的一个例子包括氮化硅(Si3N4)。
图6显示依据本发明的一个或多个态样,在光刻图案化以移除该伪栅极的不想要的部分及该硬掩膜层的相应部分(通常,该结构的部分122)以后,图5的结构的一个例子。
图7显示依据本发明的一个或多个态样,在移除鳍片105的顶部108以暴露该鳍片的该底部以后,图6的结构的一个例子。较佳地,鳍片105的顶部108的该移除对该鳍片的底部106的材料具有选择性。在一个例子中,牺牲顶部108包括外延硅锗且底部106包括硅。因此,相对硅及其它围绕材料例如SiN、a-Si(非晶硅)及SiO2可选择性移除e-SiGe(外延-SiGe)。
图8显示依据本发明的一个或多个态样,在移除该共形介电层(图3,114)的暴露部分120以部分暴露该至少一个鳍片的剩余部分122以后,图7的结构的一个例子。
图9显示依据本发明的一个或多个态样,邻近该剩余伪栅极层130的外侧126及内侧128形成间隙壁层124以后,图8的结构的一个例子。
图10显示依据本发明的一个或多个态样,在该暴露的至少一个鳍片的该部分暴露部分122上方形成第二掺杂源/漏半导体材料层132以后,图9的结构的一个例子。
图11显示依据本发明的一个或多个态样,用介电材料134(例如SiO2)填充该结构的开口部分以后,图10的结构的一个例子。
图12显示依据本发明的一个或多个态样,在凹入136除用于所述填充的介电材料134以外的所有材料以后,图11的结构的一个例子。在一个例子中,该凹入可通过相对氧化物具有选择性的氮化物移除来实现。
图13显示依据本发明的一个或多个态样,在移除该伪栅极(例如,a-Si)及该栅极介电质(图3,114)的剩余部分137以后,图12的结构的一个例子。
图14显示依据本发明的一个或多个态样,在形成替代金属栅极140以后,图13的结构的一个例子。替代栅极通常包括栅极介电质(例如,SiO2、SiON、HfO2、HfLaO2等)及导电材料(例如,功函数金属,如钨、铝、铜、钌等)。功函数金属的例子包括TiN、TiC、TiAl、TaN、TaC等。
图15显示依据本发明的一个或多个态样,在凹入142该金属栅极以后,图14的结构的一个例子。应当注意,通过用该初始底部鳍片部分高度预定义该栅极长度,该实际栅极长度将不受如图15中所示的不均匀替代栅极凹槽的影响。
图16显示依据本发明的一个或多个态样,在用介电材料144填充因凹入142该金属栅极而形成的开口区域(图15,141)以后,图15的结构的一个例子。
图17显示依据本发明的一个或多个态样,在形成至金属栅极146、源极(148或150)及漏极(150、148)以后,图16的结构的一个例子。
在第一态样中,上面揭露一种方法。该方法包括:提供上方具有底部源/漏层的半导体衬底,在该底部源/漏层上方形成垂直沟道,形成包覆该垂直沟道的伪栅极,以及分别围绕该垂直沟道的顶部及底部形成底部间隙壁层及顶部间隙壁层,该垂直沟道的剩余中心部分定义固定垂直沟道高度。该方法还包括在该垂直沟道上方形成顶部源/漏层,用金属栅极替代该伪栅极,以及形成自对准源、漏及栅极接触。
在一个例子中,形成该伪栅极可包括例如在该初始半导体结构的水平表面上形成第一硬掩膜层,在该第一硬掩膜层上方并沿着该一个或多个鳍片的垂直侧面形成共形介电层,以及邻近该共形介电层的垂直部分形成伪栅极。在一个例子中,形成该第一硬掩膜层可包括例如使用气体团簇离子束制程。在一个例子中,形成该第一硬掩膜层可包括例如形成该第一硬掩膜层至约5纳米至约15纳米的高度。
在一个例子中,在该第一态样的该方法中形成该垂直沟道可包括例如在该底部源/漏层上方形成鳍片,该鳍片包括由半导体沟道材料构成的底部以及由牺牲外延半导体材料构成的顶部。在一个例子中,形成该伪栅极可包括例如用第二硬掩膜层替代该伪栅极的顶部;移除该伪栅极的部分,该第二硬掩膜层的相应部分以及该一个或多个鳍片的该顶部,以暴露该共形介电层的部分以及该一个或多个鳍片的该底部;移除该共形介电层的该暴露部分,以部分暴露该一个或多个鳍片的该底部的侧面;以及邻近剩余伪栅极层的内外侧形成垂直硬掩膜层。在一个例子中,移除该伪栅极的部分可包括例如移除该伪栅极的不均匀部分。
在一个例子中,移除该一个或多个鳍片的该顶部可包括例如相对该一个或多个鳍片的该底部具有选择性的自该鳍片的移除。
在一个例子中,在该垂直沟道上方形成顶部源/漏层可包括例如在该部分暴露的一个或多个鳍片上方形成第二掺杂源/漏半导体材料层并用介电材料填充该结构的开口部分,以及凹入除用于该填充的该介电材料以外的所有材料。
在一个例子中,该方法还可包括例如用介电材料填充因凹入金属栅极堆叠而形成的该金属栅极堆叠的开口区域。
在第二态样中,上面揭露一种半导体结构。该半导体结构包括:半导体衬底,位于该半导体衬底上方的第一垂直晶体管的底部源/漏层,位于该源/漏层上方的垂直沟道,以及包覆该垂直沟道的金属栅极,该垂直沟道在与该金属栅极之间的接口处相对该金属栅极具有固定高度。该半导体结构还包括位于该垂直沟道上方的顶部源/漏层,以及至各该顶部及底部源/漏层及该栅极的自对准接触。
在一个例子中,该金属栅极可例如在该垂直沟道内的该接口以外不具有高度均匀性。
在一个例子中,该第二态样的该半导体衬底还可包括例如围绕该金属栅极的硬掩膜材料。
在一个例子中,该第二态样的该半导体衬底还可包括例如第二垂直晶体管,其具有与该第一垂直晶体管的固定高度垂直沟道不同的固定高度垂直沟道。在一个例子中,该第二垂直晶体管的金属栅极可具有例如均匀的高度。
尽管本文已说明并显示本发明的数个态样,但本领域的技术人员可实施替代态样来达到相同的目的。因此,所附权利要求意图涵盖落入本发明的真实精神及范围内的所有此类替代态样。

Claims (15)

1.一种方法,包括:
提供半导体衬底,其上方具有底部源/漏层;
在该底部源/漏层上方形成垂直沟道;
形成包覆该垂直沟道的伪栅极;
分别围绕该垂直沟道的顶部及底部形成底部间隙壁层及顶部间隙壁层,该垂直沟道的剩余中心部分定义固定垂直沟道高度;
在该垂直沟道上方形成顶部源/漏层;
用金属栅极替代该伪栅极;以及
形成自对准源、漏及栅极接触。
2.如权利要求1所述的方法,其中,形成该伪栅极包括:
在初始半导体结构的水平表面上形成第一硬掩膜层;
在该第一硬掩膜层上方并沿着至少一个鳍片的垂直侧面形成共形介电层;以及
邻近该共形介电层的垂直部分形成伪栅极。
3.如权利要求2所述的方法,其中,形成该第一硬掩膜层包括使用气体团簇离子束制程。
4.如权利要求3所述的方法,其中,形成该第一硬掩膜层包括形成该第一硬掩膜层至约5纳米至约15纳米的高度。
5.如权利要求1所述的方法,其中,形成该垂直沟道包括在该底部源/漏层上方形成鳍片,该鳍片包括半导体沟道材料的底部以及牺牲外延半导体材料的顶部。
6.如权利要求5所述的方法,其中,形成该伪栅极包括:
用第二硬掩膜层替代该伪栅极的顶部;
移除该伪栅极的部分,该第二硬掩膜层的相应部分以及至少一个鳍片的该顶部,以暴露共形介电层的部分以及该至少一个鳍片的该底部;
移除该共形介电层的该暴露部分,以部分暴露该至少一个鳍片的该底部的侧面;以及
邻近剩余伪栅极层的内外侧形成垂直硬掩膜层。
7.如权利要求6所述的方法,其中,移除该伪栅极的部分包括移除该伪栅极的不均匀部分。
8.如权利要求6所述的方法,其中,移除该至少一个鳍片的该顶部包括相对该至少一个鳍片的该底部具有选择性的自该鳍片的移除。
9.如权利要求6所述的方法,其中,在该垂直沟道上方形成顶部源/漏层包括:
在该部分暴露的至少一个鳍片上方形成第二掺杂源/漏半导体材料层并用介电材料填充结构的开口部分;以及
凹入除用于该填充的该介电材料以外的所有材料。
10.如权利要求9所述的方法,还包括用介电材料填充因凹入金属栅极堆叠而形成的该金属栅极堆叠的开口区域。
11.一种半导体结构,包括:
半导体衬底;
第一垂直晶体管的底部源/漏层,位于该半导体衬底上方;
垂直沟道,位于该源/漏层上方;
金属栅极,包覆该垂直沟道,该垂直沟道在与该金属栅极之间的接口处相对该金属栅极具有固定高度;
顶部源/漏层,位于该垂直沟道上方;以及
至各该顶部及底部源/漏层及该栅极的自对准接触。
12.如权利要求11所述的半导体衬底,其中,该金属栅极在该垂直沟道内的该接口以外不具有高度均匀性。
13.如权利要求11所述的半导体衬底,还包括围绕该金属栅极的硬掩膜材料。
14.如权利要求11所述的半导体衬底,还包括第二垂直晶体管,其具有与该第一垂直晶体管的固定高度垂直沟道不同的固定高度垂直沟道。
15.如权利要求14所述的半导体衬底,其中,该第二垂直晶体管的金属栅极具有均匀高度。
CN201710912237.0A 2016-09-29 2017-09-29 在垂直晶体管替代栅极流程中控制自对准栅极长度 Active CN107887327B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/280,451 US10199480B2 (en) 2016-09-29 2016-09-29 Controlling self-aligned gate length in vertical transistor replacement gate flow
US15/280,451 2016-09-29

Publications (2)

Publication Number Publication Date
CN107887327A true CN107887327A (zh) 2018-04-06
CN107887327B CN107887327B (zh) 2021-11-05

Family

ID=61685720

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710912237.0A Active CN107887327B (zh) 2016-09-29 2017-09-29 在垂直晶体管替代栅极流程中控制自对准栅极长度

Country Status (3)

Country Link
US (1) US10199480B2 (zh)
CN (1) CN107887327B (zh)
TW (1) TWI647815B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109326650A (zh) * 2018-10-10 2019-02-12 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
US10177037B2 (en) * 2017-04-25 2019-01-08 Globalfoundries Inc. Methods of forming a CT pillar between gate structures in a semiconductor
US10672888B2 (en) * 2017-08-21 2020-06-02 International Business Machines Corporation Vertical transistors having improved gate length control
US10553708B2 (en) * 2017-08-29 2020-02-04 International Business Machines Corporation Twin gate tunnel field-effect transistor (FET)
US10593753B2 (en) * 2018-07-10 2020-03-17 International Business Machines Corporation Vertical field effect transistor (VFET) device with controllable top spacer
US10658246B2 (en) 2018-08-27 2020-05-19 International Business Machines Corporation Self-aligned vertical fin field effect transistor with replacement gate structure
US11355644B2 (en) 2018-09-25 2022-06-07 International Business Machines Corporation Vertical field effect transistors with self aligned contacts
CN109411538B (zh) * 2018-10-08 2020-09-11 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US11152507B2 (en) 2018-11-07 2021-10-19 International Business Machines Corporation Vertical field-effect transistor with a bottom contact that exhibits low electrical resistance
US11081566B2 (en) 2019-03-15 2021-08-03 International Business Machines Corporation Self-aligned contacts for vertical field effect transistors
US11177367B2 (en) 2020-01-15 2021-11-16 International Business Machines Corporation Self-aligned bottom spacer EPI last flow for VTFET

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080296677A1 (en) * 2007-05-31 2008-12-04 Elpida Memory, Inc Semiconductor device and method of manufacturing the same and data processing system
US20090057780A1 (en) * 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
CN103187260A (zh) * 2011-12-31 2013-07-03 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US20140042504A1 (en) * 2011-11-09 2014-02-13 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
CN104600072A (zh) * 2013-10-30 2015-05-06 上海华虹宏力半导体制造有限公司 掩模型只读存储器及其制造方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197641B1 (en) * 1998-08-28 2001-03-06 Lucent Technologies Inc. Process for fabricating vertical transistors
US6358664B1 (en) 2000-09-15 2002-03-19 3M Innovative Properties Company Electronically active primer layers for thermal patterning of materials for electronic devices
US6664143B2 (en) 2000-11-22 2003-12-16 North Carolina State University Methods of fabricating vertical field effect transistors by conformal channel layer deposition on sidewalls
US6406962B1 (en) 2001-01-17 2002-06-18 International Business Machines Corporation Vertical trench-formed dual-gate FET device structure and method for creation
US6798017B2 (en) * 2001-08-31 2004-09-28 International Business Machines Corporation Vertical dual gate field effect transistor
US6690040B2 (en) 2001-09-10 2004-02-10 Agere Systems Inc. Vertical replacement-gate junction field-effect transistor
US6709904B2 (en) 2001-09-28 2004-03-23 Agere Systems Inc. Vertical replacement-gate silicon-on-insulator transistor
US8796764B2 (en) * 2008-09-30 2014-08-05 Infineon Technologies Austria Ag Semiconductor device comprising trench gate and buried source electrodes
US8906759B2 (en) * 2013-02-25 2014-12-09 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
WO2015199644A1 (en) * 2014-06-23 2015-12-30 Intel Corporation Techniques for forming vertical transistor architectures
US10134863B2 (en) * 2015-06-15 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical semiconductor device structure and method of forming
US9368572B1 (en) * 2015-11-21 2016-06-14 International Business Machines Corporation Vertical transistor with air-gap spacer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080296677A1 (en) * 2007-05-31 2008-12-04 Elpida Memory, Inc Semiconductor device and method of manufacturing the same and data processing system
US20090057780A1 (en) * 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US20140042504A1 (en) * 2011-11-09 2014-02-13 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
CN103187260A (zh) * 2011-12-31 2013-07-03 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
CN104600072A (zh) * 2013-10-30 2015-05-06 上海华虹宏力半导体制造有限公司 掩模型只读存储器及其制造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109326650A (zh) * 2018-10-10 2019-02-12 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
WO2020073459A1 (zh) * 2018-10-10 2020-04-16 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US11404568B2 (en) 2018-10-10 2022-08-02 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device having interface structure

Also Published As

Publication number Publication date
US20180090598A1 (en) 2018-03-29
CN107887327B (zh) 2021-11-05
US10199480B2 (en) 2019-02-05
TWI647815B (zh) 2019-01-11
TW201814884A (zh) 2018-04-16

Similar Documents

Publication Publication Date Title
CN107887327A (zh) 在垂直晶体管替代栅极流程中控制自对准栅极长度
CN105845578B (zh) 半导体器件及其制造方法
US8823060B1 (en) Method for inducing strain in FinFET channels
US9472407B2 (en) Replacement metal gate FinFET
US20160155800A1 (en) Fabricating stacked nanowire, field-effect transistors
CN109727916A (zh) 半导体装置的制造方法
CN107154432A (zh) 半导体器件及其制造方法
US9379221B1 (en) Bottom-up metal gate formation on replacement metal gate finFET devices
US9793401B1 (en) Vertical field effect transistor including extension and stressors
US10128333B2 (en) FinFET with isolated source and drain
US9647062B2 (en) Silicon nanowire formation in replacement metal gate process
US9123654B2 (en) Trilayer SIT process with transfer layer for FINFET patterning
TW201715618A (zh) 在主動區中具有閘極接觸之三維半導體電晶體
US10038096B2 (en) Three-dimensional finFET transistor with portion(s) of the fin channel removed in gate-last flow
US20170358656A1 (en) Fin cut without residual fin defects
US9153693B2 (en) FinFET gate with insulated vias and method of making same
US20160172380A1 (en) Modified fin cut after epitaxial growth
US9871139B2 (en) Sacrificial epitaxial gate stressors
CN106068556B (zh) 模制电介质纳米结构
US20230178618A1 (en) Channel protection of gate-all-around devices for performance optimization
US9401408B2 (en) Confined early epitaxy with local interconnect capability
US9362279B1 (en) Contact formation for semiconductor device
CN111199884B (zh) 一种半导体器件及其形成方法
US20210074830A1 (en) Isolated Vertical Nanowire
US11804522B2 (en) Sidewall epitaxy encapsulation for nanosheet I/O device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right

Effective date of registration: 20210305

Address after: California, USA

Applicant after: Lattice chip (USA) integrated circuit technology Co.,Ltd.

Address before: Greater Cayman Islands, British Cayman Islands

Applicant before: GF

TA01 Transfer of patent application right
GR01 Patent grant
GR01 Patent grant