CN107810483A - 验证基于块的处理器中的跳转目标 - Google Patents

验证基于块的处理器中的跳转目标 Download PDF

Info

Publication number
CN107810483A
CN107810483A CN201680037473.6A CN201680037473A CN107810483A CN 107810483 A CN107810483 A CN 107810483A CN 201680037473 A CN201680037473 A CN 201680037473A CN 107810483 A CN107810483 A CN 107810483A
Authority
CN
China
Prior art keywords
instruction
block
target location
jump
instruction block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680037473.6A
Other languages
English (en)
Other versions
CN107810483B (zh
Inventor
D·C·伯格
A·L·史密斯
J·S·格雷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Microsoft Technology Licensing LLC
Original Assignee
Microsoft Technology Licensing LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Microsoft Technology Licensing LLC filed Critical Microsoft Technology Licensing LLC
Publication of CN107810483A publication Critical patent/CN107810483A/zh
Application granted granted Critical
Publication of CN107810483B publication Critical patent/CN107810483B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3842Speculative instruction execution
    • G06F9/3844Speculative instruction execution using dynamic branch prediction, e.g. using branch history tables
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3804Instruction prefetching for branches, e.g. hedging, branch folding
    • G06F9/3806Instruction prefetching for branches, e.g. hedging, branch folding using address prediction, e.g. return stack, branch history buffer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/32Address formation of the next instruction, e.g. by incrementing the instruction counter
    • G06F9/322Address formation of the next instruction, e.g. by incrementing the instruction counter for non-sequential address
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/383Operand prefetching
    • G06F9/3832Value prediction for operands; operand history buffers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3854Instruction completion, e.g. retiring, committing or graduating
    • G06F9/3858Result writeback, i.e. updating the architectural state or memory
    • G06F9/38585Result writeback, i.e. updating the architectural state or memory with result invalidation, e.g. nullification
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3861Recovery, e.g. branch miss-prediction, exception handling

Abstract

公开了用于在基于块的处理器架构中实现不良跳跃检测的装置和方法。在所公开的技术的一个示例中,基于块的处理器包括:被配置为取回和执行原子指令块的一个或多个基于块的处理内核;以及被配置为至少部分基于接收到指示从指令块之一接收到目标位置的跳转信号来验证目标位置是有效跳转目标的控制单元。

Description

验证基于块的处理器中的跳转目标
背景技术
微处理器已经从由于由摩尔定律预测的持续晶体管扩展而导致的晶体管计数、集成电路成本、制造资本、时钟频率和能量效率的持续增益中受益,其中相关联的处理器指令集架构(ISA)几乎没有变化。然而,过去40年来推动半导体产业发展的光刻扩展带来的好处正在放缓甚至扭转。多年来,精简指令集计算(RISC)架构一直是处理器设计的主要范例。无序超标量实现在面积或性能方面没有表现出持续改进。因此,在处理器ISA方面有充分的机会来改善以扩展性能改善。
发明内容
公开了用于在基于块的处理器指令集架构(BBISA)中验证为跳转指令计算的目标位置的方法、装置和计算机可读存储设备。所描述的用于提高处理器性能的解决方案的技术和工具可以分开实现,或者以各种组合的方式实现。如将在下面更充分地描述的,所描述的技术和工具可以在数字信号处理器、微处理器、专用集成电路(ASIC)、软处理器(例如,在使用可重配置逻辑的现场可编程门阵列(FPGA)中实现的微处理器内核)、可编程逻辑或其他合适的逻辑电路中实现。本领域普通技术人员将容易明白,所公开的技术可以在各种计算平台中实现,包括但不限于服务器、大型机、蜂窝电话、智能电话、PDA、手持式设备、手持式计算机、PDA、触摸屏平板设备、平板计算机、可穿戴计算机和笔记本计算机。
在所公开的技术的一些示例中,一种装置包括基于块的处理器,基于块的处理器包括:被配置为取回和执行指令块的一个或多个处理内核;以及被配置为至少部分基于接收指示从指令块之一接收到目标位置的跳转信号来验证目标位置是有效跳转目标的控制单元。在一些示例中,跳转信号包括目标位置的存储器地址或对存储器地址的引用。
提供本“发明内容”是为了以简化的形式介绍将在以下“具体实施方式”中进一步描述的概念的选择。本发明内容部分不旨在标识所要求保护的主题的关键特征或必要特征,也不旨在用于限制所要求保护的主题的范围。从下面参考附图进行的详细描述中,公开的主题的前述和其他目的、特征和优点将变得更加明显。
附图说明
图1示出了可以在所公开的技术的一些示例中使用的基于块的处理器内核。
图2示出了可以在所公开的技术的一些示例中使用的基于块的处理器内核。
图3示出了根据所公开的技术的某些示例的多个指令块。
图4示出了可以在所公开技术的一些实例中使用的源代码和指令块的部分。
图5示出了可以在所公开的技术的一些示例中使用的基于块的处理器头部和指令。
图6示出了可以在所公开的技术的一些示例中使用的源代码和汇编代码的示例。
图7示出了可以在所公开的技术的一些示例中使用的多个指令块。
图8是可以在所公开技术的一些示例中使用的指令块的另一示例。
图9是示出可以在所公开的技术的一些示例中使用的基于块的处理器和存储器的图。
图10是示出可以在所公开的技术的一些示例中执行的验证目标位置的示例方法的流程图。
图11是示出可以在所公开的技术的一些示例中执行的验证目标位置的示例方法的流程图。
图12是示出用于实现所公开的技术的一些实施例的合适的计算环境的框图。
具体实施方式
I.一般考虑
本公开在不旨在以任何方式进行限制的代表性实施例的上下文中阐述。
如在本申请中使用的,除非上下文另有明确规定,否则单数形式“一(a)”、“一个(an)”和“该(the)”包括复数形式。另外,术语“包含(includes)”表示“包括(comprises)”。此外,术语“耦合(coupled)”涵盖将物品耦合或链接在一起的机械、电、磁、光学以及其他实际方式,并且不排除在耦合的项目之间存在中间元件。此外,如本文中使用的,术语“和/或”是指短语中的任何一个项目或项目的组合。
本文中描述的系统、方法和装置不应当被解释为以任何方式进行限制。相反,本公开单独地以及以彼此的各种组合和子组合涉及各种公开的实施例的所有新颖的和非显而易见的特征和方面。所公开的系统、方法和装置不限于任何特定方面或特征或其组合,所公开的事物和方法也不要求存在任何一个或多个特定优点或解决问题。此外,所公开的实施例的任何特征或方面可以以彼此的各种组合和子组合来使用。
尽管为了方便呈现而以特定的顺序次序描述了所公开的一些方法的操作,但是应当理解,除非下面阐述的特定语言需要特定的次序,否则这种描述方式包括重新排列。例如,顺序地描述的操作在一些情况下可以被重新排列或同时执行。而且,为了简单起见,附图可能并未示出所公开的事物和方法可以与其他事物和方法结合使用的各种方式。另外,本描述有时使用诸如“产生”、“生成”、“显示”、“接收”、“发出”、“验证”、“执行”和“发起”等术语来描述所公开的方法。这些术语是对所执行的实际操作的高级描述。对应于这些术语的实际操作将根据具体实现而变化,并且容易被本领域普通技术人员辨别。
本文中参考本公开的装置或方法呈现的操作理论、科学原理或其他理论描述已经被提供用于更好理解的目的,并且不旨在限制范围。所附权利要求书中的装置和方法不限于以这种操作理论描述的方式起作用的那些装置和方法。
所公开的方法中的任一个可以被实现为存储在一个或多个计算机可读介质(例如,计算机可读介质,诸如一个或多个光学介质盘、易失性存储器部件(诸如DRAM或SRAM)、或非易失性存储器部件(诸如硬盘驱动器))上并且在计算机(例如,任何市售计算机,包括智能电话或包括计算硬件的其他移动设备)上执行的计算机可执行指令。用于实现所公开的技术的任何计算机可执行指令、以及在实现所公开的实施例期间创建和使用的任何数据可以存储在一个或多个计算机可读介质(例如,计算机可读存储介质)上。计算机可执行指令可以是例如专用软件应用或者经由web浏览器或其他软件应用(诸如远程计算应用)访问或下载的软件应用的部分。例如,这样的软件可以在单个本地计算机上(例如,作为在任何合适的市售计算机上执行的代理)或者使用一个或多个网络计算机在网络环境(例如,经由因特网、广域网、局域网、客户端服务器网络(诸如云计算网络)或其他这样的网络)中执行。
为了清楚起见,仅描述了基于软件的实现的某些选定方面。本领域公知的其他细节被省略。例如,应当理解,所公开的技术不限于任何特定的计算机语言或程序。例如,所公开的技术可以通过用C、C++、Java或任何其他合适的编程语言编写的软件来实现。同样,所公开的技术不限于任何特定的计算机或硬件类型。合适的计算机和硬件的某些细节是众所周知的,并且不需要在本公开中详细阐述。
此外,任何基于软件的实施例(例如,包括用于引起计算机执行任何所公开的方法的计算机可执行指令)可以通过合适的通信手段来上载、下载或远程访问。这样的合适的通信手段包括例如因特网、万维网、内联网、软件应用、电缆(包括光纤电缆)、磁通信、电磁通信(包括RF、微波和红外通信)、电子通信、或其他这样的通信手段。
II.所公开的技术的简介
超标量无序微架构使用大量的电路资源来重命名寄存器,以数据流顺序调度指令,在错误推测之后进行清理,并且为了精确的异常而有序地回收(retire)结果。这包括昂贵的电路,诸如深度多端口的寄存器文件、用于数据流指令调度唤醒的多端口内容可访问存储器(CAM)、以及很多宽总线多路复用器和旁路网络、所有这些都是资源密集型的。例如,多读多写RAM的基于FPGA的实现通常需要复制、多周期操作、时钟倍频、存储体交错、实时值表和其他昂贵的技术的混合。
所公开的技术可以通过应用包括高指令级并行(ILP)、无序(OoO)、超标量执行在内的技术来实现性能增强,同时避免处理器硬件和相关联软件方面的实质复杂度和开销。在所公开的技术的一些示例中,基于块的处理器使用被设计用于面积和能量高效的高ILP执行的EDGE ISA。在一些示例中,使用EDGE架构和相关联的编译器消除(finesse away)很多寄存器重命名、CAM和复杂度。
在所公开的技术的某些示例中,EDGE ISA可以消除对一个或多个复杂架构特征的需要,包括寄存器重命名、数据流分析、错误推测恢复和有序回收,同时支持主流编程语言,诸如C和C++。在所公开技术的某些示例中,基于块的处理器执行多个两个或更多个指令作为原子块。基于块的指令可以用于以更加明确的方式来表达程序数据流和/或指令流的语义,允许改善编译器和处理器性能。在所公开的技术的某些示例中,显式数据图执行指令集架构(EDGE ISA)包括关于可以用于改善对错误控制流指令的检测的程序控制流的信息,从而提高性能,节省存储器资源,和/或并且节约能量。
在所公开的技术的一些示例中,在指令块内组织的指令被原子地取回、执行和提交。块内部的指令以数据流顺序执行,这减少或消除了使用寄存器重命名和提供功率高效的OoO执行。可以使用编译器以通过ISA显式地编码数据依赖关系,从而减少或消除处理器内核控制逻辑在运行时重新发现依赖关系的负担。使用谓词执行,可以将块内跳转转换为数据流指令,并且除了存储器依赖关系之外的依赖关系可以被限制为直接数据依赖关系。所公开的目标形式编码技术允许块内的指令经由操作数缓冲器直接传送它们的操作数,减少了对耗电的多端口物理寄存器文件的访问。
在指令块之间,指令可以使用存储器和寄存器进行通信。因此,通过利用混合数据流执行模型,EDGE架构仍然可以支持命令式编程语言和顺序存储器语义,但是理想地还享受具有近乎按顺序的功率效率和复杂度的无序执行的益处。
如相关领域的普通技术人员将容易理解的,所公开的技术的一些实现可能具有各种面积和性能折衷。
III.示例性的基于块的处理器
图1是可以在所公开技术的一些示例中实现的基于块的处理器100的框图10。处理器100被配置为根据描述处理器操作的多个方面的指令集架构(ISA)来执行原子指令块,ISA包括寄存器模型、由基于块的指令执行的多个定义的操作、存储器模型、中断和其他架构功能。基于块的处理器包括多个处理内核110,包括处理器内核111。
如图1所示,处理器内核经由内核互连120彼此连接。内核互连120在内核110中的个体内核110、存储器接口140和输入/输出(I/O)接口145之间承载数据和控制信号。内核互连120可以使用电、光、磁或其他合适的通信技术传输和接收信号,并且可以取决于特定的期望配置提供根据多个不同拓扑结构布置的通信连接。例如,内核互连120可以具有交叉开关、总线、点对点总线或其他合适的拓扑结构。在一些示例中,内核110中的任何一个可以连接到任何其他内核,而在其他示例中,一些内核仅连接到其他内核的子集。例如,每个内核可以仅连接到最近的4、8或20个相邻内核。内核互连120可以用于传输输入/输出数据去往和来自内核,以及传输控制信号和其他信息信号去往和来自内核。例如,每个内核110可以接收和传输指示由相应内核当前正在执行的指令的执行状态的信号量。在一些示例中,内核互连120被实现为连接内核110和存储器系统的导线,而在其他示例中,内核互连可以包括用于在一个或多个互连导线上复用数据信号的电路、交换机和/或路由部件(包括有源信号驱动器和中继器)、或其他合适的电路。在所公开的技术的一些示例中,在处理器100内部和去往/来自处理器100传输的信号不限于全摆幅电数字信号,但是处理器可以被配置为包括用于传输数据和控制信号的差分信号、脉冲信号或其他合适的信号。
在图1的示例中,处理器的存储器接口140包括用于连接到附加存储器的接口逻辑,例如位于除了处理器100之外的另一集成电路上的存储器。如图1所示,外部存储器系统150包括L2高速缓存152和主存储器155。在一些示例中,L2高速缓存可以使用静态RAM(SRAM)来实现,并且主存储器155可以使用动态RAM(DRAM)来实现。在一些示例中,存储器系统150被包括在与处理器100的其他部件相同的集成电路上。在一些示例中,存储器接口140包括允许在存储器中传送数据块而不使用一个或多个寄存器文件和/或处理器100的直接存储器访问(DMA)控制器。在一些示例中,存储器接口管理虚拟存储器的分配,以扩展可用主存储器155。
I/O接口145包括用于接收和向其他部件发送输入和输出信号的电路,诸如硬件中断、系统控制信号、外围接口、协处理器控制和/或数据信号(例如,用于图形处理单元、浮点协处理器、物理处理单元、数字信号处理器或其他协处理部件的信号)、时钟信号、信号量或其他合适的I/O信号。I/O信号可以是同步的或异步的。在一些示例中,全部或部分I/O接口使用存储器映射I/O技术结合存储器接口140来实现。
基于块的处理器100还可以包括控制单元160。控制单元160监督处理器100的操作。可以由控制单元160执行的操作可以包括分配和解除分配内核用于执行指令处理,在任何内核、寄存器文件、存储器接口140和/或I/O接口145之间控制输入数据和输出数据,修改执行流程,以及验证跳转指令、指令头部和控制流程中的其他更改的一个或多个目标位置。控制单元160也可以处理硬件中断,并且控制特殊系统寄存器的读写,例如存储在一个或多个寄存器文件中的程序计数器。在所公开的技术的一些示例中,控制单元160至少部分使用一个或多个处理内核110来实现,而在其他示例中,控制单元160使用基于非基于块的处理内核(例如,耦合到存储器的通用RISC处理内核)来实现。在一些示例中,控制单元160至少部分使用硬连线有限状态机、可编程微码、可编程门阵列或其他合适的控制电路中的一个或多个来实现。在替代示例中,控制单元功能可以由一个或多个内核110执行。
在一些示例中,指令调度器206使用耦合到存储器的通用处理器来实现,存储器被配置为存储用于调度指令块的数据。在一些示例中,指令调度器206使用专用处理器或使用耦合到存储器的基于块的处理器内核来实现。在一些示例中,指令调度器206被实现为耦合到存储器的有限状态机。在一些示例中,在处理器(例如,通用处理器或基于块的处理器内核)上执行的操作系统生成可以至少部分用于利用指令调度器206来调度指令块的优先级、预测和其他数据。如相关领域的普通技术人员将容易明白的,以集成电路、可编程逻辑或其他合适的逻辑实现的其他电路结构可以用来实现用于指令调度器206的硬件。
控制单元160包括用于向处理器内核110分配指令块的调度器。如本文中使用的,调度器分配是指引导指令块的操作,包括发起指令块映射、取回、解码、执行、提交、放弃、空闲和刷新指令块。处理器内核110在指令块映射期间被分配给指令块。所述的指令操作阶段是为了说明的目的,并且在所公开的技术的一些示例中,某些操作可以被组合、省略、分离成多个操作,或者添加附加的操作。调度器
基于块的处理器100还包括时钟发生器170,其将一个或多个时钟信号分配给处理器内的各种部件(例如,内核110、互连120、存储器接口140和I/O接口145)。在所公开的技术的一些示例中,所有部件共享公共时钟,而在其他示例中,不同部件使用不同的时钟,例如具有不同时钟频率的时钟信号。在一些示例中,时钟的部分被门控以当一些处理器部件未被使用时允许功率节省。在一些示例中,时钟信号使用锁相环(PLL)来生成以生成固定的、恒定的频率和占空比的信号。接收时钟信号的电路可以在单个边缘(例如,上升沿)被触发,而在其他示例中,至少一些接收电路被上升和下降时钟边缘触发。在一些示例中,时钟信号可以光学地或无线地传输。
IV.示例性的基于块的处理器内核
图2是进一步详细描述用于基于块的处理器100的示例微架构、以及具体地可以在所公开的技术的某些示例中使用的基于块的处理器内核之一的实例的框图200。为了便于解释,示例性的基于块的处理器内核被示出为具有五个阶段:指令取回(IF)、解码(DC)、操作数取回、执行(EX)和存储器/数据访问(LS)。然而,相关领域的普通技术人员将容易理解,可以修改对所示出的微架构的修改(诸如添加/去除阶段、添加/去除执行操作的单元以及其他实现细节)以适应基于块的处理器的特定应用。
如图2所示,处理器内核111包括控制单元205,其生成控制信号以调节内核操作并且使用指令调度器206调度内核内的指令流。可以由控制单元205和/或指令调度器206执行的操作可以包括分配和解除分配内核用于执行指令处理,在任何内核、寄存器文件、存储器接口140和/或I/O接口145之间控制输入数据和输出数据。控制单元205也可以处理硬件中断,并且控制特殊系统寄存器的读写,例如存储在一个或多个寄存器文件中的程序计数器。在所公开的技术的其他示例中,控制单元205和/或指令调度器206使用非基于块的处理内核(例如,耦合到存储器的通用RISC处理内核)来实现。在一些示例中,控制单元205和/或指令调度器206至少部分使用硬连线有限状态机、可编程微码、可编程门阵列或其他合适的控制电路中的一个或多个来实现。
示例性处理器内核111包括两个指令窗口210和211,每个指令窗口可以被配置为执行指令块。在所公开的技术的一些示例中,指令块是包括指令块头部和多个一个或多个指令的基于块的处理器指令的原子集合。如将在下面进一步讨论的,指令块头部包括可以用于进一步定义指令块内的多个指令中的一个或多个指令的语义的信息。取决于所使用的特定ISA和处理器硬件,指令块头部也可以在指令的执行期间使用,并且用于通过例如允许指令和/或数据的提前取回、改进的跳转预测、推测执行、提高的能量效率和改进的代码紧凑性来提高指令块的执行性能。在其他示例中,不同数目的指令窗口是可能的,诸如一个、四个、八个或其他数目的指令窗口。
指令窗口210和211中的每个可以从连接到互连总线和指令高速缓存227的输入端口220、221和222中的一个或多个接收指令和数据,互连总线和指令高速缓存227又连接到指令解码器228和229。附加的控制信号也可以在附加的输入端口225上被接收。指令解码器228和229中的每个解码指令块的指令头部和/或指令,并且将已解码指令存储在位于每个相应的指令窗口210和211中的存储器储存库215和216内。
处理器内核111还包括耦合到L1(一级)高速缓存器235的寄存器文件230。寄存器文件230存储用于在基于块的处理器架构中定义的寄存器的数据,并且可以具有一个或多个读取端口和一个或多个写入端口。例如,寄存器文件可以包括用于将数据存储在寄存器文件中的两个或更多个写入端口,以及具有用于从寄存器文件内的个体寄存器读取数据的多个读取端口。在一些示例中,单个指令窗口(例如,指令窗口210)一次只能访问寄存器文件的一个端口,而在其他示例中,指令窗口210可以访问一个读取端口和一个写入端口,或者可以同时访问两个或多个读取端口和/或写入端口。在一些示例中,寄存器文件230可以包括64个寄存器,每个寄存器保存32位数据的字。(除非另有说明,否则本申请将32位数据称为字)。在一些示例中,寄存器文件230内的一些寄存器可以被分配给特殊目的。例如,一些寄存器可以专用为系统寄存器,其示例包括存储常数值(例如,全零字)的寄存器、指示正在执行的程序线程的当前地址的一个或多个程序计数器(PC)、物理内核号码、逻辑内核号码、内核分配拓扑、内核控制标志、处理器拓扑或其他合适的专用目的。在一些示例中,一个或每个程序计数器存在多个程序计数器寄存器,以允许跨一个或多个处理器内核和/或处理器并行执行多个执行线程。在一些示例中,程序计数器被实现为指定的存储器位置而不是寄存器文件中的寄存器。在一些示例中,系统寄存器的使用可能受操作系统或其他监督计算机指令的限制。在一些示例中,寄存器文件230被实现为触发器阵列,而在其他示例中,寄存器文件可以使用锁存器、SRAM或其他形式的存储器存储装置来实现。用于给定处理器(例如,处理器100)的ISA规范规定如何定义和使用寄存器文件230内的寄存器。
在一些示例中,处理器100包括由多个处理器内核共享的全局寄存器文件。在一些示例中,取决于处理器ISA和配置,与处理器内核相关联的个体寄存器文件可以被静态地或动态地组合以形成更大的文件。
如图2所示,指令窗口210的存储器储存库215包括多个已解码指令241、左操作数(LOP)缓冲器242、右操作数(ROP)缓冲器243以及指令记分板245。在所公开的技术的一些示例中,指令块的每个指令被分解成一行已解码指令、左和右操作数以及记分板数据,如图2所示。已解码指令241可以包括作为比特级控制信号存储的指令的部分或完全解码版本。操作数缓冲器242和243存储操作数(例如,从寄存器文件230接收的寄存器值、从存储器接收的数据、在指令内编码的立即操作数、由较早发出的指令计算的操作数或其他操作数值),直到它们相应的已解码指令已经准备好执行。指令操作数从操作数缓冲器242和243而不是寄存器文件中读取。
第二指令窗口211的存储器储存库216存储与存储器储存库215相似的指令信息(已解码指令、操作数和记分板),但是为了简单起见而在图2中未示出。根据ISA的限制并且根据控制单元205的指示,指令块可以由第二指令窗口211相对于第一指令窗口同时或顺序地执行。
在所公开的技术的一些示例中,前端流水线阶段IF和DC可以从后端流水线阶段(IS、EX、LS)解耦。控制单元可以每个时钟周期取回两个指令并且将其解码到指令窗口210和211中的每个中。控制单元205提供指令窗口数据流调度逻辑,以监测每个已解码指令的输入的就绪状态(例如,使用计分板245的每个相应指令的一个或多个谓词和一个或多个操作数)。当特定已解码指令的所有输入就绪时,指令准备好发出。控制逻辑205然后每个周期发起一个或多个下一指令(例如,编号最小的就绪指令)的执行,并且其已解码指令和输入操作数被发送到一个或多个功能单元260引用执行,已解码指令也可以编码多个就绪事件,控制逻辑205中的调度器接受这些和/或来自其他来源的事件,并且更新窗口中的其他指令的就绪状态。因此,执行继续,从处理器内核111准备好的零输入指令、零输入指令所针对的指令等开始。
已解码指令241不需要以它们被布置在指令窗口210的存储器储存库215内的相同顺序执行。而是,指令记分板245被用于跟踪已解码指令的依赖关系,并且当依赖关系已经满足时,相关联的个体已解码指令被调度用于执行。例如,当相应指令的依赖关系已经满足时,可以将对相应指令的引用推送到就绪队列上,并且指令可以从就绪队列中以先进先出(FIFO)的顺序来调度。存储在记分板245中的信息可以包括但不限于相关联的指令的执行谓词(诸如,如果谓词位是真或者假,指令是否正在等待谓词位被计算以及指令是否执行)、操作数对指令的可用性、或在执行相关联的个体指令之前所需要的其他先决条件。存储在每个指令窗口中的指令的数目通常对应于指令块内的指令的数目。在一些示例中,指令块内的指令的数目可以是32、64、128、1024或另外数目的指令。在所公开的技术的一些示例中,指令块跨处理器内核的多个指令窗口被分配。
指令可以使用位于处理器内核111内的控制单元205来分配和调度。控制单元205协调从存储器取回指令、解码指令、一旦指令被加载到相应的指令窗口中就执行指令、数据流入/流出处理器内核111、以及由处理器内核输入和输出的控制信号。例如,控制单元250可以包括用于在调度指令时使用的就绪队列,如上所述。存储在位于每个相应的指令窗口210和211中的存储器储存库215和216中的指令可以原子地执行。因此,对被执行的指令影响的可见架构状态(诸如寄存器文件230和存储器)的更新可以在内核200内本地缓冲直到指令被提交。控制单元205可以确定何时指令准备好提交,对提交逻辑进行排序,并且发出提交信号。例如,指令块的提交阶段可以在缓存所有寄存器写入被缓冲、到存储器的所有写入被缓冲和跳转目标被计算时开始。指令块可以在对可见架构状态的更新完成时提交。例如,当寄存器写入作为寄存器文件被写入、储存库被发送到加载/存储单元或存储器控制器、和提交信号被生成时,可以提交指令块。控制单元205还至少部分控制功能单元260到相应指令窗口中的每个的分配。
如图2所示,具有多个执行流水线寄存器255的第一路由器250用于将来自指令窗口210和211中的任一个的数据发送到一个或多个功能单元260,功能单元260可以包括但不限于整数ALU(算术逻辑单元)(例如,整数ALU 264和265)、浮点单元(例如,浮点ALU267)、移位/旋转逻辑(例如,桶形(barrel)移位器268)或其他合适的执行单元,其可以包括图形功能、物理功能和其他数学运算。然后,取决于正在执行的特定指令的要求,来自功能单元260的数据可以通过第二路由器270路由到输出290、291和292,路由回到操作数缓冲器(例如,LOP缓冲器242和/或ROP缓冲器243),或者反馈到另一功能单元。第二路由器270包括可以用于发出存储器指令的加载/存储队列275、将正在从内核输入或输出的数据存储到存储器的数据高速缓存277、以及加载/存储流水线寄存器278。
内核还包括用于指示例如一个或多个指令窗口215或216的所有指令的执行何时完成的控制输出295。当指令块的执行完成时,指令块被指定为“已提交”,并且来自控制输出295的信号又可以由基于块的处理器100内的其他内核和/或由控制单元160使用以发起其他指令块的调度、取回和执行。第一路由器250和第二路由器270都可以将数据发送回指令(例如,作为指令块内的其他指令的操作数)。
如相关领域的普通技术人员将容易理解的,个体内核200内的部件不限于图2中所示出的那些,而是可以根据特定应用的要求而变化。例如,取决于基于块的处理器的特定目标应用,内核可以具有更少或更多的指令窗口,单个指令解码器可以被两个或更多个指令窗口共享,并且所使用的功能单元的数目和类型可以变化。在选择资源和向资源分配指令内核时适用的其他考虑包括性能要求、能量使用要求、集成电路晶片、工艺技术和/或成本。
相关领域的普通技术人员将容易明白,通过在处理器内核110的指令窗口(例如,指令窗口210)和控制逻辑205内的资源的设计和分配,可以在处理器性能方面做出权衡。面积、时钟周期、能力和限制实质上决定了个体内核110的所实现的性能和基于块的处理器110的吞吐量。
指令调度器206可以具有不同的功能。在某些更高性能的示例中,指令调度器是高度并发的。例如,每个周期,一个或多个解码器将指令的已解码就绪状态和已解码指令写入到一个或多个指令窗口中,选择要发出的下一指令,并且作为响应,后端发送就绪事件:针对特定指令的输入槽(谓词,左操作数、右操作数等)的就绪事件、或针对所有指令的广播就绪事件。每指令就绪状态位与已解码就绪状态一起可以用于确定指令已经准备好发出。
在一些情况下,调度器206接受还没有被解码的目标指令的事件,并且还必须禁止重发已经发出的就绪指令。在一些示例中,指令可以是非谓词的,或者是谓词的(基于真或假条件)。直到谓词的指令被另一指令的谓词结果作为目标并且该结果与谓词条件相匹配时,谓词的指令才变为就绪。如果相关联的谓词不匹配,则指令永远不会发出。在一些示例中,可以推测性地发出和执行谓词的指令。在一些示例中,处理器可以随后检查推测性地发出和执行的指令被正确地推测。在一些示例中,可以重新执行错误推测的发出的指令和消耗其输出的块中指令的特定传递闭包,或者取消错误推测的副作用。在一些示例中,发现错误推测的指令导致整个指令块的完整的回滚和重新执行。
在跳转到新的指令块时,一个或多个相应的指令窗口就绪状态被清除(块复位)。但是,当指令块跳转回自己(块刷新)时,只有活动的就绪状态被清除。因此可以保留指令块的已解码就绪状态,从而不需要重新取回和解码块的指令。因此,可以使用块刷新来节省循环中的时间和能量。
V.指令块的示例流
现在转到图3的图300,示出了包括多个可变长度指令块311-314的基于块的指令流的部分310。指令流可以用于实现用户应用、系统服务或任何其他合适的用途。在图3所示的示例中,每个指令块以指令头部开始,然后是不同数目的指令。例如,指令块311包括头部320和20个指令321。所示出的特定指令头部320包括部分地控制指令块内的指令的执行并且还允许改进性能增强技术(包括例如跳转预测、推测执行、懒惰评估和/或其他技术)的多个数据字段。指令头部320还包括指示头部是指令头部而不是指令的ID位。指令头部320还包括指令块大小的指示。指令块大小可以在比1更大的指令分块(chunk)中,例如指令块内包含的4个指令分块。换言之,块的大小被移位4位,以便压缩被分配给规定指令块大小的头部空间。因此,大小值0指示最小尺寸的指令块,该指令块是随后是四个指令的块头部。在一些示例中,指令块大小被表示为字节数、字数、n字分块的数目、地址、地址偏移,或者使用用于描述指令块大小的其他合适的表达式来表示。在一些示例中,指令块大小由指令块头部和/或底部中的终止位模式指示。
指令块头部320还可以包括指示特殊指令执行要求的执行标志。例如,取决于特定的应用,对于某些指令块可以禁止跳转预测或存储器依赖关系预测。
在所公开的技术的一些示例中,指令头部320包括指示已编码数据是指令头部的一个或多个标识位。例如,在一些基于块的处理器ISA中,最低有效位空间中的单个ID位总是被设置为二进制值1以指示有效指令块的开始。在其他示例中,不同的位编码可以用于一个或多个标识位。在一些示例中,指令头部320包括指示相关联的指令块被编码的ISA的特定版本的信息。
块指令头部还可以包括用于例如跳转预测、控制流确定和/或不良跳跃检测的多个块出口类型。出口类型可以指示跳转指令的类型,例如:顺序跳转指令,其指向存储器中的下一连续指令块;偏移指令,其在相对于偏移计算的存储器地址处跳转到另一指令块;子例程调用或子例程返回。通过编码指令头部中的跳转出口类型,跳转预测器可以在相同指令块内的跳转指令已经被取回和/或解码之前至少部分开始操作。
指令块头部320还包括标识被分配给存储操作的加载存储队列标识符的存储掩码。指令块头部还可以包括写入掩码,写入掩码标识相关联的指令块将写入哪个或哪些全局寄存器。在指令块可以完成之前,相关联的寄存器文件必须接收对每个条目的写入。在一些示例中,基于块的处理器架构不仅可以包括标量指令,而且还可以包括单指令多数据(SIMD)指令,其允许在单个指令内用更大数目的数据操作数进行操作。
VI.示例块指令目标编码
图4是描绘C语言源代码的两个部分410和415及其相应的指令块420和425的示例的图400,示出了基于块的指令如何可以明确地编码其目标。在该示例中,前两个READ(读取)指令430和431分别指向ADD(相加)指令432的右(T[2R])和左(T[2L])操作数。在所示出的ISA中,读取指令是从全局寄存器文件(例如,寄存器文件160)读取的唯一指令;但是任何指令都可以指向全局寄存器文件。当ADD指令432接收到两个寄存器读取的结果时,它将变为就绪并且执行。
当TLEI(测试少于或等于立即(test-less-than-equal-immediate))指令433从ADD接收到其单个输入操作数时,它将变为就绪并且执行。测试接着产生在信道1(B[1P])上向在广播信道上监听的所有指令(其在本例中为两个谓词跳转指令(BRO_T 434和BRO_F435))广播的谓词操作数。接收到匹配谓词的跳转将被触发。
还示出了指令块420的依赖关系图440,作为指令节点的数组450及其对应的操作数目标455和456。这示出了块指令420、对应的指令窗口条目和由指令表示的底层数据流图之间的对应关系。这里已解码指令READ 430和READ 431已经准备好发出,因为它们没有输入依赖关系。当它们发出并且执行时,从寄存器R6和R7中读取的值被写入到ADD 432的右和左操作数缓冲器中,以将ADD 432的左和右操作数标记为“就绪”。结果,ADD 432指令变为就绪,发出给ALU,执行,并且总和被写入TLEI 433的左操作数。
VII.示例性的基于块的指令格式
图5是示出指令头部510、通用指令520和跳转指令530的指令格式的一般化示例的图。指令头部或指令中的每个根据位的数目来标记。例如,指令头部510包括4个32位字并且从其最低有效位(1sb)(位0)直到其最高有效位(msb)(位127)被标记。如图所示,指令头部包括写入掩码字段、存储掩码字段、多个出口类型字段、多个执行标志字段、指令块大小字段和指令头部ID位(指令头部的最低有效位)。
出口类型字段包括可以用于指示在指令块内编码的控制流指令的类型的数据。例如,出口类型字段可以指示指令块包括以下中的一个或多个:顺序跳转指令、偏移跳转指令、间接跳转指令、调用指令和/或返回指令。在一些示例中,跳转指令可以是用于在包括相对和/或绝对地址的指令块之间传送控制流并且使用条件或无条件谓词的任何控制流指令。除了确定隐式控制流指令之外,出口类型字段还可以用于跳转预测和推测执行。在一些示例中,可以在出口类型字段中编码多达六种出口类型,并且可以通过例如检查指令块中的控制流指令来确定字段与对应的显式或隐式控制流指令之间的对应关系。
所示出的通用块指令520被存储为一个32位字并且包括操作码字段、谓词字段、广播ID字段(BID)、第一目标字段(T1)和第二目标字段(T2)。对于消费者多于目标字段的指令,编译器可以使用移动指令构建扇出树,也可以将高扇出指令分配给广播。广播支持通过轻量级网络将操作数发送到内核中的任意数目的消费者指令。广播标识符可以在通用块指令520中被编码。
虽然由通用指令520概述的通用指令格式可以表示由基于块的处理器处理的一些或全部指令,但是本领域技术人员将容易理解,即使对于ISA的特定示例,一个或多个指令字段可能偏离特定指令的通用格式。操作码字段规定由指令520执行的一个或多个操作,诸如存储器读取/写入、寄存器加载/存储、加、减、乘、除、移位、旋转、系统操作或其他合适的指令。谓词字段规定指令执行的条件。例如,谓词字段可以规定值“真”,并且只有在对应的条件标志匹配规定的谓词值的情况下才执行指令。在一些示例中,谓词字段至少部分规定哪个用于比较谓词,而在其他示例中,执行基于由先前指令设置的标志(例如,指令块中的先前指令)。在一些示例中,谓词字段可以规定指令总是或永远不会被执行。因此,通过减少跳转指令的数目,使用谓词字段可以实现更密集的目标代码、提高的能量效率和改进的处理器性能。
目标字段T1和T2规定基于块的指令的结果被发送到的指令。例如,指令槽5处的ADD指令可以规定其计算结果将被发送到槽3和10处的指令。取决于特定指令和ISA,所示出的目标字段中的一个或两个可以被其他信息替代,例如,第一目标字段T1可以被立即操作数、附加的操作码、指定的两个目标等来代替。
跳转指令530包括操作码字段、谓词字段、广播ID字段(BID)和偏移字段。操作码和谓词字段的格式和功能与关于通用指令描述的相似。偏移可以以四个指令的组为单位来表示,从而扩展了可以执行跳转的存储器地址范围。通用指令520和跳转指令530所示出的谓词可以用于避免指令块内的附加跳转。例如,特定指令的执行可以基于先前指令的结果(例如,两个操作数的比较)。如果谓词为假,则指令将不提交由特定指令计算的值。如果谓词值与所需要的谓词不匹配,则指令不会发出。例如,如果BRO_F(谓词的错误)指令发送错误的谓词值,则其就会发出。
应当容易理解,如本文中使用的,术语“跳转指令”不限于将程序执行改变为相对存储器位置,而是还包括跳转到绝对或符号存储器位置、子例程调用和返回、以及可以修改执行流程的其他指令。在一些示例中,执行流程通过改变系统寄存器(例如,程序计数器PC或指令指针)的值来修改,而在其他示例中,执行流程可以通过修改存储在存储器中的指定位置处的值来改变。在一些示例中,跳转寄存器跳转指令用于跳转到存储在寄存器中的存储器位置。在一些示例中,子例程调用和返回分别使用跳转和链接以及跳转寄存器指令来实现。
VIII.与基于块的处理器一起使用的示例源代码、汇编代码和对象代码
图6示出了可以由基于块的处理器编译和执行的多个指令块的程序代码的示例。示出了C语言源代码610的一小部分。C代码610包括多个符号,包括a、z和jump_table、if/else语句、for循环以及通过jump_table的调用。C代码610可以由用于基于块的处理器的合适的编译器来编译,该编译器产生包括所示出的中间代码620的部分的中间代码作为第一遍。应当注意,C代码610包括编程错误,因为针对i的for测试循环小于或等于num_func,这将导致jump_table数组的未分配部分被访问和调用。
中间代码620的所示出的部分包括多个标签:L0、L1、L2和L3,每个标签对应于指令块的开始。中间代码620还包括多个中间指令,其中操作数仍然被映射到存在于C代码610中的符号名称(例如,a、z和jump_table)。中间值被分配给临时操作数(例如,t1、t2、t3等),当生成最终汇编代码630时这些临时操作数将被重新分配给指令目标。中间代码620还包括将在最终汇编代码630中被分配广播值的多个谓词p1和p2。
编译器生成最终汇编代码630,该汇编代码又将被用于生成用于执行的机器代码(目标代码)。如图所示,最终汇编代码630具有被映射到每个指令块(0,1,2,3,...)内的槽的指令,并且还具有到全局寄存器的源代码符号。此外,临时操作数和谓词已经分别映射到指令目标和广播谓词。因此,最终汇编代码630处于被映射到基于块的处理器的机器代码指令的状态。
图7描绘了已经从图6的最终汇编代码630生成并且存储在存储器中的多个指令块710-713。还示出了偏移为2的jump_table数组所指向的另一指令块715。图7所示的机器代码仅用于说明目的,而并非包括将在完整编译的目标代码集中出现的所有机器代码。图7中的目标代码被示出为从存储器位置0x00100000开始存储作为多个32位字。从右到左,头部的第一字包括单个ID位(位[0],值为1)、5位大小字段(位[5:1],值为00001)(其指示指令块的大小)、8个字(用于存储7个指令)和2个出口类型字段(位[16:14],值为010;以及位[19:17],值为010)(这两个字段是这个指令块内的两个跳转指令的偏移出口类型)。这些示例的机器代码值是二进制格式。
因此,通过为原子指令块提供指令块头部,可以通过基于块的处理器(例如,推测性执行和/或跳转预测)来实现某些性能改进。图7所示的指令块头部包括存储掩码和写入掩码,这也允许在特定指令块之间进一步预取、高速缓存和存储结果。存储掩码和写入掩码也可以由控制逻辑使用以确定何时可以提交指令块,即确定特定指令块内的某些指令的执行可以并且实际上应当完成,并且结果被提交给存储器和/或寄存器文件。
如图7所示,跳转指令的目标位置可以表示为从指令块的开始的偏移。在指令块710中,例如,br_t跳转指令的目标位置是到标记L1的离开第一跳转指令的头部的正的三个4字块的偏移。br_f跳转指令的目标位置是到标签L2的正的五个4字的指令分块的偏移。表达与指令块的开始处的跳转偏移通常会更高效,但是在一些示例中,偏移可以表示为例如与存储器中的特定跳转指令位置的偏移、以及与这些br_t和br_f指令的存储器位置0x100009或0x10000A的偏移。仅示出了跳转偏移的最低有效位,但是跳转偏移通常是例如21位的有符号整数,这允许直接跳转到超过32百万个地址位置。在其他示例中,取决于特定的ISA,跳转偏移字段可以更短或更长。
取决于指令块的大小、指令块内的任何跳转指令的出口类型、指令块内的存储器储存库和/或由指令块写入的任何寄存器,其他三个指令块711-713和715具有不同的头部。头部信息的概要在以下表1中总结:
表1
在所公开的技术的一些示例中,基于块的处理器也可以支持所计算的跳转指令目标位置。如图所示,当i等于2时,执行指令块713内的CALL指令720。存储在jump_table[2](0x00120000)处的存储器地址被发送到CALL指令右操作数(T[5R]),并且程序执行通过例如更新程序计数器(PC)被转移到指令块715。因此,跳转指令的目标位置不限于偏移,而是可以包括在运行时动态计算的存储器地址。
处理器内核控制单元(例如,控制单元205)和/或处理器内核控制单元(例如,控制单元16)可以被配置为使用本文中公开的任何技术来验证目标位置是有效的跳转目标。在目标位置被确定为有效的情况下,控制单元更新程序计数器(PC)并且执行进行到下一指令块。如果目标位置被确定为无效,则引发异常并且发起错误处理,如下面进一步讨论的。
图8是来自图7的相同汇编代码的机器代码的指令块810-813的示例,但是其包括可以使用本文中公开的方法和装置来检测的两个错误。
如图8所示,跳转指令820之一具有不在指令块的开始处的目标位置。跳转指令820具有+2的偏移,其将解析为地址0x00010,该地址是指令块中的第一指令而不是指令块的指令头部。这样的错误可能是由于例如编译器错误、通信错误、篡改、或存储跳转指令的存储介质的损坏引起的。执行从第一指令开始的指令块、或将存储在该位置处的数据解释为指令头部可能导致错误、不可预知的操作或其他硬件故障。
还在图8中示出,CALL指令830将引用无效存储器位置jump_table[4]。通过解引用jump_table[4]计算的存储器地址将导致执行从另一指令块815内的第十六(0x0F)指令开始。这个错误是由于C语言源代码610不正确地规定用于将表大小与循环索引x相比较的小于或等于操作而引起的。因此,存储在jump_table[4]处的值可以是未定义的,或者指向指令块开始处的无效存储器位置。
因此,应当避免这样的不正确的跳转指令,或者引发异常,使得操作系统或其他异常处理程序可以采取正确的动作。例如,控制单元205可以被配置为通过以下操作来验证目标位置是有效跳转目标:检查存储在目标位置处的一个或多个ID位匹配基于块的处理器的架构规范(例如,存储在目标位置的第一字节处的一个或多个ID位匹配规定的位模式),该检查如下实现:通过验证存储在目标位置处的指令块头部符合指令块头部的规范(例如,头部的所有字段符合指令头部的ISA规范),和/或通过验证目标位置在用于存储指令块的有效存储器地址处(例如,落在4字节存储器地址边界)。在一些示例中,控制单元205可以结合对应指令块内的一个或多个指令的各方面来验证指令头部的各方面(例如,写入掩码和存储掩码位对应于块中的指令中的有效字段)。
IX.示例性的基于块的处理器和存储器配置
图9是示出了包括基于块的处理器910的装置的图900,基于块的处理器910包括被配置为取回和执行指令块的一个或多个处理器内核930-937、和被配置为当从指令块之一接收到指示目标位置的跳转信号时验证跳转指令目标位置是否是有效跳转目标位置的控制单元920。所示出的基于块的处理器910具有多达八个内核,但是在其他示例中,可以具有64、512、1024或其他数目的基于块的处理器内核。基于块的处理器910耦合到包括多个指令块950-955的存储器940。在所公开的技术的一些示例中,除了或者代替使用指令块头部来指示在指令块950-954的开始处的有效目标位置,指令块地址表960可以被存储在存储器中,或者在运行时动态构建,以指示用于指令块的开始的合法地址。
如本文中使用的,术语跳转指令不限于相对跳转,除非另有说明。例如,跳转指令包括:相对于偏移值的跳转、到存储器地址的绝对跳转(跳跃)、子例程调用、子例程返回、或在基于块的处理器内改变指令执行流程的其他合适形式。在一些示例中,指令或指令块的当前地址被存储在程序计数器中,该程序计数器可以是寄存器文件内的专用寄存器或存储器中的指定存储器位置。
可以使用多个技术来验证目标位置是有效跳转目标。例如,控制单元可以被配置为通过检查存储在目标位置处的一个或多个位支持基于块的处理器的架构规范来验证目标位置是有效的。例如,在使用指令头部的实施例中,目标位置处的一个或多个位被设置为规定模式以指示目标位置是有效的。其他示例是可能的。例如,按照惯例,可以规定每个指令块以便以特定指令开始,例如从系统堆栈读取数据,清除或检查处理器标志,或其他合适的操作。在一些示例中,处理器内核或处理器的控制单元被配置为通过验证指令块头部被存储在目标位置来验证目标位置。在一些示例中,控制单元通过验证存储在目标位置处的指令块头部符合指令块头部的规范来验证目标位置。在一些示例中,控制单元被配置为验证目标位置在用于存储指令块的有效存储器地址处。例如,有效存储器地址可以在架构中以模4划分,该架构仅支持每四个存储器地址开始的指令块。在一些示例中,跳转信号通过由处理内核之一执行条件跳转指令来生成,并且目标位置由相对于条件跳转指令的存储器位置偏移来指示,或者相对于开始跳转指令所在的指令块的指令头部的存储器位置偏移来指示。在一些示例中,基于块的处理器被实现为定制集成电路、专用集成电路、现场可编程门阵列和/或片上系统模块。在所公开的技术的某些示例中,基于块的处理器也可以由通用处理器来模拟。
在所公开的技术的一些示例中,可以通过从第一块开始枚举块来得出一组有效块地址,在每个块上,将一个块的大小加上该块的开始,以确定下一块的开始。
在一些示例中,数组、散列表、布隆过滤器或其他搜索结构可以包含每个有效块的地址,并且可以通过在搜索结构中找不到跳转目标来推测到无效块的跳转。
在一些示例中,跳转目标地址上的函数可以确定跳转目标是否为有效目标。例如,通过选择具有偶校验的块地址,到具有奇校验的地址的跳转不能成为有效的块。
在一些示例中,跳转目标地址的一些属性存在于块头部中,并用于确定到无效地址的跳转。例如,跳转目标地址的奇偶校验可以被添加到块头部。
X.验证跳转指令目标位置的示例方法
图10是概述验证跳转指令的目标位置有效的示例性方法的流程图1000。
在过程框1010处,发起指令块内的跳转指令的执行。例如,当谓词指示跳转指令应当执行,并且它的操作数数据可用时,可以发起跳转指令的执行。当已经计算出目标位置时,该方法前进到过程框1020以验证跳转指令目标位置。
在过程框1020,验证目标位置以确定目标位置是否有效。例如,处理器内核中的逻辑可以基于例如与跳转指令相关联的操作数的值来确定在过程框1010处计算的跳转指令目标位置是否有效。在一些示例中,通过ISA规范,指令头部的第一位被指定为总是1。在其他示例中,可以使用多于一个位或不同的位值(0、10、010等)。
在一些示例中,目标位置至少部分通过验证以某个量为模的目标位置存储器地址为0来验证。例如,在32位字对齐架构中,检查存储器地址以确定它可以被4整除。在一些示例中,可以验证与目标位置相关联的指令头部和/或指令以确定它们确实是有效的指令。例如,位和特定字段的某些配置可以是非法的或保留的,并且在这些情况下,如果目标位置未被验证,则引发异常。如果目标位置被验证为有效,则可以允许在目标位置处取回和执行指令块。可以使用图10的示例性方法检测和验证的条件包括检测不是分块对齐的指令块,指令和/或指令块的非法编码,到寄存器位置的不良跳转,到偏移的不良跳转,到下一顺序指令块的不良跳转,或包括安全漏洞或缓冲器溢出在内的其他条件。
XI.验证跳转指令目标位置的示例方法
图11是概述检查跳转指令的有效目标位置的示例性方法的流程图1100,如可以在本技术的某些示例中执行的。在一些示例中,流程图1100中概述的方法可以至少部分使用用于控制基于块的处理器的执行流程的控制单元(例如,处理器控制单元160和/或处理器内核控制单元205)来执行。
在过程框1110处,处理器发起规定指令块内的目标位置的跳转指令的执行。例如,跳转指令可以是相对跳转、绝对跳转、子例程调用或子例程返回、或者改变指令块的指令流顺序的其他指令。跳转指令的发起包括计算跳转指令的目标位置,例如作为存储器地址。在计算目标位置之后,该方法前进到过程框1120。
在过程框1120,该方法验证跳转指令目标位置在有效存储器位置处开始。例如,根据基于块的架构的特定指令集架构,有效存储器位置可能需要被4、8、16或另一存储器对齐整除。在一些示例中,指令块可以仅存储在某个有限范围的存储器位置处。在一些示例中,指令块可以仅位于被指定为只读的存储器部分中,以防止执行数据或不可信代码。在一些示例中,取决于处理器是处于用户还是保护模式,指令块仅在位于存储器的指定部分时才被执行。在一些示例中,对于跳转指令的目标位置的其他限制可以由于各种其他原因而由指令集架构施加。如果跳转指令目标位置被确定为有效存储器位置,则该方法前进到过程框1130,否则该方法前进到过程框1160。
在过程框1130,该方法检查目标位置处或者替代地距目标位置的位置偏移处的一个或多个标识(ID)位和指令头部。例如,一些指令集架构可以具有这样的约定,即在目标位置的地址之前或之后,目标位置被偏移4、8或者一些其他数目的字。不管目标位置偏移如何,该方法检查存储在对应目标位置的存储器中的ID位的存在。例如,在一些架构中,按照约定,指令头部在指令头部的第一字的最低有效位处存储1。如本领域技术人员将容易理解的,用于ID位的其他约定是可能的,并且可以有多于一个位用于指定指令头部。如果该方法确定ID位有效,则该方法前进到过程框1140,否则该方法前进到过程框1160。
在过程框1140处,该方法检查存储在目标位置(或者距该位置的固定偏移)处的指令块头部符合基于块的处理器指令集架构规范。例如,取决于架构规范,指令块头部的字段的某些其他要求可以被施加,并且进而被检查以验证目标位置确实指向有效块头部。如果该方法确定块头部有效,则该方法前进到过程框1150。否则该方法前进到过程框1160。
在段1150,该方法发起由跳转指令目标位置规定的下一指令块的执行。在一些示例中,目标位置可以不是在跳转指令内实际规定的,而是可以通过约定(例如,存储器中下一4字节分块的存储器位置)来规定。在一些示例中,如果指令块最后缺少跳转指令,则按照约定,处理器继续执行存储器中的下一指令块。
在段1160,该方法发起跳转指令异常处理。例如,跳转指令异常处理可以包括但不限于:生成中断,将执行转移到监督过程,将执行转移到操作系统,将执行转移到跳转异常处理程序例程,发起当前程序计数器位置处的存储器内容的内核转储(dump),使用指示存在跳转指令异常的图形用户界面来发起信号或显示信息,或其他合适的异常处理技术。
XII.示例性计算环境
图12示出了其中可以实现所描述的实施例、技术和工艺(包括基于块的处理器中的不良跳转检测)的合适的计算环境1200的一般化示例。例如,计算环境1200可以实现本文中描述的用于验证跳转指令目标位置的所公开的技术。
计算环境1200不意图对本技术的使用范围或功能提出任何限制,因为技术可以在不同的通用或专用计算环境中实现。例如,所公开的技术可以用其他计算机系统配置来实现,包括手持设备、多处理器系统、可编程消费电子产品、网络PC、小型计算机、大型计算机等。所公开的技术也可以在其中任务由通过通信网络链接的远程处理设备执行的分布式计算环境中实践。在分布式计算环境中,程序模块(包括用于基于块的指令块的可执行指令)可以位于本地和远程存储器存储设备中。
参考图12,计算环境1200包括至少一个基于块的处理单元1210和存储器1220。在图12中,这个最基本的配置1230被包括在虚线内。基于块的处理单元1210执行计算机可执行指令并且可以是真实或虚拟处理器。在多处理系统中,多个处理单元执行计算机可执行指令以增加处理能力,并且因此多个处理器可以同时运行。存储器1220可以是易失性存储器(例如,寄存器、高速缓存、RAM)、非易失性存储器(例如,ROM、EEPROM、闪存等)或这两者的某种组合。存储器1220存储例如可以实现本文中描述的技术的软件1280、图像和视频。计算环境可以具有附加功能。例如,计算环境1200包括存储装置1240、一个或多个输入设备1250、一个或多个输出设备1260以及一个或多个通信连接1270。诸如总线、控制器或网络等互连机构(未示出)互连计算环境1200的部件。通常,操作系统软件(未示出)为在计算环境1200中执行的其他软件提供操作环境,并且协调计算环境1200的部件的活动。
存储装置1240可以是可移除的或不可移除的,并且包括可以用于存储信息并且可以在计算环境1200内被访问的磁盘、磁带或磁带盒、CD-ROM、CD-RW、DVD或任何其他介质。存储装置1240存储用于软件1280的指令、插件数据和消息,其可以用于实现本文中描述的技术。
一个或多个输入设备1250可以是向计算环境1200提供输入的触摸输入设备,诸如键盘、小键盘、鼠标、触摸屏显示器、笔或轨迹球、语音输入设备、扫描设备或另一设备。对于音频,一个或多个输入设备1250可以是接受模拟或数字形式的音频输入的声卡或类似设备、或者将音频样本提供给计算环境1200的CD-ROM读取器。一个或多个输出设备1260可以是显示器、打印机、扬声器、CD刻录机或提供来自计算环境1200的输出的另一设备。
一个或多个通信连接1270使得能够通过通信介质(例如,连接网络)与另一计算实体通信。通信介质在调制数据信号中传送诸如计算机可执行指令、压缩图形信息、视频或其他数据等信息。一个或多个通信连接1270不限于有线连接(例如,兆位或千兆位以太网、无限带宽技术、电气或光纤连接的光纤信道),而且还包括无线技术(例如,通过蓝牙的RF连接、WiFi(IEEE 802.11a/b/n)、WiMax、蜂窝、卫星、激光、红外)和用于为所公开的代理、网桥和代理数据消费者提供网络连接的其他合适的通信连接。在虚拟主机环境中,一个或多个通信连接可以是由虚拟主机提供的虚拟化网络连接。
所公开的方法的一些实施例可以使用在计算云1290中实现所公开的技术的全部或部分的计算机可执行指令来执行。例如,所公开的编译器和/或基于块的处理器服务器位于计算环境1230中,或者所公开的编译器可以在位于计算云1290中的服务器上执行。在一些示例中,所公开的编译器在传统的中央处理单元(例如,RISC或CISC处理器)上执行。
计算机可读介质是可以在计算环境1200内被访问的任何可用介质。作为示例而非限制,在计算环境1200中,计算机可读介质包括存储器1220和/或存储装置1240。如应当容易理解的,术语计算机可读存储介质包括用于数据存储的介质,诸如存储器1220和存储装置1240,而不是诸如调制数据信号等传输介质。
XIII.所公开的技术的附加示例
根据上面讨论的示例,本文中讨论了所公开的主题的附加示例。
在所公开的技术的一些示例中,一种控制单元被配置为通过检查存储在目标位置处的一个或多个位符合用于基于块的处理器的架构规范来验证目标位置。在一些示例中,控制单元被配置为通过验证指令块头部被存储在目标位置处来验证目标位置。在一些示例中,控制单元被配置为通过验证存储在目标位置处的指令块头部符合用于指令块头部的规范来验证目标位置。在一些示例中,控制单元被配置为验证目标位置在用于存储指令块的有效存储器地址处。
在所公开的技术的一些示例中,一种装置,包括基于块的处理器,基于块的处理器包括被配置为取回和执行指令块的一个或多个处理内核;以及控制单元,控制单元被配置为至少部分基于接收指示从指令块之一接收到目标位置的跳转信号来验证目标位置是有效跳转目标。在一些示例中,跳转信号包括目标位置的存储器地址。
在所公开的技术的一些示例中,一种在基于块的处理器中检测无效跳转指令的方法,其包括:发起指令块内的跳转指令的执行,跳转指令规定要从其取回下一指令块用于由基于块的处理器执行的目标位置,并且通过比较指定指令块的数据以确定目标位置是否有效来验证目标位置。在一些示例中,隐式地指定跳转(例如,基于指令块头部的一个或多个位)。在一些示例中,跳转指令不显式地规定目标位置(例如,返回或调用指令)。
在所公开的技术的一些示例中,存储用于基于块的处理器的计算机可执行指令的一个或多个非暂态计算机可读存储介质包括一个或多个指令块,每个指令块包括指令块头部,指令块头部包括标识符(ID)字段,ID字段包括将指令块头部中的相应的每个标识为合法的跳转目标位置的一个或多个ID位。
在所公开的技术的一些示例中,编译器发出包括指令块头部和在基于块的处理器上可执行的多个两个或更多个指令的指令块。
在所公开技术的某些示例中,一种装置包括具有被配置为取回和执行指令块的一个或多个处理内核的基于块的处理器。该装置还包括被配置为至少部分基于接收到指示从指令块之一接收到目标位置的跳转信号来验证目标位置是有效跳转目标的控制单元。
在该装置的一些示例中,跳转信号包括目标位置的存储器地址。在一些示例中,控制单元还被配置为通过检查存储在目标位置处的一个或多个位匹配用于基于块的处理器的架构规范来验证目标位置。在一些示例中,控制单元还被配置为通过验证指令块头部被存储在目标位置处来验证目标位置。
在一些示例中,控制单元被配置为通过验证存储在目标位置处的指令块头部符合用于指令块头部的规范来验证目标位置。在一些示例中,控制单元还被配置为验证目标位置处于用于存储指令块的有效存储器地址处。在一些示例中,跳转信号通过由处理内核之一执行条件跳转指令来生成,并且目标位置由相对于条件跳转指令的存储器位置来指示。在一些示例中,基于块的处理器被实现为现场可编程门阵列、专用集成电路和/或集成电路。在一些示例中,控制单元通过验证目标位置处的第一位是一(1)来验证目标位置。
在所公开技术的一些示例中,一种在基于块的处理器中检测无效跳转指令的方法,其包括:发起指令块内的跳转指令的执行,跳转指令规定要从其取回下一指令块用于由基于块的处理器执行的目标位置,并且通过比较指定指令块的数据以确定目标位置是否有效来验证目标位置。
在检测无效跳转指令的方法的一些示例中,验证包括检查在目标位置处或在距目标位置的固定偏移处的位模式。在一些示例中,验证包括检查存储在目标位置处或距目标位置的固定偏移处的头部符合用于基于块的处理器的指令集规范。在一些示例中,指定指令块的数据被存储为指令块内的指令块头部。在一些示例中,指定指令块的数据被存储在包括多个有效指令块地址的表中。在一些示例中,该方法还包括:如果目标位置被确定为有效,则发起下一指令块的执行。在一些示例中,该方法还包括:如果目标位置被确定为无效,则执行用于处理跳转地址异常的指令。
在所公开技术的一些示例中,一种或多种存储用于基于块的处理器的计算机可执行指令的计算机可读存储介质,计算机可执行指令包括一个或多个指令块,每个指令块包括指令块头部,指令块头部包括标识符(ID)字段,ID字段包括将相应的每个指令块头部标识为合法的跳转目标位置的一个或多个ID位。在一些示例中,指令块包括两个或更多个指令,每个指令包括在对应位置的位作为将相应指令标识为不合法的跳转目标位置的指令头部ID字段。在一些示例中,ID字段是指令块头部的第一字的最低有效位,并且其中第一字的最低有效位是一(1)。
在一些示例中,一个或多个计算机可读存储介质存储用于基于块的处理器的计算机可执行指令,计算机可执行指令在被执行时引起处理器执行本文中公开的任何方法。
鉴于可以应用所公开的主题的原理的很多可能的实施例,应当认识到,所说明的实施例仅是优选示例,并且不应当被视为将权利要求的范围限制为那些优选示例。而是,所要求保护的主题的范围由以下权利要求限定。因此要求保护在这些权利要求的范围内的所有发明。

Claims (15)

1.一种包括基于块的处理器的装置,所述基于块的处理器包括:
一个或多个处理内核,其被配置为取回和执行指令块;以及
控制单元,其被配置为至少部分基于接收指示从所述指令块之一接收到目标位置的跳转信号来验证所述目标位置是有效跳转目标。
2.根据权利要求1所述的装置,其中所述控制单元被配置为通过检查被存储在所述目标位置处的一个或多个位匹配用于所述基于块的处理器的架构规范来验证所述目标位置。
3.根据权利要求1或权利要求2中一项所述的装置,其中所述控制单元被配置为通过验证指令块头部被存储在所述目标位置处来验证所述目标位置。
4.根据权利要求1到3中任一项所述的装置,其中所述控制单元被配置为验证所述目标位置在用于存储指令块的有效存储器地址处。
5.根据权利要求1到4中任一项所述的装置,其中所述跳转信号通过由所述处理内核之一执行条件跳转指令来生成,并且其中所述目标位置由相对于所述条件跳转指令的存储器位置来指示。
6.根据权利要求1到5中任一项所述的装置,其中所述控制单元通过验证所述目标位置处的第一位为一(1)来验证所述目标位置。
7.一种在基于块的处理器中检测无效跳转指令的方法,所述方法包括:
发起指令块内的跳转指令的执行,所述跳转指令规定要从其取回下一指令块以用于由所述基于块的处理器执行的目标位置;以及
验证所述目标位置,所述验证包括比较指定所述指令块的数据以确定所述目标位置是否有效。
8.根据权利要求7所述的方法,其中所述验证包括检查在所述目标位置处或在距所述目标位置的固定偏移处的位模式。
9.根据权利要求7或权利要求8中一项所述的方法,其中所述验证包括检查被存储在所述目标位置处或距所述目标位置的固定偏移处的头部符合用于所述基于块的处理器的指令集规范。
10.根据权利要求7到9中任一项所述的方法,其中指定所述指令块的所述数据被存储为所述指令块内的指令块头部。
11.根据权利要求7到10中任一项所述的方法,还包括:如果所述目标位置被确定为无效,则执行用于处理跳转地址异常的指令。
12.一种或多种计算机可读存储介质,存储有用于基于块的处理器的计算机可执行指令,所述计算机可执行指令在被执行时使得所述处理器执行根据权利要求7到11中任一项所述的方法。
13.一种或多种计算机可读存储介质,存储有用于基于块的处理器的计算机可执行指令,所述计算机可执行指令包括:
一个或多个指令块,所述指令块中的每个指令块包括指令块头部,所述指令块头部包括标识符(ID)字段,所述ID字段包括将所述指令块头部中的相应的每个指令块头部标识为合法的跳转目标位置的一个或多个ID位。
14.根据权利要求13所述的一种或多种计算机可读存储介质,其中所述指令块包括两个或更多个指令,所述指令中的每个指令包括在相应位置中的位作为将所述相应指令标识为不合法的跳转目标位置的指令头部ID字段。
15.根据权利要求13或权利要求14中一项所述的一种或多种计算机可读存储介质,其中所述ID字段是所述指令块头部的第一字的最低有效位,并且其中所述第一字的最低有效位是一(1)。
CN201680037473.6A 2015-06-26 2016-06-23 验证处理器中的跳转目标的装置、存储设备和方法 Active CN107810483B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/752,356 2015-06-26
US14/752,356 US10409606B2 (en) 2015-06-26 2015-06-26 Verifying branch targets
PCT/US2016/038848 WO2016210025A1 (en) 2015-06-26 2016-06-23 Verifying branch targets in a block based processor

Publications (2)

Publication Number Publication Date
CN107810483A true CN107810483A (zh) 2018-03-16
CN107810483B CN107810483B (zh) 2021-06-18

Family

ID=56550318

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680037473.6A Active CN107810483B (zh) 2015-06-26 2016-06-23 验证处理器中的跳转目标的装置、存储设备和方法

Country Status (5)

Country Link
US (1) US10409606B2 (zh)
EP (1) EP3314437B1 (zh)
CN (1) CN107810483B (zh)
TW (1) TW201712544A (zh)
WO (1) WO2016210025A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111124493A (zh) * 2019-12-17 2020-05-08 天津国芯科技有限公司 一种cpu中用于减少程序跳转开销的方法及电路
CN111858166A (zh) * 2020-07-06 2020-10-30 河南信大网御科技有限公司 可纠错快速拟态裁决方法、裁决器、架构及介质
CN115017058A (zh) * 2022-08-04 2022-09-06 飞腾信息技术有限公司 一种内核模块的测试方法、装置、电子设备及存储介质

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11755484B2 (en) 2015-06-26 2023-09-12 Microsoft Technology Licensing, Llc Instruction block allocation
US10175988B2 (en) 2015-06-26 2019-01-08 Microsoft Technology Licensing, Llc Explicit instruction scheduler state information for a processor
US10169044B2 (en) 2015-06-26 2019-01-01 Microsoft Technology Licensing, Llc Processing an encoding format field to interpret header information regarding a group of instructions
US10346168B2 (en) 2015-06-26 2019-07-09 Microsoft Technology Licensing, Llc Decoupled processor instruction window and operand buffer
US9946548B2 (en) 2015-06-26 2018-04-17 Microsoft Technology Licensing, Llc Age-based management of instruction blocks in a processor instruction window
US10409599B2 (en) 2015-06-26 2019-09-10 Microsoft Technology Licensing, Llc Decoding information about a group of instructions including a size of the group of instructions
US10191747B2 (en) 2015-06-26 2019-01-29 Microsoft Technology Licensing, Llc Locking operand values for groups of instructions executed atomically
US9940136B2 (en) 2015-06-26 2018-04-10 Microsoft Technology Licensing, Llc Reuse of decoded instructions
US9952867B2 (en) 2015-06-26 2018-04-24 Microsoft Technology Licensing, Llc Mapping instruction blocks based on block size
US10776115B2 (en) 2015-09-19 2020-09-15 Microsoft Technology Licensing, Llc Debug support for block-based processor
US10095519B2 (en) 2015-09-19 2018-10-09 Microsoft Technology Licensing, Llc Instruction block address register
US10409600B1 (en) * 2016-01-25 2019-09-10 Apple Inc. Return-oriented programming (ROP)/jump oriented programming (JOP) attack protection
US10275365B1 (en) 2016-03-21 2019-04-30 Apple Inc. Cryptographic signatures for capability-based addressing
US10691455B2 (en) 2017-05-23 2020-06-23 Samsung Electronics Co., Ltd Power saving branch modes in hardware
US10824429B2 (en) 2018-09-19 2020-11-03 Microsoft Technology Licensing, Llc Commit logic and precise exceptions in explicit dataflow graph execution architectures
US10666261B2 (en) * 2018-12-28 2020-05-26 Intel Corporation High-speed core interconnect for multi-die programmable logic devices
US11275527B1 (en) * 2019-06-11 2022-03-15 Western Digital Technologies, Inc. Execution condition embedded in a command or a request to storage device
CN112468259B (zh) * 2019-09-09 2023-12-15 华为技术有限公司 一种通信方法、设备及存储介质
US11941253B2 (en) * 2021-04-22 2024-03-26 EMC IP Holding Company, LLC Storage system and method using persistent memory

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040034852A1 (en) * 2002-08-19 2004-02-19 Semiconductor Technology Academic Research Center Simulation method and simulation system of instruction scheduling
CN101410795A (zh) * 2003-10-24 2009-04-15 微软公司 可伸缩的对监控规则的同步与异步处理
US8510596B1 (en) * 2006-02-09 2013-08-13 Virsec Systems, Inc. System and methods for run time detection and correction of memory corruption
CN103473508A (zh) * 2013-09-17 2013-12-25 肖楠 操作系统内核运行时安全验证方法
US20140082327A1 (en) * 2012-09-14 2014-03-20 The Research Foundation Of State University Of New York Continuous run-time validation of program execution: a practical approach
CN103744644A (zh) * 2014-01-13 2014-04-23 上海交通大学 采用四核结构搭建的四核处理器系统及数据交换方法

Family Cites Families (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US677105A (en) 1900-11-15 1901-06-25 James Samuel Shaffer Scissors-sharpener.
US802073A (en) 1905-07-24 1905-10-17 George Gipson Coldiron Cotton-chopper.
US851043A (en) 1907-02-02 1907-04-23 Ernest Matthias Adalbert Feuerheerd Rotatable grate.
US877059A (en) 1907-06-05 1908-01-21 Henry C Eich Adjusting device for locomotive driving-boxes.
US909941A (en) 1908-09-22 1909-01-19 David L Roscoe Gun-sight.
US957435A (en) 1909-09-10 1910-05-10 Joseph W Ady Journal-bearing.
US993640A (en) 1910-03-25 1911-05-30 George W Barnard Manure-spreader.
US5142631A (en) 1989-02-03 1992-08-25 Digital Equipment Corporation System for queuing individual read or write mask and generating respective composite mask for controlling access to general purpose register
US5333280A (en) 1990-04-06 1994-07-26 Nec Corporation Parallel pipelined instruction processing system for very long instruction word
US5551033A (en) 1991-05-17 1996-08-27 Zenith Data Systems Corporation Apparatus for maintaining one interrupt mask register in conformity with another in a manner invisible to an executing program
US5363495A (en) 1991-08-26 1994-11-08 International Business Machines Corporation Data processing system with multiple execution units capable of executing instructions out of sequence
US5333283A (en) 1991-10-29 1994-07-26 International Business Machines Corporation Case block table for predicting the outcome of blocks of conditional branches having a common operand
WO1993020505A2 (en) 1992-03-31 1993-10-14 Seiko Epson Corporation Superscalar risc instruction scheduling
EP0583089B1 (en) 1992-08-12 2000-01-26 Advanced Micro Devices, Inc. Instruction decoder
US6735685B1 (en) 1992-09-29 2004-05-11 Seiko Epson Corporation System and method for handling load and/or store operations in a superscalar microprocessor
US5628021A (en) 1992-12-31 1997-05-06 Seiko Epson Corporation System and method for assigning tags to control instruction processing in a superscalar processor
US5999737A (en) 1994-03-01 1999-12-07 Digital Equipment Corporation Link time optimization via dead code elimination, code motion, code partitioning, code grouping, loop analysis with code motion, loop invariant analysis and active variable to register analysis
WO1996007139A1 (en) 1994-09-01 1996-03-07 Mcalpine Gary L A multi-port memory system including read and write buffer interfaces
US5666506A (en) 1994-10-24 1997-09-09 International Business Machines Corporation Apparatus to dynamically control the out-of-order execution of load/store instructions in a processor capable of dispatchng, issuing and executing multiple instructions in a single processor cycle
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
US6112019A (en) 1995-06-12 2000-08-29 Georgia Tech Research Corp. Distributed instruction queue
US5790822A (en) 1996-03-21 1998-08-04 Intel Corporation Method and apparatus for providing a re-ordered instruction cache in a pipelined microprocessor
US5920724A (en) 1996-03-28 1999-07-06 Intel Corporation Software pipelining a hyperblock loop
US5799167A (en) 1996-05-15 1998-08-25 Hewlett-Packard Company Instruction nullification system and method for a processor that executes instructions out of order
US5796997A (en) 1996-05-15 1998-08-18 Hewlett-Packard Company Fast nullify system and method for transforming a nullify function into a select function
US5903750A (en) 1996-11-20 1999-05-11 Institute For The Development Of Emerging Architectures, L.L.P. Dynamic branch prediction for branch instructions with multiple targets
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
US5845102A (en) 1997-03-03 1998-12-01 Advanced Micro Devices, Inc. Determining microcode entry points and prefix bytes using a parallel logic technique
US6286135B1 (en) 1997-03-26 2001-09-04 Hewlett-Packard Company Cost-sensitive SSA-based strength reduction algorithm for a machine with predication support and segmented addresses
US5983337A (en) 1997-06-12 1999-11-09 Advanced Micro Devices, Inc. Apparatus and method for patching an instruction by providing a substitute instruction or instructions from an external memory responsive to detecting an opcode of the instruction
US5845103A (en) 1997-06-13 1998-12-01 Wisconsin Alumni Research Foundation Computer with dynamic instruction reuse
US6044222A (en) 1997-06-23 2000-03-28 International Business Machines Corporation System, method, and program product for loop instruction scheduling hardware lookahead
US5943501A (en) 1997-06-27 1999-08-24 Wisconsin Alumni Research Foundation Multiple processor, distributed memory computer with out-of-order processing
US5930158A (en) 1997-07-02 1999-07-27 Creative Technology, Ltd Processor with instruction set for audio effects
US7272703B2 (en) 1997-08-01 2007-09-18 Micron Technology, Inc. Program controlled embedded-DRAM-DSP architecture and methods
CN2306094Y (zh) 1997-08-19 1999-02-03 苏逸隆 铝水保温炉
US6185675B1 (en) 1997-10-24 2001-02-06 Advanced Micro Devices, Inc. Basic block oriented trace cache utilizing a basic block sequence buffer to indicate program order of cached basic blocks
US6182210B1 (en) 1997-12-16 2001-01-30 Intel Corporation Processor having multiple program counters and trace buffers outside an execution pipeline
US6058438A (en) 1998-02-06 2000-05-02 Hewlett-Packard Company Method and apparatus for performing high speed data transfers between a host memory and a geometry accelerator of a graphics machine
US6164841A (en) 1998-05-04 2000-12-26 Hewlett-Packard Company Method, apparatus, and product for dynamic software code translation system
US6988183B1 (en) 1998-06-26 2006-01-17 Derek Chi-Lan Wong Methods for increasing instruction-level parallelism in microprocessors and digital system
US6212622B1 (en) 1998-08-24 2001-04-03 Advanced Micro Devices, Inc. Mechanism for load block on store address generation
US6564940B2 (en) 1998-09-30 2003-05-20 The Procter & Gamble Company Electric toothbrush
EP0992894A1 (en) 1998-10-06 2000-04-12 Texas Instruments Inc. Apparatus and method for loop execution
US6275919B1 (en) 1998-10-15 2001-08-14 Creative Technology Ltd. Memory storage and retrieval with multiple hashing functions
US7529907B2 (en) 1998-12-16 2009-05-05 Mips Technologies, Inc. Method and apparatus for improved computer load and store operations
JP3565314B2 (ja) 1998-12-17 2004-09-15 富士通株式会社 分岐命令実行制御装置
US6477683B1 (en) 1999-02-05 2002-11-05 Tensilica, Inc. Automated processor generation system for designing a configurable processor and method for the same
GB2348305A (en) 1999-03-24 2000-09-27 Int Computers Ltd Instruction execution mechanism
US6453344B1 (en) 1999-03-31 2002-09-17 Amdahl Corporation Multiprocessor servers with controlled numbered of CPUs
US6438671B1 (en) 1999-07-01 2002-08-20 International Business Machines Corporation Generating partition corresponding real address in partitioned mode supporting system
US6523110B1 (en) 1999-07-23 2003-02-18 International Business Machines Corporation Decoupled fetch-execute engine with static branch prediction support
US6513109B1 (en) 1999-08-31 2003-01-28 International Business Machines Corporation Method and apparatus for implementing execution predicates in a computer processing system
JP2001092662A (ja) 1999-09-22 2001-04-06 Toshiba Corp プロセッサコア及びこれを用いたプロセッサ
US6651125B2 (en) 1999-09-28 2003-11-18 International Business Machines Corporation Processing channel subsystem pending I/O work queues based on priorities
US6986137B1 (en) 1999-09-28 2006-01-10 International Business Machines Corporation Method, system and program products for managing logical processors of a computing environment
US7051188B1 (en) 1999-09-28 2006-05-23 International Business Machines Corporation Dynamically redistributing shareable resources of a computing environment to manage the workload of that environment
JP2003511754A (ja) 1999-10-01 2003-03-25 サン・マイクロシステムズ・インコーポレイテッド 投機的なかつ順不同(out−of−order)のロードの場合に正確なトラップ処理をするための方法
EP1102163A3 (en) 1999-11-15 2005-06-29 Texas Instruments Incorporated Microprocessor with improved instruction set architecture
US6779100B1 (en) 1999-12-17 2004-08-17 Hewlett-Packard Development Company, L.P. Method and device for address translation for compressed instructions
US6732203B2 (en) 2000-01-31 2004-05-04 Intel Corporation Selectively multiplexing memory coupling global bus data bits to narrower functional unit coupling local bus
US6725335B2 (en) 2000-02-09 2004-04-20 Hewlett-Packard Development Company, L.P. Method and system for fast unlinking of a linked branch in a caching dynamic translator
US6732260B1 (en) 2000-03-06 2004-05-04 Intel Corporation Presbyopic branch target prefetch method and apparatus
US6918032B1 (en) 2000-07-06 2005-07-12 Intel Corporation Hardware predication for conditional instruction path branching
US6934254B2 (en) 2001-01-18 2005-08-23 Motorola, Inc. Method and apparatus for dynamically allocating resources in a communication system
US7032217B2 (en) 2001-03-26 2006-04-18 Intel Corporation Method and system for collaborative profiling for continuous detection of profile phase transitions
US6957435B2 (en) 2001-04-19 2005-10-18 International Business Machines Corporation Method and apparatus for allocating processor resources in a logically partitioned computer system
US7453899B1 (en) 2001-05-08 2008-11-18 Lsi Corporation Field programmable network application specific integrated circuit and a method of operation thereof
US6965982B2 (en) 2001-06-29 2005-11-15 International Business Machines Corporation Multithreaded processor efficiency by pre-fetching instructions for a scheduled thread
US7002956B2 (en) 2001-07-05 2006-02-21 International Business Machines Corporation Network addressing method and system for localizing access to network resources in a computer network
US7353287B2 (en) 2001-09-26 2008-04-01 Adobe Systems Incorporated Marked foreign data blocks
WO2003038645A2 (en) 2001-10-31 2003-05-08 University Of Texas A scalable processing architecture
EP1313012A1 (en) 2001-11-15 2003-05-21 Texas Instruments France Java DSP acceleration by byte-code optimization
US7228402B2 (en) 2002-01-02 2007-06-05 Intel Corporation Predicate register file write by an instruction with a pending instruction having data dependency
US7055021B2 (en) 2002-02-05 2006-05-30 Sun Microsystems, Inc. Out-of-order processor that reduces mis-speculation using a replay scoreboard
US6877059B2 (en) 2002-03-29 2005-04-05 Emc Corporation Communications architecture for a high throughput storage processor
WO2003088048A1 (en) 2002-04-08 2003-10-23 University Of Texas System Non-uniform cache apparatus, systems, and methods
WO2004001584A2 (en) 2002-06-24 2003-12-31 Ante Vista Gmbh A method for executing structured symbolic machine code on a microprocessor
JP3804941B2 (ja) 2002-06-28 2006-08-02 富士通株式会社 命令フェッチ制御装置
US6934828B2 (en) 2002-09-17 2005-08-23 Intel Corporation Decoupling floating point linear address
US7299458B2 (en) 2002-10-31 2007-11-20 Src Computers, Inc. System and method for converting control flow graph representations to control-dataflow graph representations
US20040123078A1 (en) 2002-12-24 2004-06-24 Hum Herbert H Method and apparatus for processing a load-lock instruction using a scoreboard mechanism
US20040139299A1 (en) 2003-01-14 2004-07-15 International Business Machines Corporation Operand forwarding in a superscalar processor
US7210127B1 (en) 2003-04-03 2007-04-24 Sun Microsystems Methods and apparatus for executing instructions in parallel
US6996698B2 (en) 2003-05-12 2006-02-07 International Business Machines Corporation Blocking processing restrictions based on addresses
US7284100B2 (en) 2003-05-12 2007-10-16 International Business Machines Corporation Invalidating storage, clearing buffer entries, and an instruction therefor
US7207038B2 (en) 2003-08-29 2007-04-17 Nokia Corporation Constructing control flows graphs of binary executable programs at post-link time
US7310722B2 (en) 2003-12-18 2007-12-18 Nvidia Corporation Across-thread out of order instruction dispatch in a multithreaded graphics processor
US8607209B2 (en) 2004-02-04 2013-12-10 Bluerisc Inc. Energy-focused compiler-assisted branch prediction
US7500087B2 (en) 2004-03-09 2009-03-03 Intel Corporation Synchronization of parallel processes using speculative execution of synchronization instructions
EP1731998A1 (en) 2004-03-29 2006-12-13 Kyoto University Data processing device, data processing program, and recording medium containing the data processing program
US7392524B2 (en) 2004-04-06 2008-06-24 International Business Machines Corporation Method, system, and storage medium for managing computer processing functions
US7334143B2 (en) 2004-04-19 2008-02-19 Hewlett-Packard Development Company, L.P. Computer power conservation apparatus and method that enables less speculative execution during light processor load based on a branch confidence threshold value
US7571284B1 (en) 2004-06-30 2009-08-04 Sun Microsystems, Inc. Out-of-order memory transactions in a fine-grain multithreaded/multi-core processor
US7873776B2 (en) 2004-06-30 2011-01-18 Oracle America, Inc. Multiple-core processor with support for multiple virtual processors
US7685354B1 (en) 2004-06-30 2010-03-23 Sun Microsystems, Inc. Multiple-core processor with flexible mapping of processor cores to cache banks
US8230252B2 (en) 2004-07-20 2012-07-24 Hewlett-Packard Development Company, L.P. Time of day response
US8166282B2 (en) 2004-07-21 2012-04-24 Intel Corporation Multi-version register file for multithreading processors with live-in precomputation
US7606995B2 (en) 2004-07-23 2009-10-20 Hewlett-Packard Development Company, L.P. Allocating resources to partitions in a partitionable computer
US7747992B2 (en) 2004-08-23 2010-06-29 Intel Corporation Methods and apparatus for creating software basic block layouts
JP4345630B2 (ja) 2004-09-29 2009-10-14 ソニー株式会社 情報処理装置、割り込み処理制御方法、並びにコンピュータ・プログラム
JP4982971B2 (ja) 2004-09-29 2012-07-25 ソニー株式会社 情報処理装置、プロセス制御方法、並びにコンピュータ・プログラム
US7552318B2 (en) 2004-12-17 2009-06-23 International Business Machines Corporation Branch lookahead prefetch for microprocessors
US8756605B2 (en) 2004-12-17 2014-06-17 Oracle America, Inc. Method and apparatus for scheduling multiple threads for execution in a shared microprocessor pipeline
US8151092B2 (en) 2005-01-12 2012-04-03 International Business Machines Corporation Control signal memoization in a multiple instruction issue microprocessor
US7853777B2 (en) 2005-02-04 2010-12-14 Mips Technologies, Inc. Instruction/skid buffers in a multithreading microprocessor that store dispatched instructions to avoid re-fetching flushed instructions
US7380038B2 (en) 2005-02-04 2008-05-27 Microsoft Corporation Priority registers for biasing access to shared resources
US7805574B2 (en) 2005-02-09 2010-09-28 International Business Machines Corporation Method and cache system with soft I-MRU member protection scheme during make MRU allocation
US7152155B2 (en) 2005-02-18 2006-12-19 Qualcomm Incorporated System and method of correcting a branch misprediction
US7526633B2 (en) 2005-03-23 2009-04-28 Qualcomm Incorporated Method and system for encoding variable length packets with variable instruction sizes
US7447882B2 (en) 2005-04-20 2008-11-04 Arm Limited Context switching within a data processing system having a branch prediction mechanism
US7581082B2 (en) 2005-05-13 2009-08-25 Texas Instruments Incorporated Software source transfer selects instruction word sizes
US7673119B2 (en) 2005-05-13 2010-03-02 Texas Instruments Incorporated VLIW optional fetch packet header extends instruction set space
JP4322232B2 (ja) 2005-06-14 2009-08-26 株式会社ソニー・コンピュータエンタテインメント 情報処理装置、プロセス制御方法、並びにコンピュータ・プログラム
US7779213B2 (en) 2005-08-29 2010-08-17 The Invention Science Fund I, Inc Optimization of instruction group execution through hardware resource management policies
US7437518B2 (en) 2005-09-07 2008-10-14 Intel Corporation Hiding conflict, coherence completion and transaction ID elements of a coherence protocol
US7412353B2 (en) 2005-09-28 2008-08-12 Intel Corporation Reliable computing with a many-core processor
US7490224B2 (en) 2005-10-07 2009-02-10 International Business Machines Corporation Time-of-life counter design for handling instruction flushes from a queue
JP4720436B2 (ja) 2005-11-01 2011-07-13 株式会社日立製作所 リコンフィギュラブルプロセッサまたは装置
US7716577B2 (en) 2005-11-14 2010-05-11 Oracle America, Inc. Method and apparatus for hardware XML acceleration
KR100806274B1 (ko) 2005-12-06 2008-02-22 한국전자통신연구원 멀티 쓰레디드 프로세서 기반의 병렬 시스템을 위한 적응형실행 방법
US7475225B2 (en) 2005-12-30 2009-01-06 Intel Corporation Method and apparatus for microarchitecture partitioning of execution clusters
US7565654B2 (en) 2006-01-10 2009-07-21 National Instruments Corporation Programmatic control of tasks in a programmable logic controller
JP4923240B2 (ja) 2006-01-17 2012-04-25 国立大学法人東京工業大学 プログラム処理装置、並列処理プログラム、プログラム処理方法、並列処理コンパイラ、並列処理コンパイラを格納した記録媒体およびマルチプロセッサシステム
US7350027B2 (en) 2006-02-10 2008-03-25 International Business Machines Corporation Architectural support for thread level speculative execution
US8266413B2 (en) 2006-03-14 2012-09-11 The Board Of Trustees Of The University Of Illinois Processor architecture for multipass processing of instructions downstream of a stalled instruction
US7802073B1 (en) 2006-03-29 2010-09-21 Oracle America, Inc. Virtual core management
US20070239965A1 (en) 2006-03-31 2007-10-11 Saul Lewites Inter-partition communication
US8010953B2 (en) 2006-04-04 2011-08-30 International Business Machines Corporation Method for compiling scalar code for a single instruction multiple data (SIMD) execution engine
EP2477109B1 (en) 2006-04-12 2016-07-13 Soft Machines, Inc. Apparatus and method for processing an instruction matrix specifying parallel and dependent operations
JP4849606B2 (ja) * 2006-04-28 2012-01-11 株式会社日立製作所 制御フロー誤り検出方法、データ処理装置、及びコンパイラ
US7958396B2 (en) 2006-05-19 2011-06-07 Microsoft Corporation Watchdog processors in multicore systems
US8473724B1 (en) 2006-07-09 2013-06-25 Oracle America, Inc. Controlling operation of a processor according to execution mode of an instruction sequence
US7461241B2 (en) 2006-07-31 2008-12-02 International Business Machines Corporation Concurrent physical processor reassignment method
US8032734B2 (en) 2006-09-06 2011-10-04 Mips Technologies, Inc. Coprocessor load data queue for interfacing an out-of-order execution unit with an in-order coprocessor
EP2523101B1 (en) 2006-11-14 2014-06-04 Soft Machines, Inc. Apparatus and method for processing complex instruction formats in a multi- threaded architecture supporting various context switch modes and virtualization schemes
US8028131B2 (en) 2006-11-29 2011-09-27 Intel Corporation System and method for aggregating core-cache clusters in order to produce multi-core processors
US7624254B2 (en) 2007-01-24 2009-11-24 Qualcomm Incorporated Segmented pipeline flushing for mispredicted branches
JP4957729B2 (ja) 2007-01-25 2012-06-20 日本電気株式会社 プログラム並列化方法、プログラム並列化装置及びプログラム
US8181168B1 (en) 2007-02-07 2012-05-15 Tilera Corporation Memory access assignment for parallel processing architectures
US7719532B2 (en) 2007-02-09 2010-05-18 International Business Machines Corporation Efficient and flexible data organization for acceleration data structure nodes
JP4339371B2 (ja) 2007-03-22 2009-10-07 株式会社ソニー・コンピュータエンタテインメント 情報処理装置および情報処理方法
US20080235493A1 (en) 2007-03-23 2008-09-25 Qualcomm Incorporated Instruction communication techniques for multi-processor system
US20080250227A1 (en) 2007-04-04 2008-10-09 Linderman Michael D General Purpose Multiprocessor Programming Apparatus And Method
US7853950B2 (en) 2007-04-05 2010-12-14 International Business Machines Corporarion Executing multiple threads in a processor
US8161476B2 (en) 2007-07-04 2012-04-17 International Business Machines Corporation Processor exclusivity in a partitioned system
US8447911B2 (en) 2007-07-05 2013-05-21 Board Of Regents, University Of Texas System Unordered load/store queue
US8180997B2 (en) 2007-07-05 2012-05-15 Board Of Regents, University Of Texas System Dynamically composing processor cores to form logical processors
CN101344843B (zh) 2007-07-10 2012-11-21 北京简约纳电子有限公司 一种指令级并行处理方法
JP2009026106A (ja) 2007-07-20 2009-02-05 Oki Electric Ind Co Ltd 命令コード圧縮方法と命令フェッチ回路
US8281308B1 (en) 2007-07-23 2012-10-02 Oracle America, Inc. Virtual core remapping based on temperature
US9710384B2 (en) 2008-01-04 2017-07-18 Micron Technology, Inc. Microprocessor architecture having alternative memory access paths
US8006070B2 (en) 2007-12-05 2011-08-23 International Business Machines Corporation Method and apparatus for inhibiting fetch throttling when a processor encounters a low confidence branch instruction in an information handling system
US9529592B2 (en) 2007-12-27 2016-12-27 Intel Corporation Vector mask memory access instructions to perform individual and sequential memory access operations if an exception occurs during a full width memory access operation
US7877586B2 (en) 2008-02-01 2011-01-25 International Business Machines Corporation Branch target address cache selectively applying a delayed hit
US7885967B2 (en) 2008-05-30 2011-02-08 Red Hat, Inc. Management of large dynamic tables
US8321850B2 (en) 2008-06-06 2012-11-27 Vmware, Inc. Sharing and persisting code caches
WO2010026485A1 (en) 2008-09-08 2010-03-11 Bridgeco Ag Very long instruction word architecture with multiple data queues
WO2010043401A2 (en) 2008-10-15 2010-04-22 Martin Vorbach Data processing device
US8612698B2 (en) 2008-10-31 2013-12-17 Intel Corporation Replacement policy for hot code detection
US20100146209A1 (en) 2008-12-05 2010-06-10 Intellectual Ventures Management, Llc Method and apparatus for combining independent data caches
US8127119B2 (en) 2008-12-05 2012-02-28 The Board Of Regents Of The University Of Texas System Control-flow prediction using multiple independent predictors
US8380964B2 (en) 2009-04-03 2013-02-19 International Business Machines Corporation Processor including age tracking of issue queue instructions
US9489207B2 (en) 2009-04-14 2016-11-08 International Business Machines Corporation Processor and method for partially flushing a dispatched instruction group including a mispredicted branch
US8214831B2 (en) 2009-05-05 2012-07-03 International Business Machines Corporation Runtime dependence-aware scheduling using assist thread
US20100325395A1 (en) 2009-06-19 2010-12-23 Doug Burger Dependence prediction in a memory system
US8533436B2 (en) 2009-06-26 2013-09-10 Intel Corporation Adaptively handling remote atomic execution based upon contention prediction
US8433885B2 (en) 2009-09-09 2013-04-30 Board Of Regents Of The University Of Texas System Method, system and computer-accessible medium for providing a distributed predicate prediction
US10698859B2 (en) 2009-09-18 2020-06-30 The Board Of Regents Of The University Of Texas System Data multicasting with router replication and target instruction identification in a distributed multi-core processing architecture
US20110078424A1 (en) 2009-09-30 2011-03-31 International Business Machines Corporation Optimizing program code using branch elimination
US8464002B2 (en) 2009-10-14 2013-06-11 Board Of Regents Of The University Of Texas System Burst-based cache dead block prediction
WO2011067896A1 (en) 2009-12-02 2011-06-09 Mush-A Co., Ltd. Data processing apparatus, data processing system, packet, recording medium, storage device, and data processing method
JP5057256B2 (ja) 2009-12-02 2012-10-24 株式会社Mush−A データ処理装置、データ処理システムおよびデータ処理方法
CN102096579B (zh) 2009-12-11 2013-10-16 陈罡 一种嵌入式自适应模糊微处理器的组成与结构
EP2519876A1 (en) 2009-12-28 2012-11-07 Hyperion Core, Inc. Optimisation of loops and data flow sections
GB201001621D0 (en) 2010-02-01 2010-03-17 Univ Catholique Louvain A tile-based processor architecture model for high efficiency embedded homogenous multicore platforms
US8434074B2 (en) 2010-02-24 2013-04-30 Intel Corporation Register allocation with SIMD architecture using write masks
US8667260B2 (en) 2010-03-05 2014-03-04 International Business Machines Corporation Building approximate data dependences with a moving window
JP2011209904A (ja) 2010-03-29 2011-10-20 Sony Corp 命令フェッチ装置、および、プロセッサ
KR101664108B1 (ko) 2010-04-13 2016-10-11 삼성전자주식회사 멀티 코어의 동기화를 효율적으로 처리하기 위한 하드웨어 가속 장치 및 방법
US8290994B2 (en) 2010-05-05 2012-10-16 International Business Machines Corporation Obtaining file system view in block-level data storage systems
US8201024B2 (en) 2010-05-17 2012-06-12 Microsoft Corporation Managing memory faults
US8555038B2 (en) 2010-05-28 2013-10-08 Oracle International Corporation Processor and method providing instruction support for instructions that utilize multiple register windows
KR101731742B1 (ko) 2010-06-18 2017-04-28 보드 오브 리전츠 더 유니버시티 오브 텍사스 시스템 결합된 분기 타깃 및 프레디킷 예측
US10241799B2 (en) 2010-07-16 2019-03-26 Qualcomm Incorporated Out-of-order command execution with sliding windows to maintain completion statuses
US20120030451A1 (en) 2010-07-28 2012-02-02 Broadcom Corporation Parallel and long adaptive instruction set architecture
US9052890B2 (en) 2010-09-25 2015-06-09 Intel Corporation Execute at commit state update instructions, apparatus, methods, and systems
US8904115B2 (en) 2010-09-28 2014-12-02 Texas Instruments Incorporated Cache with multiple access pipelines
US9836304B2 (en) 2010-11-15 2017-12-05 Advanced Micro Devices, Inc. Cumulative confidence fetch throttling
US9552206B2 (en) 2010-11-18 2017-01-24 Texas Instruments Incorporated Integrated circuit with control node circuitry and processing circuitry
US8589892B2 (en) 2010-11-21 2013-11-19 International Business Machines Corporation Verification of speculative execution
US8612726B2 (en) 2010-12-07 2013-12-17 King Fahd University Of Petroleum And Minerals Multi-cycle programmable processor with FSM implemented controller selectively altering functional units datapaths based on instruction type
US9164772B2 (en) 2011-02-04 2015-10-20 Qualcomm Incorporated Hybrid queue for storing instructions from fetch queue directly in out-of-order queue or temporarily in in-order queue until space is available
KR101620676B1 (ko) 2011-03-25 2016-05-23 소프트 머신즈, 인크. 분할가능한 엔진에 의해 인스턴스화된 가상 코어를 이용한 코드 블록의 실행을 지원하는 레지스터 파일 세그먼트
US9274793B2 (en) 2011-03-25 2016-03-01 Soft Machines, Inc. Memory fragments for supporting code block execution by using virtual cores instantiated by partitionable engines
US8909941B1 (en) 2011-03-31 2014-12-09 Xilinx, Inc. Programmable integrated circuit and a method of enabling the detection of tampering with data provided to a programmable integrated circuit
WO2012136766A1 (en) 2011-04-06 2012-10-11 Telefonaktiebolaget L M Ericsson (Publ) Multi-core processors
US20130024676A1 (en) * 2011-07-19 2013-01-24 Glew Andrew F Control flow integrity
CN102306094B (zh) 2011-08-16 2014-03-26 北京北大众志微系统科技有限责任公司 实现现代处理器间接转移预测的装置及方法
US9009448B2 (en) 2011-08-17 2015-04-14 Intel Corporation Multithreaded DFA architecture for finding rules match by concurrently performing at varying input stream positions and sorting result tokens
SG11201402727WA (en) 2011-12-01 2014-06-27 Univ Singapore Polymorphic heterogeneous multi-core architecture
US9442861B2 (en) 2011-12-20 2016-09-13 Intel Corporation System and method for out-of-order prefetch instructions in an in-order pipeline
WO2013095635A1 (en) 2011-12-23 2013-06-27 Intel Corporation Instruction for merging mask patterns
US9304776B2 (en) 2012-01-31 2016-04-05 Oracle International Corporation System and method for mitigating the impact of branch misprediction when exiting spin loops
US9348775B2 (en) 2012-03-16 2016-05-24 Analog Devices, Inc. Out-of-order execution of bus transactions
US9513922B2 (en) 2012-04-20 2016-12-06 Freescale Semiconductor, Inc. Computer system and a method for generating an optimized program code
US8930678B2 (en) 2012-04-26 2015-01-06 Intel Corporation Instruction and logic to length decode X86 instructions
US8880638B2 (en) 2012-06-18 2014-11-04 International Business Machines Corporation Distributed image cache for servicing virtual resource requests in the cloud
KR101964927B1 (ko) 2012-07-17 2019-04-03 삼성전자 주식회사 캐싱 프록시 방법 및 장치
GB2505884B (en) 2012-09-12 2015-06-03 Imagination Tech Ltd Dynamically resizable circular buffers
US9400650B2 (en) 2012-09-28 2016-07-26 Intel Corporation Read and write masks update instruction for vectorization of recursive computations over interdependent data
US9710276B2 (en) 2012-11-09 2017-07-18 Advanced Micro Devices, Inc. Execution of instruction loops using an instruction buffer
US8930760B2 (en) 2012-12-17 2015-01-06 International Business Machines Corporation Validating cache coherency protocol within a processor
US9619229B2 (en) 2012-12-27 2017-04-11 Intel Corporation Collapsing of multiple nested loops, methods and instructions
US9361111B2 (en) 2013-01-09 2016-06-07 Arm Limited Tracking speculative execution of instructions for a register renaming data store
US10223124B2 (en) 2013-01-11 2019-03-05 Advanced Micro Devices, Inc. Thread selection at a processor based on branch prediction confidence
US9354884B2 (en) 2013-03-13 2016-05-31 International Business Machines Corporation Processor with hybrid pipeline capable of operating in out-of-order and in-order modes
US9632825B2 (en) 2013-03-15 2017-04-25 Intel Corporation Method and apparatus for efficient scheduling for asymmetrical execution units
US9811342B2 (en) 2013-03-15 2017-11-07 Intel Corporation Method for performing dual dispatch of blocks and half blocks
US20140281622A1 (en) 2013-03-15 2014-09-18 Mahesh Wagh Method, apparatus, and system for improving resume times for root ports and root port integrated endpoints
WO2014143053A1 (en) 2013-03-15 2014-09-18 Intel Corporation Dead block predictors for cooperative execution in the last level cache
US9471318B2 (en) 2013-03-15 2016-10-18 International Business Machines Corporation System management and instruction counting
US9880842B2 (en) 2013-03-15 2018-01-30 Intel Corporation Using control flow data structures to direct and track instruction execution
US9886277B2 (en) 2013-03-15 2018-02-06 Intel Corporation Methods and apparatus for fusing instructions to provide OR-test and AND-test functionality on multiple test sources
US9891924B2 (en) 2013-03-15 2018-02-13 Intel Corporation Method for implementing a reduced size register view data structure in a microprocessor
US20140281434A1 (en) 2013-03-15 2014-09-18 Carlos Madriles Path profiling using hardware and software combination
JP6086230B2 (ja) 2013-04-01 2017-03-01 日本電気株式会社 中央演算装置、情報処理装置、および仮想コア内レジスタ値取得方法
WO2014189510A1 (en) * 2013-05-23 2014-11-27 Intel Corporation Techniques for detecting return-oriented programming
US9792252B2 (en) 2013-05-31 2017-10-17 Microsoft Technology Licensing, Llc Incorporating a spatial array into one or more programmable processor cores
GB2515076B (en) 2013-06-13 2020-07-15 Advanced Risc Mach Ltd A data processing apparatus and method for handling retrieval of instructions from an instruction cache
US10372527B2 (en) 2013-07-15 2019-08-06 Intel Corporation Method of encoding data
US9535488B2 (en) 2013-08-28 2017-01-03 Via Technologies, Inc. Multi-core microprocessor that dynamically designates one of its processing cores as the bootstrap processor
US20150074355A1 (en) 2013-09-12 2015-03-12 Lsi Corporation Efficient caching of file system journals
US9547496B2 (en) 2013-11-07 2017-01-17 Microsoft Technology Licensing, Llc Energy efficient multi-modal instruction issue
US9448936B2 (en) 2014-01-13 2016-09-20 Apple Inc. Concurrent store and load operations
CN104310225A (zh) 2014-08-19 2015-01-28 中国十七冶集团有限公司 建筑起重机械安全控制装置
US20160055004A1 (en) 2014-08-21 2016-02-25 Edward T. Grochowski Method and apparatus for non-speculative fetch and execution of control-dependent blocks
US9569613B2 (en) * 2014-12-23 2017-02-14 Intel Corporation Techniques for enforcing control flow integrity using binary translation
US20160328237A1 (en) 2015-05-07 2016-11-10 Via Alliance Semiconductor Co., Ltd. System and method to reduce load-store collision penalty in speculative out of order engine
US9952867B2 (en) 2015-06-26 2018-04-24 Microsoft Technology Licensing, Llc Mapping instruction blocks based on block size
US20160378491A1 (en) 2015-06-26 2016-12-29 Microsoft Technology Licensing, Llc Determination of target location for transfer of processor control
US9720693B2 (en) 2015-06-26 2017-08-01 Microsoft Technology Licensing, Llc Bulk allocation of instruction blocks to a processor instruction window
US9946548B2 (en) 2015-06-26 2018-04-17 Microsoft Technology Licensing, Llc Age-based management of instruction blocks in a processor instruction window
US9940136B2 (en) 2015-06-26 2018-04-10 Microsoft Technology Licensing, Llc Reuse of decoded instructions
US10346168B2 (en) 2015-06-26 2019-07-09 Microsoft Technology Licensing, Llc Decoupled processor instruction window and operand buffer
US10175988B2 (en) 2015-06-26 2019-01-08 Microsoft Technology Licensing, Llc Explicit instruction scheduler state information for a processor
US20160378488A1 (en) 2015-06-26 2016-12-29 Microsoft Technology Licensing, Llc Access to target address
US11755484B2 (en) 2015-06-26 2023-09-12 Microsoft Technology Licensing, Llc Instruction block allocation
US10095519B2 (en) 2015-09-19 2018-10-09 Microsoft Technology Licensing, Llc Instruction block address register
US20170083343A1 (en) 2015-09-19 2017-03-23 Microsoft Technology Licensing, Llc Out of order commit
US9830152B2 (en) 2015-12-22 2017-11-28 Qualcomm Incorporated Selective storing of previously decoded instructions of frequently-called instruction sequences in an instruction sequence buffer to be executed by a processor
US10223118B2 (en) 2016-03-24 2019-03-05 Qualcomm Incorporated Providing references to previously decoded instructions of recently-provided instructions to be executed by a processor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040034852A1 (en) * 2002-08-19 2004-02-19 Semiconductor Technology Academic Research Center Simulation method and simulation system of instruction scheduling
CN101410795A (zh) * 2003-10-24 2009-04-15 微软公司 可伸缩的对监控规则的同步与异步处理
US8510596B1 (en) * 2006-02-09 2013-08-13 Virsec Systems, Inc. System and methods for run time detection and correction of memory corruption
US20140082327A1 (en) * 2012-09-14 2014-03-20 The Research Foundation Of State University Of New York Continuous run-time validation of program execution: a practical approach
CN103473508A (zh) * 2013-09-17 2013-12-25 肖楠 操作系统内核运行时安全验证方法
CN103744644A (zh) * 2014-01-13 2014-04-23 上海交通大学 采用四核结构搭建的四核处理器系统及数据交换方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111124493A (zh) * 2019-12-17 2020-05-08 天津国芯科技有限公司 一种cpu中用于减少程序跳转开销的方法及电路
CN111858166A (zh) * 2020-07-06 2020-10-30 河南信大网御科技有限公司 可纠错快速拟态裁决方法、裁决器、架构及介质
CN111858166B (zh) * 2020-07-06 2023-07-07 河南信大网御科技有限公司 可纠错快速拟态裁决方法、裁决器、系统及介质
CN115017058A (zh) * 2022-08-04 2022-09-06 飞腾信息技术有限公司 一种内核模块的测试方法、装置、电子设备及存储介质

Also Published As

Publication number Publication date
TW201712544A (zh) 2017-04-01
US20160378499A1 (en) 2016-12-29
CN107810483B (zh) 2021-06-18
EP3314437B1 (en) 2021-02-17
US10409606B2 (en) 2019-09-10
EP3314437A1 (en) 2018-05-02
WO2016210025A1 (en) 2016-12-29

Similar Documents

Publication Publication Date Title
CN107810483A (zh) 验证基于块的处理器中的跳转目标
CN108027767A (zh) 寄存器读取/写入排序
CN108027769A (zh) 使用寄存器访问指令发起指令块执行
CN108139913A (zh) 处理器操作的配置模式
CN104303142B (zh) 使用索引阵列和有限状态机的分散
CN107810479A (zh) 用于处理器控制传送的目标位置的确定
CN108027773A (zh) 存储器访问指令顺序编码的生成和使用
CN107810480A (zh) 根据性能度量的指令块分配
CN108027732A (zh) 与断言的加载指令相关联的预取
CN108027771A (zh) 基于块的处理器核复合寄存器
CN108027730A (zh) 写入无效
CN108027766A (zh) 预取指令块
US10452399B2 (en) Broadcast channel architectures for block-based processors
CN108027729A (zh) 分段式指令块
CN108027807A (zh) 基于块的处理器核拓扑寄存器
CN107810478A (zh) 具有连续块的并行执行的基于块的架构
CN108027768A (zh) 指令块地址寄存器
CN108027770A (zh) 用于数据流isa的密集读取编码
CN108027772A (zh) 用于逻辑处理器的不同的系统寄存器
CN108027750A (zh) 乱序提交
US10445097B2 (en) Multimodal targets in a block-based processor
CN108027731A (zh) 针对基于块的处理器的调试支持
CN107810477A (zh) 解码的指令的重复使用
CN108027733A (zh) 在目标字段中存储无效
WO2017048662A1 (en) Predicated read instructions

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant