CN107680898B - 半导体装置的制造方法、基板处理装置和存储介质 - Google Patents

半导体装置的制造方法、基板处理装置和存储介质 Download PDF

Info

Publication number
CN107680898B
CN107680898B CN201710648342.8A CN201710648342A CN107680898B CN 107680898 B CN107680898 B CN 107680898B CN 201710648342 A CN201710648342 A CN 201710648342A CN 107680898 B CN107680898 B CN 107680898B
Authority
CN
China
Prior art keywords
silicon film
amorphous silicon
film
gas
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710648342.8A
Other languages
English (en)
Other versions
CN107680898A (zh
Inventor
宫仓敬弘
森谷敦
中矶直春
芳贺健佑
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of CN107680898A publication Critical patent/CN107680898A/zh
Application granted granted Critical
Publication of CN107680898B publication Critical patent/CN107680898B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/005Growth of whiskers or needles
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/06Epitaxial-layer growth by reactive sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本申请涉及半导体装置的制造方法、基板处理装置和存储介质。本发明所要解决的课题是,提高基板上形成的Si膜的膜质。作为解决上述课题的手段,提供一种半导体装置的制造方法,其具有:在处理室内的基板上形成第1非晶硅膜的工序;以及,在处理室内,在维持第1非晶硅膜的非晶状态的温度下,使用氯化氢气体对第1非晶硅膜的一部分进行蚀刻的工序。

Description

半导体装置的制造方法、基板处理装置和存储介质
技术领域
本发明涉及半导体装置的制造方法、基板处理装置和存储介质。
背景技术
作为半导体装置(Device)的制造工序的一道工序,有时进行在基板上形成硅膜(Si膜)的处理(例如参照专利文献1、2)。
现有技术文献
专利文献
专利文献1:日本特开2003-218036号公报
专利文献2:日本特开2003-218037号公报
发明内容
发明所要解决的问题
本发明的目的在于,提供能够提高基板上形成的Si膜的膜质的技术。
用于解决课题的方法
根据本发明的一个方式,提供一种半导体装置的制造方法,其具有:
在处理室内的基板上形成第1非晶硅膜的工序,以及
在上述处理室内,在维持上述第1非晶硅膜的非晶状态的温度下,使用氯化氢气体对上述第1非晶硅膜的一部分进行蚀刻的工序。
发明的效果
根据本发明,能够提高基板上形成的Si膜的膜质。
附图说明
图1是本发明的一个实施方式中适宜使用的基板处理装置的立式处理炉的概要构成图,是将处理炉部分用纵剖面图表示的图。
图2是本发明的一个实施方式中适宜使用的基板处理装置的立式处理炉的概要构成图,是将处理炉部分用图1的A-A线剖面图表示的图。
图3是本发明的一个实施方式中适宜使用的基板处理装置的控制器的概要构成图,是将控制器的控制系统用框图表示的图。
图4是显示本发明的一个实施方式的成膜序列中气体供应时机等的图。
图5中,(a)是显示播种阶段开始前的晶圆的表面结构的剖面图,(b)是显示播种阶段结束后的晶圆的表面结构的剖面图,(c)是显示第1成膜阶段结束后的晶圆的表面结构的剖面图,(d)是显示蚀刻阶段结束后的晶圆的表面结构的剖面图,(e)是显示第2成膜阶段结束后的晶圆的表面结构的剖面图。
图6是显示蚀刻速率的评价结果的图。
符号说明
121 控制器(控制部)
200 晶圆(基板)
200h Si膜(第1非晶硅膜)
200i Si膜(第1非晶硅膜)
201 处理室
202 处理炉
203 反应管
207 加热器
250a、250b 气体供应孔
249a、249b 喷嘴
217 晶圆盒
267 旋转机构
263 温度传感器
218 绝热板
248 集成型供应系统
232a、232b、232c、232d 气体供应管
241a、241b、241c、241d 质量流量控制器
243a、243b、243c、243d 阀门
220 O型圈
245 压力传感器
231 排气管
244 APC阀门
219 密封帽
255 旋转轴
115 晶圆盒升降机
200a 绝缘膜
200e、200f 种子层
200g Si膜
具体实施方式
<本发明的一个实施方式>
以下,使用图1~图3对本发明的一个实施方式进行说明。
(1)基板处理装置的构成
如图1所示,处理炉202具有作为加热单元(加热机构)的加热器207。加热器207为圆筒状,通过支撑于保持板而垂直安装。加热器207也作为用热使气体活化(激发)的活化机构(激发部)而发挥功能。
加热器207的内侧,与加热器207呈同心圆状地配设有构成反应容器(处理容器)的反应管203。反应管203由例如石英(SiO2)或碳化硅(SiC)等耐热性材料构成,形成上端封闭下端开口的圆筒状。在反应管203的筒中空部形成处理室201。处理室201以能够容纳作为基板的晶圆200的方式构成。
处理室201内,以贯穿反应管203的下部侧壁的方式设有喷嘴249a、249b。喷嘴249a、249b分别连接有气体供应管232a、232b。
在气体供应管232a、232b上,从上游侧开始,依次分别设有作为流量控制器(流量控制部)的质量流量控制器(MFC)241a、241b和作为开关阀的阀门243a、243b。气体供应管232a、232b的比阀门243a、243b更下游侧,分别连接有供应非活性气体的气体供应管232c、232d。在气体供应管232c、232d上,从上游侧开始,依次分别设有MFC241c、241d和阀门243c、243d。
喷嘴249a、249b分别以下述方式设置:如图2所示,在反应管203的内壁与晶圆200之间的俯视呈圆环状的空间,沿着从反应管203的内壁的下部向上、朝着晶圆200的排列方向上方树立。即,喷嘴249a、249b分别以沿着晶圆排列区域的方式设于排列有晶圆200的晶圆排列区域侧向的水平环绕晶圆排列区域的区域。在喷嘴249a、249b的侧面分别设有供应气体的气体供应孔250a、250b。气体供应孔250a、250b分别以朝向反应管203的中心的方式开口,能够向晶圆200供应气体。气体供应孔250a、250b从反应管203的下部到上部设有多个。
作为第1原料气体,含有硅(Si)和卤素的卤代硅烷原料气体从气体供应管232a介由MFC241a、阀门243a、喷嘴249a向处理室201内供应。原料气体是气体状态的原料,例如通过使常温常压下为液体状态的原料气化而得到的气体、常温常压下为气体状态的原料等。卤代硅烷原料是具有卤素基团的硅烷原料。卤素基团包括氯基、氟基、溴基、碘基等。即,卤素基团包括氯(Cl)、氟(F)、溴(Br)、碘(I)等卤素。卤代硅烷原料也可以说是卤化物的一种。作为卤代硅烷原料气体,可以使用例如二氯硅烷(SiH2Cl2,简称:DCS)气体。
掺杂剂气体从气体供应管232a介由MFC241a、阀门243a、喷嘴249a向处理室201内供应。作为掺杂剂气体,可以使用例如含有作为掺杂剂(杂质)的磷(P)的磷化氢(PH3,简称:PH)气体。
蚀刻气体从气体供应管232a介由MFC241a、阀门243a、喷嘴249a向处理室201内供应。作为蚀刻气体,可以使用例如氯化氢(HCl)气体。
作为第2、第3原料气体,含有Si但不含卤素的氢化硅气体从气体供应管232b介由MFC241b、阀门243b、喷嘴249b向处理室201内供应。作为氢化硅气体,可以使用例如单硅烷(SiH4,简称:MS)气体、乙硅烷(Si2H6,简称:DS)气体。
作为含氢(H)气体的氢(H2)气、作为非活性气体的氮(N2)气从气体供应管232c、232d分别介由MFC241c、241d、阀门243c、243d、气体供应管232a、232b、喷嘴249a、249b向处理室201内供应。
主要由气体供应管232a、232b、MFC241a、241b、阀门243a、243b构成供应原料气体的第1供应系统。主要由气体供应管232a、MFC241a、阀门243a分别构成供应蚀刻气体的第2供应系统和供应掺杂剂气体的第3供应系统。主要由气体供应管232c、232d、MFC241c、241d、阀门243c、243d分别构成供应含氢气体的第4供应系统和非活性气体供应系统。
上述各种供应系统中,任意或者全部供应系统可以作为将阀门243a~243d、MFC241a~241d等集成而成的集成型供应系统248而构成。集成型供应系统248以下述方式构成:分别连接气体供应管232a~232d,各种气体向气体供应管232a~232d内的供应动作、即阀门243a~243d的开关动作、利用MFC241a~241d进行的流量调整动作等被后述的控制器121控制。集成型供应系统248以下述方式构成:作为一体型或者分割型的集成单元而被构成,对于气体供应管232a~232d等,可以以集成单元单位的形式进行拆装,可以以集成单元单位的形式进行供应系统的维护、替换、增设等。
在反应管203上,设有对处理室201内的气氛进行排气的排气管231。在排气管231上,介由作为检测处理室201内压力的压力检测器(压力检测部)的压力传感器245和作为压力调整器(压力调整部)的APC(Auto Pressure Controller,自动压力控制)阀门244,连接有作为排气装置的真空泵246。APC阀门244以下述方式构成:可以通过在使真空泵246工作的状态下开关阀门而进行处理室201内的真空排气和真空排气停止,进而,可以通过在使真空泵246工作的状态下,基于利用压力传感器245检测到的压力信息调节阀门开度,从而调整处理室201内的压力。主要由排气管231、APC阀门244、压力传感器245构成排气系统。可以考虑将真空泵246包含于排气系统。
在反应管203的下方,设有作为能够使反应管203的下端开口气密性堵塞的炉口盖体的密封帽219。密封帽219例如由SUS等金属形成,形成为圆盘状。密封帽219的上表面设有作为与反应管203的下端抵接的密封部件的O型圈220。在密封帽219的下方,设置有使后述晶圆盒217旋转的旋转机构267。旋转机构267的旋转轴255贯穿密封帽219并连接于晶圆盒217。旋转机构267以下述方式构成:通过使晶圆盒217旋转来使晶圆200旋转。密封帽219以下述方式构成:利用设于反应管203外部的作为升降机构的晶圆盒升降机115,在垂直方向上升降。晶圆盒升降机115作为通过使密封帽219升降,将晶圆200向处理室201内外搬入和搬出(输送)的输送装置(输送机构)而构成。
作为基板支撑器的晶圆盒217以下述方式构成:使多张、例如25~200张晶圆200以水平姿态且在相互中心对齐的状态下,在垂直方向上排列并多段支撑的方式,即以留有间隔地排列的方式构成。晶圆盒217由例如石英、SiC等耐热性材料构成。在晶圆盒217的下部,由例如石英、SiC等耐热性材料形成的绝热板218以水平姿态被多段支撑。
反应管203内设置有作为温度检测器的温度传感器263。通过基于由温度传感器263检测到的温度信息调节向加热器207的通电情况,处理室201内的温度成为期望的温度分布。温度传感器263沿着反应管203的内壁设置。
如图3所示,作为控制部(控制手段)的控制器121作为具备CPU(CentralProcessing Unit)121a、RAM(Random Access Memory)121b、存储装置121c、I/O端口121d的计算机而构成。RAM121b、存储装置121c、I/O端口121d以能够介由内部总线121e与CPU121a进行数据交换的方式构成。控制器121上连接有作为例如触摸屏等而构成的输入输出装置122。
存储装置121c由例如闪存、HDD(Hard Disk Drive)等构成。在存储装置121c内,以能够读取的方式,存储有控制基板处理装置的动作的控制程序、记载有后述的基板处理的步骤、条件等的工艺配方(process recipe)等。工艺配方是以能够使控制器121执行后述的基板处理工序中的各步骤、获得规定的结果的方式组合而成的,作为程序发挥功能。以下,也将该工艺配方、控制程序等简单地总称为程序。此外,也将工艺配方称为配方。本说明书中,使用了程序一词的情况下,有时仅包括配方单体、有时仅包括控制程序单体、或者有时包括上述两者。RAM121b作为暂时保存利用CPU121a读出的程序、数据等的存储区域(工作区域)而构成。
I/O端口121d连接于上述MFC241a~241d、阀门243a~243d、压力传感器245、APC阀门244、真空泵246、加热器207、温度传感器263、旋转机构267、晶圆盒升降机115等。
CPU121a以下述方式构成:在从存储装置121c读出控制程序并执行的同时,根据来自输入输出装置122的操作命令的搬入等,从存储装置121c读出配方。CPU121a以下述方式构成:以遵从读出的配方内容的方式,控制利用MFC241a~241d进行的各种气体的流量调整动作、阀门243a~243d的开关动作、APC阀门244的开关动作和基于压力传感器245的利用APC阀门244进行的压力调整动作、真空泵246的启动和停止、加热器207基于温度传感器263的温度调整动作、利用旋转机构267进行的晶圆盒217的旋转和旋转速度调节动作、利用晶圆盒升降机115进行的晶圆盒217的升降动作等。
控制器121可以通过将储存于外部存储装置(例如硬盘等磁盘、CD等光盘、MO等光磁盘、USB存储器等半导体存储器)123的上述程序在计算机上安装而构成。存储装置121c、外部存储装置123作为计算机能够读取的存储介质而构成。以下,也将它们简单地总称为存储介质。本说明书中使用了存储介质这样的用语时,有时仅包括存储装置121c单体、有时仅包括外部存储装置123单体、或者有时包括上述两者。另外,向计算机提供程序也可以不使用外部存储装置123而使用互联网、专用线路等通信方式来进行。
(2)基板处理工序
关于作为使用上述基板处理装置制造半导体装置的工序的一道工序,在作为基板的晶圆200上形成硅膜(Si膜)的序列例,使用图4、图5(a)~图5(e)来进行说明。图4中,方便起见,省略了N2气的供应时机的图示。以下的说明中,构成基板处理装置的各部的动作利用控制器121来控制。
图4所示的成膜序列中,实施在处理室201内的晶圆200上形成第1非晶Si膜的第1成膜阶段,以及在处理室201内在维持第1非晶Si膜的非晶状态的温度下使用HCl气体对第1非晶Si膜的一部分进行蚀刻的蚀刻阶段,然后进一步在处理室201内实施在一部分被蚀刻后的第1非晶Si膜之上形成第2非晶Si膜的第2成膜阶段。
上述成膜序列中,第1、第2非晶Si膜的形成分别通过对处理室201内的晶圆200供应MS气体和PH气体来进行。此外,在上述成膜序列中,在实施第1成膜阶段前,实施对处理室201内的晶圆200交替供应DCS气体和DS气体的播种阶段。此外,上述成膜序列中,在进行蚀刻阶段前和进行之后,分别实施对晶圆200供应H2气体的氢气吹洗阶段(第1、第2氢气吹洗阶段)。
本说明书中使用“晶圆”这样的用语时,有时意思是晶圆本身,有时意思是晶圆与其表面上形成的规定的层、膜的层叠体。本说明书中使用“晶圆的表面”这样的用语时,有时意思是晶圆本身的表面,有时意思是晶圆上形成的规定的层等的表面。本说明书中记载为“在晶圆上形成规定的层”时,有时意思是在晶圆本身的表面上直接形成规定的层,有时意思是在晶圆上形成的层等之上形成规定的层。本说明书中使用词语“基板”这样的用语时也与使用词语“晶圆”这样的用语时意思相同。
(晶圆装载和晶圆盒搭载)
多张晶圆200被装填于晶圆盒217(晶圆装载)。然后,如图1所示,支撑多张晶圆200的晶圆盒217由晶圆盒升降机115抬起,向处理室201内搬入(晶圆盒搭载)。该状态下,密封帽219成为介由O型圈220将反应管203的下端密封的状态。
作为晶圆200,可以使用例如由单晶Si构成的Si基板、或者表面形成有单晶Si膜的基板。如图5(a)所示,晶圆200的表面设有凹部,凹部的底部由单晶Si构成,凹部的侧部和上部由硅氧化膜(SiO膜)等绝缘膜200a构成。晶圆200的表面成为单晶Si和绝缘膜200a分别露出的状态。
将晶圆200搬入处理室201内之前,晶圆200的表面预先以氟化氢(HF)等洗涤。其中,洗涤处理之后至向处理室201内搬入期间,晶圆200的表面暂时暴露于大气。因此,向处理室201内搬入的晶圆200的表面的至少一部分形成有天然氧化膜(SiO膜)。天然氧化膜有时以稀疏地(岛状地)覆盖凹部的底部、即露出的单晶Si的一部分的方式形成,此外,也有时以连续地(非岛状地)覆盖露出的单晶Si的整个区域的方式形成。
(压力调整和温度调整)
利用真空泵246,将处理室201内真空排气(减压排气),以使得处理室201内、即晶圆200所存在的空间成为期望的压力(真空度)。此时,处理室201内的压力被压力传感器245测定,基于该测定到的压力信息,APC阀门244被反馈控制。此外,利用加热器207加热处理室201内,以使得处理室201内的晶圆200成为期望的处理温度。此时,基于温度传感器263检测到的温度信息来反馈控制向加热器207通电的情况,以使得处理室201内成为期望的温度分布。此外,开始利用旋转机构267进行的晶圆200的旋转。处理室201内的排气、加热、晶圆200的旋转均在至少对晶圆200的处理结束前的期间持续进行。
(播种阶段)
然后,依次进行下面的阶段1、2。
[阶段1]
在该阶段中,对晶圆200供应DCS气体。具体而言,打开阀门243a,使DCS气体流入气体供应管232a内。DCS气体利用MFC241a进行流量调整,介由喷嘴249a向处理室201内供应,从排气管231排气。此时,对晶圆200供应DCS气体。此时,同时打开阀门243c,使N2气体流入气体供应管232c内。N2气体利用MFC241c进行流量调整,与DCS气体一起向处理室201内供应,从排气管231排气。此外,为了防止DCS气体向喷嘴249b内侵入,打开阀门243d,使N2气体向气体供应管232d内流入。N2气体介由气体供应管232b、喷嘴249b向处理室201内供应,从排气管231排气。
通过对晶圆200供应含有电负性大的Cl的DCS气体,能够在凹部的底部、即单晶Si上,切断天然氧化膜所含的Si-O键,将天然氧化膜除去。由此,能够在凹部的底部产生Si共价键的悬挂键(dangling bond,未结合键),形成容易进行外延生长的环境。此外,可以在凹部的侧部和上部、即绝缘膜200a上,将绝缘膜200a的表面所含的Si-O键切断。由此,可以在绝缘膜200a的表面形成Si的未结合键、即Si的吸附位点。
然后,关闭阀门243a,停止DCS气体的供应。此时,设为APC阀门244保持开放,利用真空泵246对处理室201内进行排气。此时,设为阀门243c、243d保持开放的状态下,维持向处理室201内的N2气体供应。N2气体作为吹洗气体发挥作用。
[阶段2]
阶段1结束后,对晶圆200供应DS气体。在该阶段中,通过按与阶段1中的阀门243a、243c、243d的开关控制同样的步骤进行阀门243b~243d的开关控制,使DS气体向气体供应管232b内流入。流入气体供应管232b内的DS气体利用MFC241b进行流量调整,介由喷嘴249b向处理室201内供应,从排气管231排气。
通过对晶圆200供应DS气体,可以在凹部的底部,使DS所含的Si结合于通过阶段1形成的Si的未结合键,形成Si的核(种子)。该生长在后述处理条件下为外延生长。此外,可以在凹部的侧部和上部,使DS所含的Si吸附于在阶段1中形成的Si的吸附位点,形成Si的种子。该生长在后述处理条件下为非晶(非晶质)生长。
然后,关闭阀门243b,停止DS气体的供应。而且,通过与阶段1同样的处理步骤对处理室201内进行排气。此时,维持向处理室201内的N2气体供应。N2气体作为吹洗气体发挥作用。
[实施规定次数]
将交替进行上述阶段1、2的循环进行规定次数(n次(n为1以上的整数))。由此,如图5(b)所示,可以在凹部的底部形成种子层200e,在凹部的侧部和上部分别形成种子层200f。种子层200e由继承了基底的结晶性的单晶Si(外延Si)形成,形成致密地覆盖凹部的底部的连续层。种子层200f由非晶Si形成,形成致密地覆盖凹部的侧部和上部的连续层。
以下,对播种阶段的处理条件进行例示。以下所示条件也是能够使种子层200e外延生长、使种子层200f非晶生长的条件。
DCS气体、DS气体的供应流量分别设为例如10~1000sccm范围内的流量。DCS气体、DS气体的供应时间分别设为例如0.5~10分钟范围内的时间。通过各气体供应管供应的N2气体的供应流量分别设为例如100~10000sccm范围内的流量。
晶圆200的温度(播种温度)设为例如350~450℃范围内的温度。处理室201内的压力(播种压力)设为例如1~1000Pa范围内的压力。
如果播种温度低于350℃、或者播种压力低于1Pa,则有时上述DCS气体、DS气体的作用不充分,难以形成种子层200e、200f。通过将播种温度设为350℃以上的温度、或者将播种压力设为1Pa以上的压力,能够形成种子层200e、200f。
如果播种温度超过450℃、或者播种压力超过1000Pa,则有时在凹部的底部,在天然氧化膜被除去前,DCS所含的Si发生堆积,进行非晶生长。此外,有时在凹部的侧部和上部,利用DCS进行的Si-O键的切断难以进行,难以形成种子层200f。通过将播种温度设为450℃以下的温度、或者将播种压力设为1000Pa以下的压力,能够消除这些问题。
交替进行阶段1、2的循环的实施次数设为例如1~20次范围内的次数。种子层200e、200f的厚度分别设为例如
Figure BDA0001367418880000111
范围内的厚度。
作为第1原料气体,除了DCS气体以外,还可以使用单氯硅烷(SiH3Cl,简称:MCS)气体、三氯硅烷(SiHCl3,简称:TCS)气体、四氯硅烷(SiCl4,简称:STC)气体、六氯二硅烷(Si2Cl6,简称:HCDS)气体、八氯三硅烷(Si3Cl8,简称:OCTS)气体等含Cl的氯代硅烷原料气体。
作为第2原料气体,除了DS气体以外,还可以使用MS气体、三硅烷(Si3H8,简称:TS)气体、四硅烷(Si4H10)气体、五硅烷(Si5H12)气体、六硅烷(Si6H14)气体等通式SinH2n+2(n为1以上的整数)所表示的氢化硅气体。
作为非活性气体,除了N2气体以外,还可以使用例如Ar气、He气、Ne气、Xe气等稀有气体。
(第1成膜阶段)
播种阶段结束后,将晶圆200的温度设为成膜温度,将处理室201内的压力设为成膜压力。图4显示的是将成膜温度设为比播种温度高的温度、将成膜压力设为与播种压力同等的压力的例子。然后,对晶圆200供应MS气体、PH气体。在该阶段中,通过按与上述阶段1中的阀门243a、243c、243d的开关控制同样的步骤进行阀门243b~243d的开关控制,使MS气体向气体供应管232b内流入。流入气体供应管232b内的MS气体利用MFC241b进行流量调整,介由喷嘴249b向处理室201内供应,从排气管231排气。此外,此时,打开阀门243a,使PH气体向气体供应管232a内流入。PH气体利用MFC241a进行流量调整,介由喷嘴249a向处理室201内供应,从排气管231排气。此时,对晶圆200,一起供应MS气体和PH气体。
通过对晶圆200供应MS气体、PH气体,可以在凹部的底部,使Si膜200g在种子层200e上生长。该生长在后述的处理条件下为外延生长。与种子层200e同样,Si膜200g的晶体结构为继承了基底的结晶性的单晶。此外,可以在凹部的侧部和上部,使Si膜200h在种子层200f上生长。该生长在后述的处理条件下成为非晶生长。与种子层200f同样,Si膜200h的晶体结构为非晶。通过将PH气体与MS气体一起供应,可以在Si膜200g、200h中分别添加P成分,可以将这些膜制成掺杂Si膜。
如图5(c)所示,通过持续上述处理,Si膜200g的上部被从凹部的侧部生长的Si膜200h覆盖。由此,Si膜200g的外延生长停止。在这种状态下,在凹部内、即在晶圆200上,形成在Si膜200g之上层叠Si膜200h而成的层叠结构。Si膜200g在晶圆200与Si膜200h之间的界面上形成。
通过进一步继续上述处理,至少凹部的表面侧(开口侧)成为被Si膜200h阻塞的状态。即,凹部的表面侧成为被以从凹部的侧部和上部悬垂的方式生长的Si膜200h阻塞的状态。但在该过程,有时在凹部内会产生在深度方向上伸展的非填埋区域(空洞、缝隙)。如果在凹部的内部被Si膜200h完全填埋前,凹部的表面侧被阻塞,则MS气体到达不了凹部的内部(凹部内的Si膜200h的生长停止),凹部的内部产生空洞。就空洞而言,凹部的高宽比(凹部的深度/凹部的宽度)越大、具体为1以上、例如为20以上、进一步为50以上,则越容易产生。
凹部的表面侧被Si膜200h阻塞后,关闭阀门243b、243a,分别停止MS气体、PH气体向处理室201内的供应。而且,通过与上述阶段1同样的处理步骤,对处理室201内进行排气。此时,维持N2气体向处理室201内的供应。N2气体作为吹洗气体发挥作用。MS气体、PH气体的供应停止可以在凹部的表面侧成为被Si膜200h完全阻塞的状态前停止,也可以在成为完全阻塞的状态后停止。
以下对第1成膜阶段的处理条件进行例示。以下所示条件也是能够使Si膜200g外延生长、使Si膜200h非晶生长的条件。
MS气体的供应流量设为例如10~2000sccm范围内的流量。PH气体的供应流量是Si膜200g、200h中的P浓度成为例如1.0×1021~1.0×1022atoms/cm3范围内的浓度的流量,设为例如1~1000sccm范围内的流量。MS气体、PH气体的供应时间分别设为例如20~400分钟范围内的时间。通过各气体供应管供应的N2气体的供应流量分别设为例如100~10000sccm范围内的流量。
晶圆200的温度(成膜温度)设为例如450~550℃范围内的温度。处理室201内的压力(成膜压力)设为例如1~900Pa范围内的压力。
如果成膜温度低于450℃、或者成膜压力低于1Pa,则有时MS难以分解,难以形成Si膜200g、200h。通过将成膜温度设为450℃以上的温度、或者将成膜压力设为1Pa以上的压力,能够促进MS的分解,可以以实用性的速率进行Si膜200g、200h的形成。
如果成膜温度超过550℃、或者成膜压力超过900Pa,则有时MS的分解行为变得剧烈,或者Si膜200g、200h的膜厚均匀性、高低差被覆性恶化。有时处理室201内产生的颗粒的量增加,使成膜处理的品质降低。通过将成膜温度设为550℃以下的温度、或者将成膜压力设为900Pa以下的压力,能够缓和MS气体的分解行为,避免这些问题。
作为第3原料气体,除了MS气体,还可以使用上述氢化硅气体、氯硅烷原料气体。为了避免Cl在Si膜200g、200h中的残留,优选使用氢化硅气体作为第3原料气体,为了提高Si膜200g、200h的成膜速率,优选使用氯硅烷原料气体作为第3原料气体。
作为掺杂剂气体,除了PH气体,还可以使用胂(AsH3)气体等含P、砷(As)的气体,即含有第15族元素作为掺杂剂的气体。此外,作为掺杂剂气体,还可以使用乙硼烷(B2H6)气体、三氯硼烷(BCl3)气体等含硼(B)的气体、即含有第13族元素作为掺杂剂的气体。
作为非活性气体,除了N2气体,还可以使用上述稀有气体。
(第1氢气吹洗阶段)
第1成膜阶段结束后,对晶圆200供应H2气体。在该阶段中,打开阀门243c、243d,H2气体向气体供应管232c、232d内流入。H2气体利用MFC241c、241d进行流量调整,介由气体供应管232a、232b、喷嘴249a、249b向处理室201内供应,从排气管231排气。此时,对晶圆200供应H2气体。通过对晶圆200供应H2气体,可以对晶圆200上形成的Si膜200h的表面进行氢终止,使整个表面区域清洁。
然后,关闭阀门243c、243d,停止H2气体向处理室201内的供应。此时,在APC阀门244打开的状态下,利用真空泵246对处理室201内进行排气。此时,也可以将N2气体向处理室201内供应。N2气体作为吹洗气体发挥作用。
通过各气体供应管供应的H2气体的供应流量分别设为例如500~3000sccm范围内的流量。H2气体的供应时间设为30~120分钟范围内的时间。晶圆200的温度(第1氢气吹洗温度)为与上述成膜温度同样的温度,设为例如450~550℃范围内的温度。处理室201内的压力(第1氢气吹洗压力)设为例如500~2000Pa范围内的压力。
(蚀刻阶段)
第1氢气吹洗阶段结束后,对晶圆200供应HCl气体。
在该阶段中,打开阀门243a,使HCl气体向气体供应管232a内流入。HCl气体利用MFC241a进行流量调整,介由喷嘴249a向处理室201内供应,从排气管231排气。此时,对晶圆200供应HCl气体。阀门243c、243d关闭,不实施N2气体向处理室201内的供应。
通过对晶圆200供应HCl气体,可以对晶圆200上形成的Si膜200h的一部分进行蚀刻。通过将Si膜200h之中阻塞凹部表面侧的部分除去,形成其下形成的空洞的上部开口、露出空洞的状态。通过在该状态下使蚀刻处理持续规定时间,能够进一步对构成空洞的内壁(侧壁、底部)的Si膜200h进行蚀刻,可以使其开口变大。HCl气体向空洞的内部的供应量,从表面侧向底部侧缓慢减少。因此,如图5(d)所示,空洞的纵剖面形状成为开口宽度从底部侧向表面侧逐渐变大的V字形状或者倒梯形形状。通过将露出的空洞调整为这样的形状,能够在后述的第2成膜阶段中促进MS气体向露出的空洞内部的供应。作为结果,能够使凹部的内部被Si膜完全地、即呈无空洞的状态的方式填埋。另外,该蚀刻处理需在被Si膜200h覆盖的、Si膜200g不露出的范围内进行,即,在基底的Si膜200g未蚀刻的范围内进行。即,需要控制蚀刻处理的终点,以使得在蚀刻处理的结束时刻,成为空洞的内壁上仅露出非晶Si而不露出单晶Si(外延Si)的状态。
然后,关闭阀门243a,停止HCl气体向处理室201内的供应。此时,在APC阀门244打开的状态下,利用真空泵246对处理室201内进行排气。此时,可以将N2气体向处理室201内供应。N2气体作为吹洗气体发挥作用。
以下对蚀刻阶段的处理条件进行例示。以下所示条件也是维持晶圆200上形成的Si膜200h的非晶状态的条件,即,避免了Si膜200h的结晶化的条件。即,以下所示的条件也是Si膜200h不进行多聚化(多晶化)的条件,也是不进行差向异构化(单晶化)的条件。此外,以下所示的条件也是维持Si膜200h的蚀刻量的面内均匀性的条件,即,Si膜200h的蚀刻量遍及面内整个区域成为均匀的条件。
HCl气体的供应流量设为例如100~10000sccm范围内的流量。HCl气体的供应时间设为例如10~60分钟范围内的时间。
晶圆200的温度(蚀刻温度)为与上述成膜温度同样的温度,设为例如450~550℃范围内的温度。处理室201内的压力(蚀刻压力)为比上述成膜压力高的压力,设为例如1000~50000Pa、优选10000~40000Pa、更优选20000~30000Pa范围内的压力。
上述蚀刻温度下,如果蚀刻压力低于1000Pa,则有时难以进行蚀刻处理或者蚀刻量的面内均匀性降低。上述蚀刻温度下,通过将蚀刻压力设为1000Pa以上的压力,能够以实用性的速率进行蚀刻处理、提高蚀刻量的面内均匀性。通过将蚀刻压力设为10000Pa以上的压力,能够确实增加蚀刻速率、进一步提高蚀刻量的面内均匀性。通过将蚀刻压力设为20000Pa以上的压力,能够更确实地获得这些效果。
上述蚀刻温度下,如果蚀刻压力超过50000Pa,则蚀刻处理过度进行,有时难以实现上述空洞整形时的形状控制、蚀刻处理的终点控制。上述蚀刻温度下,通过将蚀刻压力设为50000Pa以下的压力,能够避免这些问题。通过将蚀刻压力设为40000Pa以下的压力,能够确实避免这些问题。通过将蚀刻压力设为30000Pa以下的压力,能够更确实地避免这些问题。
(第2氢气吹洗阶段)
蚀刻阶段结束后,通过与第1氢气吹洗阶段同样的处理步骤,向处理室201内供应H2气体。由此,能够将处理室201内残留的Cl从处理室201内排除。然后,通过与第1氢气吹洗阶段同样的处理步骤,对处理室201内进行排气。此时,也可以向处理室201内供应N2气体。N2气体作为吹洗气体发挥作用。H2气体的供应时间设为10~60分钟范围内的时间。其他处理条件设为与第1氢气吹洗阶段的处理条件相同。
(第2成膜阶段)
第2氢气吹洗阶段结束后,通过与第1成膜阶段同样的处理步骤,对晶圆200供应MS气体、PH气体。MS气体、PH气体的供应时间分别设为例如10~300分钟范围内的时间。其他处理条件设为与第1成膜阶段的处理条件相同。
通过对晶圆200供应MS气体、PH气体,能够使Si膜200i在晶圆200上、即蚀刻处理后的Si膜200h的表面上生长。Si膜200i也与Si膜200h同样设为掺杂有P的掺杂Si膜。如上所述,除了气体的供应时间,第2成膜阶段的处理条件与第1成膜阶段的处理条件是同样的。此外,开口加宽的空洞的内壁呈未露出外延Si、仅露出非晶Si的状态。因此,Si膜200i的生长与Si膜200h生长时同样为非晶生长。Si膜200h的表面被非晶状态的Si膜200i覆盖。此外,空洞的内部被非晶状态的Si膜200i以无空洞的状态完全填埋。
然后,关闭阀门243a、243b,分别停止MS气体、PH气体向处理室201内的供应。而且,通过与上述阶段1同样的处理步骤,对处理室201内进行排气。此时,维持N2气体向处理室201内的供应。N2气体作为吹洗气体发挥作用。
(吹洗后和大气压恢复)
第2成膜阶段结束后,分别从气体供应管232c、232d向处理室201内供应N2气体,从排气管231排气。N2气体作为吹洗气体发挥作用。由此,处理室201内以非活性气体吹洗,处理室201内残留的气体、反应副产物被从处理室201内除去(吹洗后)。然后,处理室201内的气氛置换为非活性气体(非活性气体置换),处理室201内的压力恢复常压(大气压恢复)。
(晶圆盒卸载和晶圆释放)
利用晶圆盒升降机115使密封帽219下降,使反应管203的下端开口。然后,处理完的晶圆200在被晶圆盒217支撑的状态下从反应管203的下端搬出至反应管203的外部(晶圆盒卸载)。处理完的晶圆200由晶圆盒217取出(晶圆释放)。
(3)本实施方式获得的效果
根据本实施方式,获得以下所示的1个或多个效果。
(a)通过使用HCl气体作为蚀刻气体,即使将蚀刻温度设定为与成膜温度同样的温度(450~550℃范围内的温度),也能够使Si膜200h的蚀刻处理进行。由此,能够提高基板处理的生产率。
这是因为,使用氯(Cl2)气作为蚀刻气体的情况下,为了对Si膜200h进行蚀刻,需要将蚀刻温度设为例如350℃左右的温度。这种情况下,需要在第1成膜阶段与蚀刻阶段之间设置使处理室201内的温度降低100℃以上并在其温度稳定化之前待机的降温阶段。此外,还需要在蚀刻阶段与第2成膜阶段之间设置使处理室201内的温度上升100℃以上并到其温度稳定为止待机的升温阶段。
而相对于此,在本实施方式中,可以在同样的温度下实施从第1成膜阶段至第2成膜阶段的一系列阶段,因此,无需在这些阶段间设置降温阶段、升温阶段。由此,能够使基板处理的步骤简化,或者使基板处理所需的总时间缩短,能够提高基板处理的生产率。
(b)通过在维持Si膜200h的非晶状态的温度下进行蚀刻处理,能够维持Si膜200h的蚀刻速率高的状态。
这是因为,在比上述蚀刻温度高的温度(超过550℃的温度,例如800~1300℃范围内的温度)下进行蚀刻处理的情况下,有时由于Si膜200h结晶化、即Si膜200h的结晶状态发生变化,蚀刻速率降低。此外,Si膜200h的一部分局部结晶化了的情况下,结晶化了的部分的蚀刻速率比其他部分低,有时在蚀刻后的Si膜200h的表面形成凹凸。作为结果,有时晶圆200上最终形成的Si膜(包括Si膜200h、Si膜200i的层叠膜)的表面粗糙度恶化,即膜表面的平滑度降低。
而相对于此,本实施方式中,在维持Si膜200h的非晶状态的温度下、即在不会使Si膜200h的结晶状态发生变化的低温下进行蚀刻处理,因而能够维持蚀刻速率高的状态。此外,可以提高最终形成的Si膜的面内膜厚均匀性,使表面粗糙度维持良好的状态。
(c)通过在比成膜压力高的压力下、具体而言1000~50000Pa、优选10000~40000Pa、更优选20000~30000Pa范围内的压力下进行蚀刻处理,能够有效地进行Si膜200h的蚀刻。此外,能够维持蚀刻量的面内均匀性,可以提高最终形成的Si膜的面内膜厚均匀性,使表面粗糙度维持良好的状态。
(d)通过在Si膜200h中掺杂P、将其浓度设为例如1.0×1021~1.0×1022atoms/cm3范围内的浓度,能够提高使用了HCl气体的Si膜200h的蚀刻速率。由此,能够缩短基板处理所需的总时间,提高基板处理的生产率。
(e)通过在不对基底的Si膜200g进行蚀刻的状态下进行蚀刻阶段,能够维持蚀刻速率高的状态。此外,可以提高最终形成的Si膜的面内膜厚均匀性,使表面粗糙度维持良好的状态。此外,可以维持晶圆200的最外表面中Si膜200g不露出的状态,能够在第2成膜阶段中使Si膜200i非晶生长。由于非晶生长的生长速率比外延生长快,因而能够缩短基板处理所需的总时间,提高基板处理的生产率。此外,还可以避免在通过进行蚀刻处理而对形状进行了调整的凹部内,由于Si膜200i的生长速率不同而再次产生空洞。
(f)通过在实施蚀刻阶段前实施第1氢气吹洗阶段,能够使Si膜200h的表面清洁,由此,可以提高其后进行的蚀刻处理的效率、或者提高蚀刻量的面内均匀性。此外,通过在实施蚀刻阶段后实施第2氢气吹洗阶段,能够提高从处理室201内将Cl除去的效率,由此,可以提高其后形成的Si膜200i的膜质。
(g)在第1成膜阶段之前实施交替供应DCS气体和DS气体的播种阶段,因而能够有效地进行Si膜200g、200h的成膜处理、或者提高这些膜的膜质、面内膜厚均匀性。
(h)上述效果在使用DCS气体以外的卤代硅烷原料气体作为第1原料气体的情况下、在使用DS气体以外的氢化硅气体作为第2原料气体的情况下、在使用MS气体以外的氢化硅气体作为第3原料气体的情况下、在使用PH气体以外的气体作为掺杂剂气体的情况下也可同样获得。
(4)变形例
本实施方式中的成膜序列不限于上述形态,可以如以下所示的变形例那样进行改变。
(变形例1)
可以使实施蚀刻阶段前形成的Si膜200h的P浓度比实施蚀刻阶段后形成的Si膜200i的P浓度高。例如,可以将Si膜200h中的P浓度设为3.0×1021~1.0×1022atoms/cm3范围内的浓度,将Si膜200i中的P浓度设为1.0×1021~2.0×1021atoms/cm3范围内的浓度。例如,这可以通过下述操作来实现:将第1成膜阶段中的PH气体的供应流量设为600~1000sccm、将第2成膜阶段中的PH气体的供应流量设为1~500sccm等,使第1成膜阶段中的PH气体的供应流量、分压比第2成膜阶段中的PH气体的供应流量、分压大。通过以这种方式使作为蚀刻对象的Si膜200h中的P浓度充分高于例如Si膜200i中的P浓度,能够提高上述蚀刻速率的提高效果。
(变形例2)
也可以将实施蚀刻阶段后形成的Si膜200i设为未掺杂P的无掺杂Si膜。例如,可以将Si膜200h中的P浓度设为1.0×1021~1.0×1022atoms/cm3范围内的浓度,将Si膜200i设为无掺杂Si膜。通过以这种方式使作为蚀刻对象的Si膜200h中的P浓度充分高于例如Si膜200i中的P浓度,能够同样获得上述蚀刻速率的提高效果。
(变形例3)
也可以在第1成膜阶段的中途增加PH气体的供应流量、分压等,使Si膜200h之中作为蚀刻对象的表面侧的部分的P浓度比其他部分(比表面更靠近下层侧的部分)的P浓度高。例如可以通过将PH气体的供应流量设为1~500sccm而开始第1成膜阶段,在第1成膜阶段的中途将PH气体的供应流量改变为600~1000sccm等来实现。通过以这种方式使Si膜200h中作为蚀刻对象的部分的P浓度特别高,能够进一步提高上述蚀刻速率的提高效果。
(变形例4)
第1氢气吹洗阶段和第2氢气吹洗阶段之中,可以省略任一阶段的实施。此外,也可以分别省略这两个阶段的实施。
<本发明的其他实施方式>
以上,具体地对本发明的实施方式进行了说明。但本发明不限于上述实施方式,在不脱离其宗旨的范围内,可以有各种变更。
基板处理中使用的配方优选根据处理内容个别地准备,介由电信线路、外部存储装置123存储于存储装置121c内。而且,优选在开始处理时,CPU121a根据基板处理的内容从存储装置121c内储存的多个配方中适宜选择合适的配方。由此,能够再现性良好地利用1台基板处理装置形成各种膜种类、组合比、膜质、膜厚的膜。此外,能够减少操作者的负担,能够避免操作错误而迅速地开始处理。
上述配方不限于新制成的情况,也可以通过改变例如安装于基板处理装置的已有的配方来准备。改变配方的情况下,可以将改变后的配方介由电信线路、存储该配方的存储介质安装于基板处理装置。此外,也可以操作已有的基板处理装置所具备的输入输出装置122,直接改变基板处理装置中已经安装的已有的配方。
上述实施方式中,对使用一次性处理多张基板的批量式基板处理装置形成膜的例子进行了说明。本发明不限于上述实施方式,例如在使用一次性处理1张或数张基板的单页式基板处理装置形成膜的情况下,也可以适宜应用。此外,上述实施方式中,对使用具有热壁型处理炉的基板处理装置形成膜的例子进行了说明。本发明不限于上述实施方式,在使用具有冷壁型处理炉的基板处理装置形成膜的情况下,也可以适宜应用。使用这些基板处理装置的情况下,可以以与上述实施方式、变形例同样的序列、处理条件进行成膜,获得与上述实施方式、变形例同样的效果。
通过上述实施方式的方法形成的Si膜可以适宜用于接触孔的填埋导致的接触插头的形成等用途。
上述实施方式、变形例等可以适宜组合而使用。此外,此时的处理条件可以设为例如与上述实施方式同样的处理条件。
实施例
以下,对支持上述实施方式、变形例中获得的效果的实验结果进行说明。
使用上述基板处理装置,通过进行图4所示的成膜序列的播种阶段、第1成膜阶段,在晶圆上形成Si膜。Si膜中的P浓度设为7.0×1020、1.0×1021、2.1×1021atoms/cm3。而且,通过进行图4所示的成膜序列的蚀刻阶段,对Si膜的表面进行蚀刻。蚀刻压力设为20000、30000Pa。其他处理条件设为上述实施方式中记载的条件范围内的条件。
图6是表示蚀刻速率的评价结果的图。图中横轴表示蚀刻压力(Pa),纵轴表示蚀刻速率
Figure BDA0001367418880000211
图中标记◇、■、△分别表示P浓度为7.0×1020、1.0×1021、2.1×1021atoms/cm3的Si膜的数据。根据图6可知,任一P浓度、任一蚀刻压力的情况下,均获得了实用性的蚀刻速率。此外可知,使P浓度越高、此外使蚀刻压力越高,则越能够提高Si膜的蚀刻速率。

Claims (17)

1.一种半导体装置的制造方法,其具有:
在处理室内的基板上形成第1非晶硅膜的工序,以及
在所述处理室内,在维持所述第1非晶硅膜的非晶状态的温度下,使用氯化氢气体,对所述第1非晶硅膜的一部分进行蚀刻,以使得在所述第1非晶硅膜上形成开口的工序,
进而具有:在所述处理室内,在一部分被蚀刻后的所述第1非晶硅膜之上形成第2非晶硅膜,以填埋所述开口的工序。
2.根据权利要求1所述的半导体装置的制造方法,在对所述第1非晶硅膜的一部分进行蚀刻的工序中,将所述处理室内的压力设为比形成所述第1非晶硅膜时的所述处理室内的压力高的压力。
3.根据权利要求1所述的半导体装置的制造方法,在对所述第1非晶硅膜的一部分进行蚀刻的工序中,将所述处理室内的压力设为维持所述第1非晶硅膜的蚀刻量均匀性的压力。
4.根据权利要求1所述的半导体装置的制造方法,在对所述第1非晶硅膜的一部分进行蚀刻的工序中,将所述处理室内的压力设为1000Pa以上且50000Pa以下。
5.根据权利要求1所述的半导体装置的制造方法,在对所述第1非晶硅膜的一部分进行蚀刻的工序中,将所述处理室内的压力设为10000Pa以上且40000Pa以下。
6.根据权利要求1所述的半导体装置的制造方法,在对所述第1非晶硅膜的一部分进行蚀刻的工序中,将所述处理室内的压力设为20000Pa以上且30000Pa以下。
7.根据权利要求1所述的半导体装置的制造方法,所述第1非晶硅膜为掺杂有掺杂剂的膜。
8.根据权利要求1所述的半导体装置的制造方法,所述第2非晶硅膜为掺杂有掺杂剂的膜,使所述第1非晶硅膜中的掺杂剂浓度比所述第2非晶硅膜中的掺杂剂浓度高。
9.根据权利要求1所述的半导体装置的制造方法,所述第2非晶硅膜为未掺杂掺杂剂的膜。
10.根据权利要求7所述的半导体装置的制造方法,将所述第1非晶硅膜中的掺杂剂浓度设为1.0×1021atoms/cm3以上且1.0×1022atoms/cm3以下。
11.根据权利要求1所述的半导体装置的制造方法,在进行对所述第1非晶硅膜的一部分进行蚀刻的工序前,进一步具有以下工序:对所述处理室内的所述基板供应含氢气体的工序。
12.根据权利要求1所述的半导体装置的制造方法,在进行对所述第1非晶硅膜的一部分进行蚀刻的工序后,进一步具有以下工序:向所述处理室内供应含氢气体的工序。
13.根据权利要求1所述的半导体装置的制造方法,所述基板的表面露出有单晶硅和绝缘膜。
14.根据权利要求1所述的半导体装置的制造方法,所述基板的表面设有凹部,所述凹部的底部由单晶硅构成,所述凹部的侧部由绝缘膜构成。
15.根据权利要求14所述的半导体装置的制造方法,
在形成所述第1非晶硅膜的工序中,在所述第1非晶硅膜与所述单晶硅的界面形成外延硅膜;
在对所述第1非晶硅膜的一部分进行蚀刻的工序中,在不蚀刻所述外延硅膜的状态下,对所述第1非晶硅膜的一部分进行蚀刻。
16.一种基板处理装置,其具有:
对基板进行处理的处理室,
向所述处理室内供应含硅气体的第1供应系统,
向所述处理室内供应氯化氢气体的第2供应系统,
对所述处理室内的基板进行加热的加热器,以及
控制部,其以下述方式构成:以进行在所述处理室内的基板上形成第1非晶硅膜的处理、以及在所述处理室内在维持所述第1非晶硅膜的非晶状态的温度下使用氯化氢气体对所述第1非晶硅膜的一部分进行蚀刻,以使得在所述第1非晶硅膜上形成开口的处理,进而在所述处理室内,进行在一部分被蚀刻后的所述第1非晶硅膜之上形成第2非晶硅膜,以填埋所述开口的处理的方式,对所述第1供应系统、所述第2供应系统以及所述加热器进行控制。
17.一种计算机能够读取的存储介质,其特征在于,该存储介质存储有利用计算机使基板处理装置执行以下步骤的程序:
在所述基板处理装置的处理室内的基板上形成第1非晶硅膜的步骤,
在所述处理室内,在维持所述第1非晶硅膜的非晶状态的温度下,使用氯化氢气体,对所述第1非晶硅膜的一部分进行蚀刻,以使得在所述第1非晶硅膜上形成开口的步骤,以及
进而在所述处理室内,在一部分被蚀刻后的所述第1非晶硅膜之上形成第2非晶硅膜,以填埋所述开口的步骤。
CN201710648342.8A 2016-08-02 2017-08-01 半导体装置的制造方法、基板处理装置和存储介质 Active CN107680898B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-151950 2016-08-02
JP2016151950A JP6606476B2 (ja) 2016-08-02 2016-08-02 半導体装置の製造方法、基板処理装置およびプログラム

Publications (2)

Publication Number Publication Date
CN107680898A CN107680898A (zh) 2018-02-09
CN107680898B true CN107680898B (zh) 2022-01-25

Family

ID=61069564

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710648342.8A Active CN107680898B (zh) 2016-08-02 2017-08-01 半导体装置的制造方法、基板处理装置和存储介质

Country Status (5)

Country Link
US (1) US10262872B2 (zh)
JP (1) JP6606476B2 (zh)
KR (1) KR101993043B1 (zh)
CN (1) CN107680898B (zh)
TW (1) TWI652727B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019129161A (ja) * 2018-01-19 2019-08-01 東京エレクトロン株式会社 基板処理方法と基板処理システム
US10649471B2 (en) * 2018-02-02 2020-05-12 Mks Instruments, Inc. Method and apparatus for pulse gas delivery with isolation valves
WO2019173624A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. A method for si gap fill by pecvd
JP7229750B2 (ja) * 2018-12-14 2023-02-28 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7203670B2 (ja) * 2019-04-01 2023-01-13 東京エレクトロン株式会社 成膜方法及び成膜装置
CN110571151B (zh) * 2019-09-02 2021-10-26 武汉新芯集成电路制造有限公司 多晶硅层的制作方法、闪存及其制作方法
JP7038770B2 (ja) * 2020-08-12 2022-03-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、プログラム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101114589A (zh) * 2006-07-28 2008-01-30 东京毅力科创株式会社 半导体装置的制造方法和制造装置、计算机存储介质以及存储有处理方案的存储介质

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3918565B2 (ja) 2002-01-21 2007-05-23 株式会社デンソー 半導体装置の製造方法
JP2003218037A (ja) 2002-01-21 2003-07-31 Denso Corp 半導体基板の製造方法
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP5864360B2 (ja) * 2011-06-30 2016-02-17 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
JP5925704B2 (ja) 2013-01-17 2016-05-25 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US8877592B2 (en) * 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
JP2015084400A (ja) * 2013-09-18 2015-04-30 マイクロン テクノロジー, インク. 半導体装置及びその製造方法
JP6306411B2 (ja) 2014-04-17 2018-04-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101114589A (zh) * 2006-07-28 2008-01-30 东京毅力科创株式会社 半导体装置的制造方法和制造装置、计算机存储介质以及存储有处理方案的存储介质

Also Published As

Publication number Publication date
TW201818454A (zh) 2018-05-16
TWI652727B (zh) 2019-03-01
JP6606476B2 (ja) 2019-11-13
CN107680898A (zh) 2018-02-09
JP2018022743A (ja) 2018-02-08
KR20180015089A (ko) 2018-02-12
US10262872B2 (en) 2019-04-16
US20180040475A1 (en) 2018-02-08
KR101993043B1 (ko) 2019-06-25

Similar Documents

Publication Publication Date Title
CN107680898B (zh) 半导体装置的制造方法、基板处理装置和存储介质
JP6585551B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
CN106920737B (zh) 半导体器件的制造方法及衬底处理装置
CN107026077B (zh) 半导体器件的制造方法及衬底处理装置
KR102154412B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
CN107180749B (zh) 半导体器件的制造方法、衬底处理装置及气体供给系统
US11075114B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102165125B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2022176155A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20230349065A1 (en) Substrate processing method, method of manufacturing semiconductor device, non-transitory computer-readable recording medium and substrate processing apparatus
JP2024047284A (ja) 基板処理方法、半導体装置の製造方法、プログラム、および基板処理装置
JP2024095269A (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2019035258A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
TA01 Transfer of patent application right
TA01 Transfer of patent application right

Effective date of registration: 20181217

Address after: Tokyo, Japan, Japan

Applicant after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Applicant before: Hitachi Kunisai Electric Corp.

GR01 Patent grant
GR01 Patent grant